From 7b3af4c4604173fd6d6c148dae000154095256e2 Mon Sep 17 00:00:00 2001 From: WangXuan95 <629708558@qq.com> Date: Thu, 7 Apr 2022 17:12:33 +0800 Subject: [PATCH] update --- FPGA-Arty7/Arty7.xdc | 14 + FPGA-Arty7/Arty7_USTCRVSoC_top.sv | 86 + .../Arty7 => FPGA-Arty7}/USTCRVSoC-Arty7.xpr | 101 +- .../DE0Nano_USTCRVSoC.qpf | 0 .../DE0Nano_USTCRVSoC.qsf | 43 +- .../DE0Nano_USTCRVSoC_top.sv | 19 +- FPGA-Nexys4/Nexys4.xdc | 42 + FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv | 95 + .../USTCRVSoC-nexys4.xpr | 137 +- README.md | 605 +- {hardware/RTL => RTL/cpu}/core_alu.sv | 4 +- {hardware/RTL => RTL/cpu}/core_bus_wrapper.sv | 19 +- {hardware/RTL => RTL/cpu}/core_id_stage.sv | 7 +- .../RTL => RTL/cpu}/core_instr_bus_adapter.sv | 13 +- {hardware/RTL => RTL/cpu}/core_regfile.sv | 36 +- {hardware/RTL => RTL/cpu}/core_top.sv | 434 +- {hardware/RTL => RTL}/instr_rom.sv | 84 +- {hardware/RTL => RTL}/naive_bus.sv | 0 {hardware/RTL => RTL}/naive_bus_router.sv | 9 +- {hardware/RTL => RTL}/ram.sv | 7 +- {hardware/RTL => RTL}/ram_bus_wrapper.sv | 11 +- {hardware/RTL => RTL}/soc_top.sv | 217 +- {hardware/RTL => RTL/uart}/isp_uart.sv | 112 +- {hardware/RTL => RTL/uart}/uart_rx.sv | 1 + {hardware/RTL => RTL/uart}/uart_tx_line.sv | 8 +- {hardware/RTL => RTL/uart}/user_uart_tx.sv | 37 +- RTL/vga_char_86x32.sv | 154 + {hardware/RTL => RTL}/video_ram.sv | 18 +- .../rv32i_test/a_asm.txt | 5433 ++++++------ .../rv32i_test/a_instr_stream.txt | 5558 +++++------- .../rv32i_test/b_asm.txt | 6581 +++++++------- .../rv32i_test/b_instr_stream.txt | 7651 ++++++++--------- .../rv32i_test/c_asm.txt | 7087 ++++++++------- .../rv32i_test/c_instr_stream.txt | 6910 +++++++-------- SIM-CPU/tb_cpu.sv | 69 + .../vivado_sim/sim_cpu.xpr | 64 +- SIM-SoC/questasim.tcl | 12 + SIM-SoC/tb_soc.sv | 27 + .../vivado_sim/sim_soc.xpr | 84 +- USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln | 20 - .../USTCRVSoC-tool/App.config | 6 - .../USTCRVSoC-tool/MainForm.Designer.cs | 701 -- .../USTCRVSoC-tool/MainForm.cs | 559 -- .../USTCRVSoC-tool/MainForm.resx | 123 - .../USTCRVSoC-tool/Program.cs | 22 - .../USTCRVSoC-tool/Properties/AssemblyInfo.cs | 36 - .../Properties/Resources.Designer.cs | 63 - .../USTCRVSoC-tool/Properties/Resources.resx | 117 - .../Properties/Settings.Designer.cs | 26 - .../Properties/Settings.settings | 7 - .../USTCRVSoC-tool/USTCRVSoC-tool.csproj | 99 - USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico | Bin 67646 -> 0 bytes {tools => USTCRVSoC-tool}/USTCRVSoC-tool.exe | Bin .../riscv32-elf-as.exe | Bin .../riscv32-elf-ld.exe | Bin .../riscv32-elf-objcopy.exe | Bin UartSession-VS2012/UartSession.sln | 20 - UartSession-VS2012/UartSession/App.config | 6 - UartSession-VS2012/UartSession/Program.cs | 110 - .../UartSession/Properties/AssemblyInfo.cs | 36 - UartSession-VS2012/UartSession/USB.ico | Bin 67646 -> 0 bytes .../UartSession/UartSession.csproj | 64 - {tools => UartSession}/UartSession.exe | Bin .../basic-test/big_endian_little_endian.S | 0 .../basic-test/branch_test.S | 0 .../basic-test/load_store.S | 0 .../basic-test/test_auipc.S | 0 .../calculation-test/Fibonacci.S | 0 .../calculation-test/MatMul.S | 0 .../calculation-test/Number2Ascii.S | 0 .../calculation-test/QuickSort.S | 0 .../calculation-test/SimpleSprintf.S | 0 .../io-test/uart_print.S | 0 .../asm-code => asm-code}/io-test/vga_hello.S | 0 figures/CPU.png | Bin 0 -> 32279 bytes figures/DE0-Nano.png | Bin 0 -> 57604 bytes figures/SoC.png | Bin 0 -> 21160 bytes figures/USTCRVSoC.png | Bin 0 -> 24890 bytes figures/UartSession1.png | Bin 0 -> 5041 bytes figures/UartSession2.png | Bin 0 -> 11524 bytes figures/UartSession3.png | Bin 0 -> 5403 bytes figures/de0nano-connection.png | Bin 0 -> 72212 bytes figures/nexys4-connection.png | Bin 0 -> 86197 bytes figures/vga_show.png | Bin 0 -> 127944 bytes .../output_files/DE0Nano_USTCRVSoC.cdf | 13 - .../output_files/DE0Nano_USTCRVSoC.jdi | 8 - .../output_files/DE0Nano_USTCRVSoC.sof | Bin 703975 -> 0 bytes hardware/README.md | 9 - hardware/RTL/char8x16_rom.sv | 2074 ----- hardware/RTL/dual_read_port_ram_32x32.sv | 25 - hardware/RTL/ram128B.sv | 19 - hardware/RTL/vga_char_86x32.sv | 188 - .../wt/gui_handlers.wdf | 33 - .../wt/java_command_handlers.wdf | 8 - .../Simulation_RiscvCPU.cache/wt/project.wpc | 3 - .../Simulation_RiscvCPU.cache/wt/xsim.wdf | 4 - .../Simulation_RiscvCPU.lpr | 6 - .../README.txt | 1 - .../sim_1/new/tb_core.sv | 72 - hardware/Simulation_SoC/Questsim/demo.tcl | 13 - hardware/Simulation_SoC/Questsim/tb_soc.sv | 24 - .../Simulation_SoC.cache/wt/gui_handlers.wdf | 26 - .../wt/java_command_handlers.wdf | 7 - .../Simulation_SoC.cache/wt/project.wpc | 3 - .../Simulation_SoC.cache/wt/xsim.wdf | 4 - .../Simulation_SoC.hw/Simulation_SoC.lpr | 6 - .../Simulation_SoC.ip_user_files/README.txt | 1 - .../Simulation_SoC.srcs/sim_1/new/tb_soc.sv | 24 - .../USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf | 31 - .../wt/java_command_handlers.wdf | 11 - .../USTCRVSoC-Arty7.cache/wt/project.wpc | 4 - .../USTCRVSoC-Arty7.cache/wt/synthesis.wdf | 39 - .../wt/synthesis_details.wdf | 3 - .../USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr | 8 - .../constrs_1/new/Arty7.xdc | 35 - .../sources_1/new/Arty7_USTCRVSoC_top.sv | 45 - .../wt/gui_handlers.wdf | 98 - .../wt/java_command_handlers.wdf | 31 - .../USTCRVSoC-nexys4.cache/wt/project.wpc | 4 - .../USTCRVSoC-nexys4.cache/wt/synthesis.wdf | 39 - .../wt/synthesis_details.wdf | 3 - .../Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf | 4 - .../USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr | 8 - .../USTCRVSoC-nexys4.ip_user_files/README.txt | 1 - .../constrs_1/Nexys-A7-100T-Master.xdc | 192 - .../sources_1/Nexys4_USTCRVSoC_top.sv | 43 - hardware/Vivado/Nexys4/vivado.jou | 14 - hardware/Vivado/Nexys4/vivado.log | 65 - .../Vivado/Nexys4/vivado_12448.backup.jou | 35 - .../Vivado/Nexys4/vivado_12448.backup.log | 70 - .../Vivado/Nexys4/vivado_17980.backup.jou | 32 - .../Vivado/Nexys4/vivado_17980.backup.log | 46 - .../Vivado/Nexys4/vivado_20220.backup.jou | 14 - .../Vivado/Nexys4/vivado_20220.backup.log | 23 - .../Vivado/Nexys4/vivado_27748.backup.jou | 41 - .../Vivado/Nexys4/vivado_27748.backup.log | 170 - hardware/Vivado/Nexys4/vivado_8068.backup.jou | 14 - hardware/Vivado/Nexys4/vivado_8068.backup.log | 22 - images/DE0-Nano.png | Bin 190814 -> 0 bytes images/SoC.png | Bin 68905 -> 0 bytes images/USTCRVSoC-tool-image.png | Bin 67380 -> 0 bytes images/UartSession1.png | Bin 12916 -> 0 bytes images/UartSession2.png | Bin 33193 -> 0 bytes images/UartSession3.png | Bin 11701 -> 0 bytes images/connection.png | Bin 267265 -> 0 bytes images/nexys4-connection2.png | Bin 306463 -> 0 bytes images/vga_show.png | Bin 542104 -> 0 bytes 147 files changed, 20065 insertions(+), 27455 deletions(-) create mode 100644 FPGA-Arty7/Arty7.xdc create mode 100644 FPGA-Arty7/Arty7_USTCRVSoC_top.sv rename {hardware/Vivado/Arty7 => FPGA-Arty7}/USTCRVSoC-Arty7.xpr (76%) rename {hardware/Quartus/DE0Nano_USTCRVSoC => FPGA-DE0Nano}/DE0Nano_USTCRVSoC.qpf (100%) rename {hardware/Quartus/DE0Nano_USTCRVSoC => FPGA-DE0Nano}/DE0Nano_USTCRVSoC.qsf (86%) rename {hardware/Quartus/DE0Nano_USTCRVSoC => FPGA-DE0Nano}/DE0Nano_USTCRVSoC_top.sv (69%) create mode 100644 FPGA-Nexys4/Nexys4.xdc create mode 100644 FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv rename {hardware/Vivado/Nexys4 => FPGA-Nexys4}/USTCRVSoC-nexys4.xpr (75%) rename {hardware/RTL => RTL/cpu}/core_alu.sv (97%) rename {hardware/RTL => RTL/cpu}/core_bus_wrapper.sv (89%) rename {hardware/RTL => RTL/cpu}/core_id_stage.sv (91%) rename {hardware/RTL => RTL/cpu}/core_instr_bus_adapter.sv (83%) rename {hardware/RTL => RTL/cpu}/core_regfile.sv (78%) rename {hardware/RTL => RTL/cpu}/core_top.sv (91%) rename {hardware/RTL => RTL}/instr_rom.sv (71%) rename {hardware/RTL => RTL}/naive_bus.sv (100%) rename {hardware/RTL => RTL}/naive_bus_router.sv (95%) rename {hardware/RTL => RTL}/ram.sv (67%) rename {hardware/RTL => RTL}/ram_bus_wrapper.sv (89%) rename {hardware/RTL => RTL}/soc_top.sv (68%) rename {hardware/RTL => RTL/uart}/isp_uart.sv (73%) rename {hardware/RTL => RTL/uart}/uart_rx.sv (96%) rename {hardware/RTL => RTL/uart}/uart_tx_line.sv (87%) rename {hardware/RTL => RTL/uart}/user_uart_tx.sv (75%) create mode 100644 RTL/vga_char_86x32.sv rename {hardware/RTL => RTL}/video_ram.sv (88%) rename hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_Assembly.txt => SIM-CPU/rv32i_test/a_asm.txt (97%) rename hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt => SIM-CPU/rv32i_test/a_instr_stream.txt (66%) rename hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt => SIM-CPU/rv32i_test/b_asm.txt (97%) rename hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt => SIM-CPU/rv32i_test/b_instr_stream.txt (89%) rename hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt => SIM-CPU/rv32i_test/c_asm.txt (97%) rename hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt => SIM-CPU/rv32i_test/c_instr_stream.txt (72%) create mode 100644 SIM-CPU/tb_cpu.sv rename hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr => SIM-CPU/vivado_sim/sim_cpu.xpr (78%) create mode 100644 SIM-SoC/questasim.tcl create mode 100644 SIM-SoC/tb_soc.sv rename hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr => SIM-SoC/vivado_sim/sim_soc.xpr (80%) delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj delete mode 100644 USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico rename {tools => USTCRVSoC-tool}/USTCRVSoC-tool.exe (100%) rename {tools => USTCRVSoC-tool}/riscv32-elf-tools-windows/riscv32-elf-as.exe (100%) rename {tools => USTCRVSoC-tool}/riscv32-elf-tools-windows/riscv32-elf-ld.exe (100%) rename {tools => USTCRVSoC-tool}/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe (100%) delete mode 100644 UartSession-VS2012/UartSession.sln delete mode 100644 UartSession-VS2012/UartSession/App.config delete mode 100644 UartSession-VS2012/UartSession/Program.cs delete mode 100644 UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs delete mode 100644 UartSession-VS2012/UartSession/USB.ico delete mode 100644 UartSession-VS2012/UartSession/UartSession.csproj rename {tools => UartSession}/UartSession.exe (100%) rename {software/asm-code => asm-code}/basic-test/big_endian_little_endian.S (100%) rename {software/asm-code => asm-code}/basic-test/branch_test.S (100%) rename {software/asm-code => asm-code}/basic-test/load_store.S (100%) rename {software/asm-code => asm-code}/basic-test/test_auipc.S (100%) rename {software/asm-code => asm-code}/calculation-test/Fibonacci.S (100%) rename {software/asm-code => asm-code}/calculation-test/MatMul.S (100%) rename {software/asm-code => asm-code}/calculation-test/Number2Ascii.S (100%) rename {software/asm-code => asm-code}/calculation-test/QuickSort.S (100%) rename {software/asm-code => asm-code}/calculation-test/SimpleSprintf.S (100%) rename {software/asm-code => asm-code}/io-test/uart_print.S (100%) rename {software/asm-code => asm-code}/io-test/vga_hello.S (100%) create mode 100644 figures/CPU.png create mode 100644 figures/DE0-Nano.png create mode 100644 figures/SoC.png create mode 100644 figures/USTCRVSoC.png create mode 100644 figures/UartSession1.png create mode 100644 figures/UartSession2.png create mode 100644 figures/UartSession3.png create mode 100644 figures/de0nano-connection.png create mode 100644 figures/nexys4-connection.png create mode 100644 figures/vga_show.png delete mode 100644 hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.cdf delete mode 100644 hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi delete mode 100644 hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof delete mode 100644 hardware/README.md delete mode 100644 hardware/RTL/char8x16_rom.sv delete mode 100644 hardware/RTL/dual_read_port_ram_32x32.sv delete mode 100644 hardware/RTL/ram128B.sv delete mode 100644 hardware/RTL/vga_char_86x32.sv delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.cache/wt/gui_handlers.wdf delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.cache/wt/java_command_handlers.wdf delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.cache/wt/project.wpc delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.cache/wt/xsim.wdf delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.hw/Simulation_RiscvCPU.lpr delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt delete mode 100644 hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv delete mode 100644 hardware/Simulation_SoC/Questsim/demo.tcl delete mode 100644 hardware/Simulation_SoC/Questsim/tb_soc.sv delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt delete mode 100644 hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc delete mode 100644 hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc delete mode 100644 hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv delete mode 100644 hardware/Vivado/Nexys4/vivado.jou delete mode 100644 hardware/Vivado/Nexys4/vivado.log delete mode 100644 hardware/Vivado/Nexys4/vivado_12448.backup.jou delete mode 100644 hardware/Vivado/Nexys4/vivado_12448.backup.log delete mode 100644 hardware/Vivado/Nexys4/vivado_17980.backup.jou delete mode 100644 hardware/Vivado/Nexys4/vivado_17980.backup.log delete mode 100644 hardware/Vivado/Nexys4/vivado_20220.backup.jou delete mode 100644 hardware/Vivado/Nexys4/vivado_20220.backup.log delete mode 100644 hardware/Vivado/Nexys4/vivado_27748.backup.jou delete mode 100644 hardware/Vivado/Nexys4/vivado_27748.backup.log delete mode 100644 hardware/Vivado/Nexys4/vivado_8068.backup.jou delete mode 100644 hardware/Vivado/Nexys4/vivado_8068.backup.log delete mode 100644 images/DE0-Nano.png delete mode 100644 images/SoC.png delete mode 100644 images/USTCRVSoC-tool-image.png delete mode 100644 images/UartSession1.png delete mode 100644 images/UartSession2.png delete mode 100644 images/UartSession3.png delete mode 100644 images/connection.png delete mode 100644 images/nexys4-connection2.png delete mode 100644 images/vga_show.png diff --git a/FPGA-Arty7/Arty7.xdc b/FPGA-Arty7/Arty7.xdc new file mode 100644 index 0000000..4127931 --- /dev/null +++ b/FPGA-Arty7/Arty7.xdc @@ -0,0 +1,14 @@ + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { CLK100MHZ }]; + +##LEDs +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L24N_T3_35 Sch=led[4] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_25_35 Sch=led[5] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L24P_T3_A01_D17_14 Sch=led[6] +#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L24N_T3_A00_D16_14 Sch=led[7] + +##USB-UART Interface +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L19N_T3_VREF_16 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L14N_T2_SRCC_16 Sch=uart_txd_in diff --git a/FPGA-Arty7/Arty7_USTCRVSoC_top.sv b/FPGA-Arty7/Arty7_USTCRVSoC_top.sv new file mode 100644 index 0000000..0bbe69b --- /dev/null +++ b/FPGA-Arty7/Arty7_USTCRVSoC_top.sv @@ -0,0 +1,86 @@ + +module Arty7_USTCRVSoC_top( + input logic CLK100MHZ, + output logic [2:0] LED, + output logic UART_TX, + input logic UART_RX +); + +logic clk; // 50MHz, SoC driving clock, generated by MMCM + + +// Show UART on LED2, LED1 +assign LED[2:1] = ~{UART_RX, UART_TX}; + + +//------------------------------------------------------------------------------------------------------ +// SoC +//------------------------------------------------------------------------------------------------------ +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( + .clk ( clk ), + .isp_uart_rx ( UART_RX ), + .isp_uart_tx ( UART_TX ), + .vga_hsync ( ), + .vga_vsync ( ), + .vga_red ( ), + .vga_green ( ), + .vga_blue ( ) +); + + +//------------------------------------------------------------------------------------------------------ +// MMCM primitive, generate SoC driving clock, equivalent to clock wizard IP +//------------------------------------------------------------------------------------------------------ +wire clkin_buf, clkfb, clkfb_buf, clkout_unbuf; + +BUFG bufg_clkin ( .O(clkin_buf), .I(CLK100MHZ) ); +BUFG bufg_clkfb ( .O(clkfb_buf), .I(clkfb) ); +BUFG bufg_clkout ( .O(clk), .I(clkout_unbuf) ); + +MMCME2_ADV #( + .BANDWIDTH ( "HIGH" ), + .CLKOUT4_CASCADE ( "FALSE" ), + .COMPENSATION ( "ZHOLD" ), + .STARTUP_WAIT ( "FALSE" ), + .DIVCLK_DIVIDE ( 1 ), + .CLKFBOUT_MULT_F ( 8.000 ), // f(clkfb) = f(clkin) * 8 + .CLKFBOUT_PHASE ( 0.000 ), + .CLKFBOUT_USE_FINE_PS( "FALSE" ), + .CLKOUT0_DIVIDE_F ( 16.000 ), // f(clkout) = f(clkfb) / 16 + .CLKOUT0_PHASE ( 0.000 ), + .CLKOUT0_DUTY_CYCLE ( 0.500 ), + .CLKOUT0_USE_FINE_PS ( "FALSE" ), + .CLKIN1_PERIOD ( 10.000 ) // T=10ns, f=100MHz +) mmcm_adv_i ( + .CLKFBOUT ( clkfb ), + .CLKFBOUTB ( ), + .CLKOUT0 ( clkout_unbuf ), + .CLKOUT0B(), .CLKOUT1(), .CLKOUT1B(), .CLKOUT2(), .CLKOUT2B(), .CLKOUT3(), .CLKOUT3B(), .CLKOUT4(), .CLKOUT5(), .CLKOUT6(), + .CLKFBIN ( clkfb_buf ), + .CLKIN1 ( clkin_buf ), + .CLKIN2 ( 1'b0 ), + .CLKINSEL ( 1'b1 ), + .DADDR ( 7'h0 ), + .DCLK ( 1'b0 ), + .DEN ( 1'b0 ), + .DI ( 16'h0 ), + .DO ( ), + .DRDY ( ), + .DWE ( 1'b0 ), + .PSCLK ( 1'b0 ), + .PSEN ( 1'b0 ), + .PSINCDEC ( 1'b0 ), + .PSDONE ( ), + .LOCKED ( LED[0] ), + .CLKINSTOPPED ( ), + .CLKFBSTOPPED ( ), + .PWRDWN ( 1'b0 ), + .RST ( 1'b0 ) +); + + +endmodule diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr b/FPGA-Arty7/USTCRVSoC-Arty7.xpr similarity index 76% rename from hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr rename to FPGA-Arty7/USTCRVSoC-Arty7.xpr index ed9a3fb..95bc97a 100644 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr +++ b/FPGA-Arty7/USTCRVSoC-Arty7.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qpf b/FPGA-DE0Nano/DE0Nano_USTCRVSoC.qpf similarity index 100% rename from hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qpf rename to FPGA-DE0Nano/DE0Nano_USTCRVSoC.qpf diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf b/FPGA-DE0Nano/DE0Nano_USTCRVSoC.qsf similarity index 86% rename from hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf rename to FPGA-DE0Nano/DE0Nano_USTCRVSoC.qsf index fd2f1b7..a1cca0d 100644 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf +++ b/FPGA-DE0Nano/DE0Nano_USTCRVSoC.qsf @@ -239,7 +239,7 @@ set_global_assignment -name DEVICE EP4CE22F17C6 set_global_assignment -name TOP_LEVEL_ENTITY DE0Nano_USTCRVSoC_top set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:31:13 MARCH 02, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Standard Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -260,26 +260,25 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name FLOW_DISABLE_ASSEMBLER OFF set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL + set_global_assignment -name SYSTEMVERILOG_FILE DE0Nano_USTCRVSoC_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/naive_bus.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/naive_bus_router.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/soc_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/isp_uart.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/user_uart_tx.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/uart_tx_line.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/uart_rx.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/instr_rom.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram_bus_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/video_ram.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/vga_char_86x32.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/char8x16_rom.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram128B.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_regfile.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_instr_bus_adapter.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_id_stage.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_alu.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_bus_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/dual_read_port_ram_32x32.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/soc_top.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/naive_bus.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/naive_bus_router.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/instr_rom.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/ram_bus_wrapper.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/ram.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/video_ram.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/vga_char_86x32.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/isp_uart.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/user_uart_tx.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/uart_tx_line.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/uart_rx.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_top.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_regfile.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_instr_bus_adapter.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_id_stage.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_alu.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_bus_wrapper.sv + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC_top.sv b/FPGA-DE0Nano/DE0Nano_USTCRVSoC_top.sv similarity index 69% rename from hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC_top.sv rename to FPGA-DE0Nano/DE0Nano_USTCRVSoC_top.sv index 4adcedc..28e18a5 100644 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC_top.sv +++ b/FPGA-DE0Nano/DE0Nano_USTCRVSoC_top.sv @@ -1,19 +1,22 @@ module DE0Nano_USTCRVSoC_top( //////////// CLOCK ////////// - input CLOCK_50, + input CLOCK_50, //////////// LED, KEY, Switch ////////// output [ 7:0] LED, //////////// GPIO Header 1 ////////// - input [ 1:0] GPIO_0_IN, - inout [33:0] GPIO_0, - input [ 1:0] GPIO_1_IN, - inout [33:0] GPIO_1 + output [33:0] GPIO_0, + input [ 0:0] GPIO_1_IN, + output [ 0:0] GPIO_1 ); logic vga_red, vga_green, vga_blue; assign GPIO_0[31:16] = {{5{vga_blue}},{6{vga_green}},{5{vga_red}}}; -soc_top soc_inst( +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( .clk ( CLOCK_50 ), .isp_uart_rx ( GPIO_1_IN[0] ), .isp_uart_tx ( GPIO_1[0] ), @@ -25,10 +28,10 @@ soc_top soc_inst( ); // 在开发板的LED上显示ISP-UART和USER-UART的发送灯和接收灯 -assign LED[7:6] = ~{GPIO_1_IN[0],GPIO_1[0]}; +assign LED[7:6] = ~{GPIO_1_IN[0], GPIO_1[0]}; // VGA GND -assign GPIO_0[12] = 1'b0; +assign GPIO_0[15:0] = 16'b0; // 流水灯,指示SoC在运行 reg [21:0] cnt = 22'h0; diff --git a/FPGA-Nexys4/Nexys4.xdc b/FPGA-Nexys4/Nexys4.xdc new file mode 100644 index 0000000..0e5d0c3 --- /dev/null +++ b/FPGA-Nexys4/Nexys4.xdc @@ -0,0 +1,42 @@ + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {CLK100MHZ}]; + +## LEDs +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +#set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { LED[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { LED[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { LED[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +#set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { LED[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] +#set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] +#set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] +#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] +#set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] +#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { LED[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] +#set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { LED[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] +#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { LED[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] +#set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { LED[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] + +##VGA Connector +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] +set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] +set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] +set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] +set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs + +##USB-RS232 Interface +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out diff --git a/FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv b/FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv new file mode 100644 index 0000000..4a78bdb --- /dev/null +++ b/FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv @@ -0,0 +1,95 @@ + +module Nexys4_USTCRVSoC_top( + input logic CLK100MHZ, + output logic [2:0] LED, + output logic UART_TX, + input logic UART_RX, + output logic VGA_HS, VGA_VS, + output logic [3:0] VGA_R, VGA_G, VGA_B +); + +logic clk; // 50MHz, SoC driving clock, generated by MMCM + + +// Show UART on LED2, LED1 +assign LED[2:1] = ~{UART_RX, UART_TX}; + + +// VGA assignment +logic vga_red, vga_green, vga_blue; +assign VGA_R = {4{vga_red}}; +assign VGA_G = {4{vga_green}}; +assign VGA_B = {4{vga_blue}}; + + +//------------------------------------------------------------------------------------------------------ +// SoC +//------------------------------------------------------------------------------------------------------ +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( + .clk ( clk ), + .isp_uart_rx ( UART_RX ), + .isp_uart_tx ( UART_TX ), + .vga_hsync ( VGA_HS ), + .vga_vsync ( VGA_VS ), + .vga_red ( vga_red ), + .vga_green ( vga_green ), + .vga_blue ( vga_blue ) +); + + +//------------------------------------------------------------------------------------------------------ +// MMCM primitive, generate SoC driving clock, equivalent to clock wizard IP +//------------------------------------------------------------------------------------------------------ +wire clkin_buf, clkfb, clkfb_buf, clkout_unbuf; + +BUFG bufg_clkin ( .O(clkin_buf), .I(CLK100MHZ) ); +BUFG bufg_clkfb ( .O(clkfb_buf), .I(clkfb) ); +BUFG bufg_clkout ( .O(clk), .I(clkout_unbuf) ); + +MMCME2_ADV #( + .BANDWIDTH ( "HIGH" ), + .CLKOUT4_CASCADE ( "FALSE" ), + .COMPENSATION ( "ZHOLD" ), + .STARTUP_WAIT ( "FALSE" ), + .DIVCLK_DIVIDE ( 1 ), + .CLKFBOUT_MULT_F ( 8.000 ), // f(clkfb) = f(clkin) * 8 + .CLKFBOUT_PHASE ( 0.000 ), + .CLKFBOUT_USE_FINE_PS( "FALSE" ), + .CLKOUT0_DIVIDE_F ( 16.000 ), // f(clkout) = f(clkfb) / 16 + .CLKOUT0_PHASE ( 0.000 ), + .CLKOUT0_DUTY_CYCLE ( 0.500 ), + .CLKOUT0_USE_FINE_PS ( "FALSE" ), + .CLKIN1_PERIOD ( 10.000 ) // T=10ns, f=100MHz +) mmcm_adv_i ( + .CLKFBOUT ( clkfb ), + .CLKFBOUTB ( ), + .CLKOUT0 ( clkout_unbuf ), + .CLKOUT0B(), .CLKOUT1(), .CLKOUT1B(), .CLKOUT2(), .CLKOUT2B(), .CLKOUT3(), .CLKOUT3B(), .CLKOUT4(), .CLKOUT5(), .CLKOUT6(), + .CLKFBIN ( clkfb_buf ), + .CLKIN1 ( clkin_buf ), + .CLKIN2 ( 1'b0 ), + .CLKINSEL ( 1'b1 ), + .DADDR ( 7'h0 ), + .DCLK ( 1'b0 ), + .DEN ( 1'b0 ), + .DI ( 16'h0 ), + .DO ( ), + .DRDY ( ), + .DWE ( 1'b0 ), + .PSCLK ( 1'b0 ), + .PSEN ( 1'b0 ), + .PSINCDEC ( 1'b0 ), + .PSDONE ( ), + .LOCKED ( LED[0] ), + .CLKINSTOPPED ( ), + .CLKFBSTOPPED ( ), + .PWRDWN ( 1'b0 ), + .RST ( 1'b0 ) +); + + +endmodule diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr b/FPGA-Nexys4/USTCRVSoC-nexys4.xpr similarity index 75% rename from hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr rename to FPGA-Nexys4/USTCRVSoC-nexys4.xpr index 810d850..d9159a6 100644 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr +++ b/FPGA-Nexys4/USTCRVSoC-nexys4.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/README.md b/README.md index f1300d7..31c8d29 100644 --- a/README.md +++ b/README.md @@ -1,285 +1,320 @@ -![语言](https://img.shields.io/badge/语言-systemverilog_(IEEE1800_2005)-CAD09D.svg) ![仿真](https://img.shields.io/badge/仿真-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-quartus-blue.svg) - - -USTCRVSoC -=========================== -一个用 SystemVerilog 编写的,基于 RISC-V 的,普林斯顿结构的 SoC - -**** -## 目录 -* [特点](#特点) -* [SoC结构](#SoC结构) -* [CPU特性](#CPU特性) -* [部署到FPGAf](#部署到FPGA) - * 部署到 Nexys4 - * 部署到 Arty7 - * 部署到 DE0-Nano - * 部署到其它开发板 -* [测试软件](#测试软件) - * Hello World - * 使用 UART 调试总线 - * 使用 VGA 屏幕 - * 使用工具:USTCRVSoC-tool -* [CPU仿真](#CPU仿真) - * 进行仿真 -* [SoC仿真](#SoC仿真) - * 进行仿真 - * 修改指令ROM - -# 特点 - -* **CPU**:5段流水线 RISC-V ,能运行 **RV32I** 指令集中的大部分指令 -* **总线**:简单的具有**握手机制**的,32-bit地址位宽和32-bit数据位宽的总线 -* **总线交叉开关 (bus router)**:可使用参数修改总线主从接口的数量和从接口占用的地址空间,以方便拓展外设 -* **交互式 UART 调试**:支持使用PC上的Putty、串口助手、minicom等软件,实现**系统复位**、**上传程序**、**查看内存**等功能 -* 完全使用 SystemVerilog 实现,不调用IP核,便于移植和仿真 - -# SoC结构 - -![SoC结构框图](./images/SoC.png) - -上图展示了SoC的结构,总线仲裁器 **bus_router** (也叫总线交叉开关)为SoC的中心,上面挂载了3个**主接口**和5个**从接口**。这个SoC使用的总线并不来自于任何标准(例如AXI或APB总线),而是笔者自编的,因为简单所以命名为**naive_bus**。 - -每个**从接口**都占有一段地址空间。当**主接口**访问总线时,**bus_router**判断该地址属于哪个地址空间,然后将它**路由**到相应的**从接口**。下表展示了5个**从接口**的地址空间。 - -| 外设类型 | 起始地址 | 结束地址 | -| :-----: | :-----: | :----: | -| 指令ROM | 0x00000000 | 0x00007fff | -| 指令RAM | 0x00008000 | 0x00008fff | -| 数据RAM | 0x00010000 | 0x00010fff | -| 显存RAM | 0x00020000 | 0x00020fff | -| 用户UART | 0x00030000 | 0x00030003 | - -### 组成部件 - -* **多主多从总线仲裁器 (bus_router)**:对应文件 naive_bus_router.sv。为每个从设备划分地址空间,将主设备的总线读写请求路由到从设备。当多个主设备同时访问一个从设备时,还能根据主设备的优先级进行冲突仲裁。 -* **RV32I Core**:对应文件 core_top.sv。包括两个主接口。一个用于取指令,一个用于读写数据。 -* **UART调试器**:对应文件 isp_uart.sv。将UART调试功能和用户UART结合为一体。包括一个主接口和一个从接口。它接收上位机从UART发来的命令,对总线进行读写。它可以用于在线烧写、在线调试。也可以接收CPU的命令去发送数据给用户。 -* **指令ROM**:对应文件 instr_rom.sv。CPU默认从这里开始取指令,里面的指令流是在硬件代码编译综合时就固定的,不能在运行时修改。唯一的修改方法是编辑 **instr_rom.sv** 中的代码,然后重新编译综合、烧写FPGA逻辑。因此**instr_rom** 多用于仿真。 -* **指令RAM**:对应文件 ram_bus_wrapper.sv。用户使用 isp_uart 在线烧写指令流到这里,然后将 Boot 地址指向这里,再复位SoC后,CPU就从这里开始运行指令流。 -* **数据RAM**:对应文件 ram_bus_wrapper.sv。存放运行时的数据。 -* **显存RAM**:对应文件 video_ram.sv。在屏幕上显示 86列 * 32行 = 2752 个字符,显存 RAM 的 4096B 被划分为 32 个块,每块对应一行,占 128B,前 86 字节对应 86 个列。屏幕上显示的是每个字节作为 ASCII 码所对应的字符。 - -# CPU特性 - -* 支持: **RV32I** 中的所有Load、Store、算术、逻辑、移位、比较、跳转。 -* 不支持:同步、控制状态、环境调用和断点类指令 - -所有支持的指令包括: - -> LB, LH, LW, LBU, LHU, SB, SH, SW, ADD, ADDI, SUB, LUI, AUIPC, XOR, XORI, OR, ORI, AND, ANDI, SLL, SLLI, SRL, SRLI, SRA, SRAI, SLT, SLTI, SLTU, SLTIU, BEQ, BNE, BLT ,BGE, BLTU, BGEU, JAL, JALR - -指令集方面,今后可能先考虑加入 **RV32IM** 中的乘除指令。 - -CPU采用5段流水线,目前支持的流水线特性有: - -> Forward、Loaduse、总线握手等待 - -流水线方面,今后考虑添加的特性有: - -> 分支预测、中断 - -# 部署到FPGA - -目前,我们提供了 Xilinx 的 **Nexys4 开发板** 、 **Arty7 开发板** 和 Altera 的 **DE0-Nano 开发板** 的工程。 - -为了进行部署和测试,你需要准备以下的东西: - -* 装有 **Windows7 系统** 或更高版本的 PC(如果使用 Linux 则很难用上我提供的几个C#编写的工具) -* **Nexys4 开发板** 或 **Arty7 开发板** 或 **DE0-Nano 开发板** 或其它 FPGA 开发板 -* 开发板对应的 **RTL 开发环境**,例如 **Nexys4 开发板** 和 **Arty7 开发板** 对应 Vivado(推荐 Vivado 2018.3 或更高版本),DE0-Nano 对应 Quartus (推荐Quartus II 13.1 或更高版本) -* 如果你的开发板没有自带 **USB转UART** 电路(例如 DE0-Nano),则需要一个 **USB转UART模块**。 -* **可选**:*屏幕、VGA线* - -## 部署到 Nexys4 - -![Nexys4照片](./images/nexys4-connection2.png) - -1. **硬件连接**:如上图,Nexys4 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。另外,VGA 的连接是可选的,你可以把它连接到屏幕上。 -2. **综合、烧写**:请用 Vivado 打开 **./hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr**。综合并烧写到开发板。 - -## 部署到 Arty7 - -1. **硬件连接**:Arty7 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。 -2. **综合、烧写**:请用 Vivado 打开 **./hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr**。综合并烧写到开发板。 - -## 部署到 DE0-Nano - -![DE0Nano照片](./images/DE0-Nano.png) - -1、**硬件连接**:DE0-Nano开发板上既没有串口转USB,也没有VGA接口。因此需要外部模块,以及一些动手能力和硬件知识。我们使用DE0-Nano上的两排GPIO作为外接模块的引脚,接口意义如上图。你需要一个USB转UART的模块,将UART的TX和RX引脚连接上去,使之能与电脑通信。VGA的连接是可选的,需要符合上图中VGA的引脚定义。最后连接的效果如下图: - -![DE0Nano照片连接](./images/connection.png) - -2、**综合、烧写**:请用 Quartus 打开 **./hardware/Quartus/DE0_Nano/DE0_Nano.qpf**。综合并烧写到开发板。 - -## 部署到其它开发板 - -如果很不幸,你手头的 FPGA 开发板不是上述开发板,则需要手动建立工程,连接信号到开发板顶层。分为以下步骤: - -* **建立工程**:建立工程后,需要将 **./hardware/RTL/** 中的所有 .sv 文件添加进工程。 -* **编写顶层**:SoC 的顶层文件是 **./hardware/RTL/soc_top.sv**,你需要编写一个针对该开发板的顶层文件,调用 **soc_top**,并将 FPGA 的引脚连接到 **soc_top** 中。以下是对 **soc_top** 的信号说明。 -* **编译、综合、烧写到FPGA** - -```Verilog -module soc_top #( - // UART接收分频系数,请根据clk的时钟频率决定,计算公式 UART_RX_CLK_DIV=clk频率(Hz)/460800,四舍五入 - parameter UART_RX_CLK_DIV = 108, - // UART发送分频系数,请根据clk的时钟频率决定,计算公式 UART_TX_CLK_DIV=clk频率(Hz)/115200,四舍五入 - parameter UART_TX_CLK_DIV = 434, - // VGA分频系数,请根据clk的时钟频率决定,计算公式 VGA_CLK_DIV=clk频率(Hz)/50000000 - parameter VGA_CLK_DIV = 1 -)( - input logic clk, // SoC 时钟,推荐使用 50MHz 的倍数 - input logic isp_uart_rx, // 连接到开发板的 UART RX 引脚 - output logic isp_uart_tx, // 连接到开发板的 UART TX 引脚 - output logic vga_hsync, vga_vsync, // 连接到VGA(可以不连接) - output logic vga_red, vga_green, vga_blue // 连接到VGA(可以不连接) -); -``` - - - -# 测试软件 - -硬件烧写后,开始对它进行测试 - -### 查看 Hello World - -硬件烧写后,如果你的开发板上有 UART 指示灯,就已经能看到 TX 指示灯在闪烁,每闪烁一下其实是在发送一个"Hello",这说明CPU在运行指令ROM里默认的程序。下面我们来查看这个Hello。 - -首先我们需要一款**串口终端软件**,例如: -* minicom -* 串口助手 -* 超级终端 -* Putty - -这些工具用起来都不够爽快,因此这里使用该仓库中自带的小工具 **UartSession** 做示范。它的路径是 **./tools/UartSession.exe**。 - -> **UartSession** 使用C#编写, **./UartSession-VS2012** 中有 VisualStudio 工程。 - -首先,我们运行 **UartSession.exe**,可以看到该软件将电脑的所有可用端口都列了出来,并给出了几个选项: -* **打开端口**:输入数字,按回车可以打开数字对应的端口。 -* **修改波特率**:输入"baud [数字]",再按回车可以修改波特率。例如输入baud 9600可以修改波特率为9600。 -* **刷新端口列表**:输入"refresh",再按回车可以刷新端口列表。 -* **退出**:输入"exit"可以退出 - -![UartSession](./images/UartSession2.png) - -波特率默认是115200,与我们的 SoC 一致,不需要修改。直接从端口列表里找到 FPGA 开发板所对应的端口,打开它。我们就可以看到窗口中不断显示"hello",根本停不下来,如上图,这说明CPU在正常运行程序。 - -> 如果不知道端口列表中哪个端口对应 FPGA 开发板,可以拔下开发板的 USB,刷新一次端口列表,则消失的端口就是开发板对应的端口。然后再插上USB(如果FPGA内的电路丢失则需要重新烧录FPGA) - - -### 使用 UART 调试总线 - -现在界面中不断地打印出"hello",我们打一个回车,可以看到对方不再打出"hello",并出现了一个"debug",这样就成功进入了 **DEBUG模式**。 - -![UartSession](./images/UartSession1.png) - -UART 调试器有两种模式: -* **USER 模式**:该模式下可以收到 CPU 通过 isp_uart 发送的用户打印数据。FPGA烧写后默认处于这个模式。hello只有在这个模式下才能被我们看到。通过向 uart **发送一个\n** 可以跳出 **USER模式**,进入DEBUG模式。 -* **DEBUG 模式**:该模式下 CPU 打印的任何数据都会被抑制,UART 不再主动发送数据,变成了**一问一答**的形式,用户发送的调试命令和接收到的应答都**以\n结尾**,通过发送"o"或系统复位可以回到 **USER模式**。 - -下面让我们尝试 **UART 的调试功能**,输入 **"0"** 并按回车,会看到对方发来一个8位16进制数。该数就是SoC总线的地址 0x00000000 处读取出的数据,也就是**指令ROM**中的第一个指令,如下图。 - -![UartSession](./images/UartSession3.png) - -除了读,我们也可以用调试器写总线,输入一条写命令: "10000 abcd1234" 并按回车,会看到对方发来 **"wr done"** ,意为写成功,该命令意为向地址 0x10000 中写入 0xabcd1234 (0x10000是数据RAM的首地址)。 - -为了验证写成功,输入读指令:**"10000"** 并按回车,会看到对方发来**"abcd1234"**。 - -> 注:UART 调试器每次读写总线只能以**4字节对齐**的形式,并且一次必须读写4字节。 - -下表显示了 **DEBUG模式** 的所有命令格式。 - -| 命令类型 | 命令示例 | 返回示例 | 含义 | -| ----- | :----- | :---- | :----- | -| 读总线 | 00020000 | abcd1234 | 地址0x00020000读出的数据是0xabcd1234 | -| 写总线 | 00020004 1276acd0 | wr done | 向地址0x00020004写数据0x1276acd0 | -| 切至USER模式 | o | user | 切换回USER模式 -| 复位 | r00008000 | rst done | CPU 复位并从地址 0x00008000 处开始执行,同时切换回 USER 模式 | -| 非法命令 | ^^$aslfdi | invalid | 发送的指令未定义 | - -> 注:无论是发送还是接收,所有命令都以\n或\r或\r\n结尾,**UartSession.exe**是自动插入\n的。如果使用串口助手等其它软件,需要注意这个问题。 - -根据这些命令,不难猜出,在线上传程序的流程是: - -1. 使用写命令,将指令流写入指令 RAM ,(指令 RAM 的地址是 00008000~00008fff) -2. 使用复位命令 r00008000 ,将 CPU 复位并从指令 RAM 中 BOOT - -### 使用 VGA 屏幕 - -没有连接屏幕的可以跳过这一步。 - -如果开发板通过 VGA 连接到了屏幕,可以看到屏幕上出现一个红框,里面空空如也。实际上里面隐藏了 86列32行的字符空位。下面用 **UART调试器** 让屏幕上显示字符。 - -> 提示:如果屏幕中的红框不在正中间,可以使用屏幕的“自动校正”按钮校正一下 - -在**DEBUG模式**下,发送一条写命令: **"20000 31323334"** ,可以看到第一行出现了 **4321** 。这是因为显存RAM的起始地址是 0x20000,使用 UART调试器 正好向其中的前4个字节写入了 0x34、0x33、0x32、0x31,也就是**4321**的ASCII码。 - -![VGA](./images/vga_show.png) - -显存 RAM 占 4096 字节,分为32个块,对应屏幕中的32个行;每块128B,前 86 字节对应该行中的前 86 个字符的 ASCII 码。后面128-86个字节不会显示在屏幕上。 - -显存 RAM 与 数据 RAM 行为相同,即可读又可写,但不能保证一个时钟周期一定能读出数据。 - -### 使用工具:USTCRVSoC-tool - -玩了好久的 UART调试,也该用 CPU 跑跑 benchmark 了。 - -**./software/asm-code** 中提供几个汇编语言的小程序作为 benchmark,如下表。 - -| 文件名 | 说明 | -| :----- | :----- | -| io-test/uart_print.S | 用户UART循环打印hello, 即**指令ROM**中的程序 | -| io-test/vga_hello.S | 屏幕上显示hello | -| calculation-test/Fibonacci.S | 递归法计算**斐波那契数列**第8个数 | -| calculation-test/Number2Ascii.S | 将数字转化成ASCII字符串,类似于C语言中的 **itoa** 或 **sprintf %d** | -| calculation-test/QuickSort.S | 在RAM中初始化一段数据,并进行**快速排序** | -| basic-test/big_endian_little_endian.S | 测试这个系统是**大端序**还是**小端序**(这里自然是小端序) | -| basic-test/load_store.S | 完成一些内存读写 | - -**USTCRVSoC-tool.exe** 是一个能汇编和烧写的小工具,相当于一个 **汇编语言的IDE**,其路径是 **./tools/USTCRVSoC-tool.exe**,界面如下图。 - -> **USTCRVSoC-tool** 使用C#编写,VisualStudio 的工程路径是 ./USTCRVSoC-tool-VS2012 - -![USTCRVSoCtool](./images/USTCRVSoC-tool-image.png) - -现在尝试让SoC运行一个计算快速排序的程序。步骤: -1. **打开 USTCRVSoC-tool.exe** -2. **打开**:点击**打开**按钮,浏览到目录 ./software/asm-code/calculation-test/,打开汇编文件 **QuickSort.S**。 -3. **汇编**:点击**汇编**按钮,可以看到下方框里出现了一串16进制数,这就是汇编得到的机器码。 -4. **烧写**:确保FPGA连接到电脑并烧录了SoC的硬件,然后选择正确的 COM 端口,点击**烧写**,如果下方状态栏里显示“烧写成功”,则CPU就已经开始运行该机器码了。 -5. **查看内存**:这时,在右侧点击**DUMP内存**,可以看到一个有序的数列。QuickSort程序对-9~+9的乱序数组进行了排序,每个数重复了两次。默认的**DUMP内存**不能显示完全,可以将长度设置为100,这样DUMP的字节数量为0x100字节,能看到排序的完整结果。 - -另外,**USTCRVSoC-tool** 也能查看USER模式下的串口数据。请打开 **io-test/uart_print.S**,汇编并烧写,可以看到右侧的**串口查看**框中不断的打印hello。 - -现在,你可以尝试运行这些汇编 benchmark,或者自己编写汇编进行测试。**Have fun!** - -> 关于**普林斯顿结构**:我们虽然区分了**指令RAM**、**数据RAM**、**显存RAM**,但这写存储器在普林斯顿结构中都没有区别。你可以把指令烧写到**数据RAM**、**显存RAM**中去运行,也可以把变量放在**指令RAM**中。甚至,指令和数据都可以放在**数据RAM**中,只要地址别冲突,程序也能正常运行。但是这样的运行效率就会降低,因为CPU的**指令接口**和**数据接口**会**争抢总线**。 - - -# CPU仿真 - -为了验证 CPU 能够正确地运行 RV32I 指令集,我们使用 RISC-V 官方的指令集测试,提供针对了 CPU 仿真工程。 - -### 运行仿真 - -用 **Vivado** 打开工程 **hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr** ,可看见顶层文件为 **tb_core.sv** ,然后按照注释的指示进行仿真即可。 - -# SoC仿真 - -该仓库提供了 SoC 的整体仿真。 - -### 运行仿真 - -用 **Vivado** 打开工程 **hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr** ,工程已经选择了 **tb_soc.sv** 作为仿真的顶层,可以直接进行**行为仿真**。 - -仿真时运行的指令流来自**指令ROM**,如果你还没修改过**指令ROM**,则仿真时可以看到 **uart_tx** 信号出现 **uart** 发送的波形,这是它在打印 **hello**。 - -### 修改指令ROM - -如果你想仿真某个指令流,需要对**指令ROM**进行修改。 - -**USTCRVSoC-tool** 除了进行烧写,也可以用编译后的指令流生成**指令ROM**的Verilog代码。当你使用**汇编**按钮产生指令流后,可以点击右侧的"保存指令流(Verilog)"按钮,保存时替换 **./RTL/instr_rom.sv**,再重新进行仿真即可。 +![语言](https://img.shields.io/badge/语言-systemverilog_(IEEE1800_2005)-CAD09D.svg) ![仿真](https://img.shields.io/badge/仿真-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-quartus-blue.svg) + + +USTCRVSoC +=========================== +一个 SystemVerilog 编写的,以一个 RISC-V CPU 为核心的,普林斯顿结构的 SoC ,可作为 MCU 使用。 + +* **CPU**:5段流水线 RISC-V ,支持 **RV32I** 指令集(除了 CSR 指令)。 +* **总线**:具有**握手机制**,32-bit地址,32-bit数据。 +* **总线交叉开关 (bus router)**:可使用参数修改总线主从接口的数量和从接口占用的地址空间,以方便拓展外设。 +* **交互式 UART 调试**:可使用PC上的 Putty、minicom、超级终端等软件进行在线系统复位**、**上传程序**、**查看内存。 +* 完全使用 SystemVerilog 实现,不调用IP核,便于移植和仿真。 + +## 目录 + +* [简介](#简介) +* [部署到FPGA](#部署到FPGA) + * 部署到 Nexys4 + * 部署到 Arty7 + * 部署到 DE0-Nano + * 部署到其它开发板 +* [运行与测试](#运行与测试) + * Hello World + * 使用 UART 调试总线 + * 使用 VGA 屏幕 + * 使用工具:USTCRVSoC-tool +* [CPU仿真](#CPU仿真) +* [SoC仿真](#SoC仿真) + +# 简介 + +**图1**展示了SoC的结构,总线仲裁器 **bus_router** (也叫总线交叉开关)上挂载了3个**主接口**(master port)和5个**从接口**(slave port)。这个总线并不来自于任何现有标准(例如 AXI 或 APB),而是一种简单的同步握手总线,命名为 **naive_bus**。 + +| ![SoC](./figures/SoC.png) | +| :-----------------------: | +| **图1**:SoC 结构 | + +每个**从接口**都占有一段地址空间。当**主接口**访问总线时,**bus_router**判断该地址属于哪个地址空间,然后将它**路由**到相应的**从接口**。下表展示了5个**从接口**的地址空间。 + +*表1*:SoC 地址空间分配 + +| 外设名称 | 起始地址 | 结束地址 | +| :-----: | :-----: | :----: | +| Instr ROM(指令ROM) | 0x00000000 | 0x00007fff | +| Instr RAM(指令RAM) | 0x00008000 | 0x00008fff | +| Data RAM(数据RAM) | 0x00010000 | 0x00010fff | +| Video RAM(显存RAM) | 0x00020000 | 0x00020fff | +| ISP UART's user port | 0x00030000 | 0x00030003 | + +## 组成部件 + +* **多主多从总线仲裁器 (bus_router)**:对应文件 naive_bus_router.sv。为每个从设备划分地址空间,将主设备的总线读写请求路由到从设备。当多个主设备同时访问一个从设备时,还能根据主设备的优先级进行冲突仲裁。 +* **RV32I Core**:对应文件 core_top.sv。包括两个主接口。一个用于取指令,一个用于读写数据。 +* **UART调试器**:对应文件 isp_uart.sv。将UART调试功能和用户UART结合为一体。包括一个主接口和一个从接口。它接收上位机从UART发来的命令,对总线进行读写。它可以用于在线烧写、在线调试。也可以接收CPU的命令去发送数据给用户。 +* **指令ROM**:对应文件 instr_rom.sv。CPU默认从这里开始取指令,里面的指令流是在硬件代码编译综合时就固定的,不能在运行时修改。唯一的修改方法是编辑 **instr_rom.sv** 中的代码,然后重新编译综合、烧写FPGA逻辑。因此**instr_rom** 多用于仿真。 +* **指令RAM**:对应文件 ram_bus_wrapper.sv。请使用UART调试器在线烧写指令流到这里,然后将 Boot 地址指向这里,再复位SoC后,CPU就从这里开始运行指令流。 +* **数据RAM**:对应文件 ram_bus_wrapper.sv。存放运行时的数据。 +* **显存RAM**:对应文件 video_ram.sv。在屏幕上显示 86列 * 32行 = 2752 个字符,显存 RAM 的 4096B 被划分为 32 个块,每块对应一行,占 128B,前 86 字节对应 86 个列。屏幕上显示的是每个字节作为 ASCII 码所对应的字符。 + +## CPU特性 + +* 支持: **RV32I** 中的所有 Load、Store、算术、逻辑、移位、比较、跳转。 +* 不支持:同步、控制状态(CSR)、环境调用和断点类指令 + +所有支持的指令包括: + +> LB, LH, LW, LBU, LHU, SB, SH, SW, ADD, ADDI, SUB, LUI, AUIPC, XOR, XORI, OR, ORI, AND, ANDI, SLL, SLLI, SRL, SRLI, SRA, SRAI, SLT, SLTI, SLTU, SLTIU, BEQ, BNE, BLT ,BGE, BLTU, BGEU, JAL, JALR + +CPU采用5段流水线,如**图2**,目前支持的流水线特性包括:Forward、Loaduse、总线握手等待。 + +| ![CPU](./figures/CPU.png) | +| :-----------------------: | +| **图2**:CPU 结构 | + + + +# 硬件设计代码 + +| 目录名 | 说明 | +| ------------ | ------------------------------------------------------------ | +| RTL | 全部的 SystemVerilog 代码,其中 soc_top.sv 是整个 SoC 的顶层 | +| FPGA-Arty7 | 基于 Arty7 开发板的 Vivado 工程 | +| FPGA-Nexys4 | 基于 Nexys4 开发板的 Vivado 工程 | +| FPGA-DE0Nano | 基于 DE0Nano 开发板的 Quartus 工程 | +| SIM-CPU | 仿真:对 CPU 进行的指令集测试(使用 RISC-V 官方测试程序) | +| SIM-SoC | 仿真:对整个 SoC 的仿真 | + +请注意,所有工程共用 RTL 目录,因此在一个工程里修改代码也会导致其它工程中的代码发生变化。 + + + +# 部署到FPGA + +目前,我提供了 Xilinx 的 **Nexys4 开发板** 、 **Arty7 开发板** 和 Altera 的 **DE0-Nano 开发板** 的工程。 + +为了进行部署和测试,你需要准备以下的东西: + +* **Windows7 系统** 或更高版本的 PC(如果使用 Linux 则很难用上我提供的两个C#编写的工具) +* **Nexys4 开发板** 或 **Arty7 开发板** 或 **DE0-Nano 开发板** 或其它 FPGA 开发板 +* 开发板对应的开发环境,例如 Nexys4 和 Arty7 开发板对应 Vivado,DE0-Nano 对应 Quartus +* 如果你的开发板没有自带 USB 转 UART 电路(例如 DE0-Nano),则需要一个 **USB转UART模块** +* 可选:屏幕、VGA线 + +## 部署到 Nexys4 + +| ![nexys4-connection](./figures/nexys4-connection.png) | +| :---------------------------------------------------: | +| **图3**:Nexys4 的硬件连接方法 | + +1. **硬件连接**:如**图3**,Nexys4 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。另外,VGA 的连接是可选的,你可以把它连接到屏幕上。 +2. **综合、烧写**:请用 Vivado 打开工程 **FPGA-Nexys4/USTCRVSoC-nexys4.xpr** 。综合并烧写。 + +## 部署到 Arty7 + +1. **硬件连接**:Arty7 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。 +2. **综合、烧写**:请用 Vivado 打开工程 **FPGA-Arty7/USTCRVSoC-Arty7.xpr** 。综合并烧写。 + +## 部署到 DE0-Nano + +| ![DE0-Nano](./figures/DE0-Nano.png) | +| :---------------------------------: | +| **图4**:DE0-Nano 的硬件连接方法 | + +1、**硬件连接**:DE0-Nano开发板上既没有USB转UART,也没有VGA接口。因此需要外部模块,以及一些动手能力。我们使用DE0-Nano上的两排GPIO作为外接模块的引脚,接口含义如**图4**。你需要一个USB转UART的模块,将UART的TX和RX引脚连接上去,使之能与电脑通信。VGA的连接是可选的,需要符合上图中VGA的引脚定义。最后连接的效果如**图5**: + +2、**综合、烧写**:请用 Quartus 打开 **FPGA-DE0Nano/DE0Nano_USTCRVSoC.qpf**。综合并烧写。 + +| ![de0nano-connection](./figures/de0nano-connection.png) | +| :-----------------------------------------------------: | +| **图5**:DE0-Nano 的硬件连接 | + +## 部署到其它开发板 + +如果你手头的 FPGA 开发板不是上述开发板,则需要手动建立工程,连接信号到开发板顶层。分为以下步骤: + +1. **建立工程**:建立工程后,需要将 RTL 目录(以及其子目录)中的所有 .sv 文件添加进工程。 +2. **编写顶层**:SoC 的顶层文件是 **soc_top.sv**,你需要编写一个针对该开发板的顶层文件,调用 **soc_top**,并将 FPGA 的引脚连接到 **soc_top** 中。以下是对 **soc_top** 的信号说明。 +3. **综合、烧写到FPGA** + +```Verilog +module soc_top #( + // UART接收分频系数,请根据clk的时钟频率决定,计算公式 UART_RX_CLK_DIV=clk频率(Hz)/460800,四舍五入 + parameter UART_RX_CLK_DIV = 108, + // UART发送分频系数,请根据clk的时钟频率决定,计算公式 UART_TX_CLK_DIV=clk频率(Hz)/115200,四舍五入 + parameter UART_TX_CLK_DIV = 434, + // VGA分频系数,请根据clk的时钟频率决定,计算公式 VGA_CLK_DIV=clk频率(Hz)/50000000 + parameter VGA_CLK_DIV = 1 +)( + input logic clk, // SoC 时钟,推荐使用 50MHz 的倍数 + input logic isp_uart_rx, // 连接到开发板的 UART RX 引脚 + output logic isp_uart_tx, // 连接到开发板的 UART TX 引脚 + output logic vga_hsync, vga_vsync, // 连接到VGA(可以不连接) + output logic vga_red, vga_green, vga_blue // 连接到VGA(可以不连接) +); +``` + + + +# 运行与测试 + +硬件烧写后,开始对它进行测试。 + +### Hello World + +硬件烧写后,就已经能看到 UART_TX 对应的指示灯在闪烁,每闪烁一下其实是在通过UART发送一个"Hello",这说明CPU在运行指令ROM里默认的程序。下面我们来查看这个 Hello。 + +首先我们需要一款**串口终端软件**,例如: +* minicom +* 串口助手 +* 超级终端(HyperTerminal) +* Putty + +这些工具用起来都不够爽快,因此这里使用该仓库中自带的小工具 **UartSession** 做示范。它的路径是 **./UartSession/UartSession.exe **,直接双击打开。 + +首先,我们双击运行 **UartSession.exe**,可以看到该软件将电脑的所有可用端口都列了出来,并给出了几个选项: + +- **打开端口**:输入数字,按回车可以打开数字对应的端口。 + +- **修改波特率**:输入"baud [数字]",再按回车可以修改波特率。例如输入baud 9600可以修改波特率为9600。 + +- **刷新端口列表**:输入"refresh",再按回车可以刷新端口列表。 + +- **退出**:输入"exit"可以退出 + +波特率默认是115200,与我们的 SoC 一致,不需要修改。我们直接从端口列表里找到 FPGA 开发板所对应的COM端口,打开它。我们就可以看到窗口中不断显示"hello",根本停不下来,如图,这说明CPU在正常运行程序。 + +| ![UartSession2](./figures/UartSession2.png) | +| :------------------------------------------------: | +| **图6**:打开COM端口后,可以看到不断地打印出 hello | + +> 提示:如果不知道端口列表中哪个端口对应 FPGA 开发板,可以拔下开发板的 USB,刷新一次端口列表,则消失的端口就是开发板对应的端口。然后再插上USB(如果FPGA内的电路丢失则需要重新烧录FPGA) + + +### 使用 UART 调试总线 + +现在界面中不断地打印出"hello",我们打一个回车,可以看到它不再打出"hello",并出现了一个"debug",这样就成功进入了 **DEBUG模式**,如**图7**。 + +| ![UartSession1](./figures/UartSession1.png) | +| :-----------------------------------------: | +| **图7**:进入调试模式 | + +SoC 内的 UART 调试器(isp_uart.sv)有两种模式: +* **USER 模式**:该模式下可以收到 CPU 通过 isp_uart 发送的用户打印数据。FPGA烧写后默认处于这个模式。hello只有在这个模式下才能被我们看到。通过向 uart **发送一个\n** 可以跳出 **USER模式**,进入DEBUG模式。 +* **DEBUG 模式**:该模式下 CPU 打印的任何数据都会被抑制,UART 不再主动发送数据,变成了**一问一答**的形式,用户发送的调试命令和接收到的应答都**以\n结尾**,通过发送"o"可以回到 **USER模式**。 + +下面让我们尝试在 **DEBUG 模式**下对总线进行读写。如**图8**,输入 **"0"** 并按回车,会看到对方发来一个8位16进制数。该数就是SoC总线的地址 0x00000000 处读取出的数据。从表1可以看出,它是**指令ROM**中的第一条指令。 + +| ![UartSession3](./figures/UartSession3.png) | +| :-----------------------------------------: | +| **图8**:进入调试模式 | + +除了读,我们也可以在总线上进行写操作。我们输入一条写命令 "10000 abcd1234" 并按回车,会看到对方发来 "wr done" ,意为写成功,该命令意为向地址 0x10000 中写入 0xabcd1234(从表1可以看出,0x10000是数据RAM的首地址)。 + +为了验证写成功,输入读命令 "10000" 并按回车,会看到对方发来 "abcd1234" 。 + +> 注:UART 调试器每次读写总线只能以**4字节对齐**的形式,并且一次必须读写4字节。 + +下表显示了 **DEBUG模式** 的所有命令格式。 + +| 命令类型 | 命令示例 | 返回示例 | 含义 | +| ----- | :----- | :---- | :----- | +| 读总线 | 00020000 | abcd1234 | 地址0x00020000读出的数据是0xabcd1234 | +| 写总线 | 00020004 1276acd0 | wr done | 向地址0x00020004写数据0x1276acd0 | +| 切至USER模式 | o | user | 切换回USER模式| +| 复位 | r00008000 | rst done | CPU 复位并从地址 0x00008000 处开始执行,同时切换回 USER 模式 | +| 非法命令 | ^^$aslfdi | invalid | 发送的命令未定义 | + +> 注:无论是发送还是接收,所有命令都以\n或\r或\r\n结尾,**UartSession.exe**是自动插入\n的。如果使用串口助手等其它软件,需要注意这个问题。 + +根据这些命令,不难猜出,在线上传程序的流程是: + +1. 使用写命令,将指令一条条地写入指令 RAM ,(指令 RAM 的地址空间是 00008000~00008fff) +2. 使用复位命令 r00008000 ,将 CPU 复位并从指令 RAM 中启动 + +### 使用 VGA 屏幕 + +没有连接屏幕的可以跳过这一步。 + +如果开发板通过 VGA 连接到了屏幕,可以看到屏幕上出现一个红框,里面空空如也。实际上里面隐藏了 86列32行的字符空位。下面用 **UART调试器** 让屏幕上显示字符。 + +> 提示:如果屏幕中的红框不在正中间,可以使用屏幕的“自动校正”按钮校正一下 + +在**DEBUG模式**下,发送一条写命令: **"20000 31323334"** ,可以看到第一行出现了 **4321** 。这是因为显存RAM的起始地址是 0x20000,使用 UART调试器 正好向其中的前4个字节写入了 0x34、0x33、0x32、0x31,也就是**4321**的ASCII码。 + +显存 RAM 占 4096 字节,分为32个块,对应屏幕中的32个行;每块128B,前 86 字节对应该行中的前 86 个字符的 ASCII 码。后面128-86个字节不会显示在屏幕上。 + +显存 RAM 与 数据 RAM 行为相同,即可读又可写,但不能保证一个时钟周期一定能读出数据。 + +| ![VGA](./figures/vga_show.png) | +| :------------------------------------------------------: | +| **图9**:用UART调试器向VGA显存中写入数据,显示在屏幕上。 | + +### 使用工具:USTCRVSoC-tool + +玩了好久的 UART 调试,也该进入正题了——用 CPU 跑 benchmark 。 + +**./asm-code** 目录中提供几个汇编语言的小程序作为 benchmark,如下表。 + +| 文件名 | 说明 | +| :----- | :----- | +| io-test/uart_print.S | 用户UART循环打印hello, 即**指令ROM**中的程序 | +| io-test/vga_hello.S | 屏幕上显示hello | +| calculation-test/Fibonacci.S | 递归法计算**斐波那契数列**第8个数 | +| calculation-test/Number2Ascii.S | 将数字转化成ASCII字符串,类似于C语言中的 **itoa** 或 **sprintf %d** | +| calculation-test/QuickSort.S | 在RAM中初始化一段数据,并进行**快速排序** | +| basic-test/big_endian_little_endian.S | 测试这个系统是**大端序**还是**小端序**(这里自然是小端序) | +| basic-test/load_store.S | 完成一些内存读写 | + +我们不可能一条一条地把编译得到的机器码手动写入指令RAM,这太麻烦了。为此,我提供了一个能进行汇编和在线写入指令流的工具:**USTCRVSoC-tool.exe** (它相当于一个汇编语言的IDE),路径是 **./USTCRVSoC-tool/USTCRVSoC-tool.exe**,双击它打开。 + +| ![USTCRVSoCtool](./figures/USTCRVSoC.png) | +| :---------------------------------------: | +| **图10**:USTCRVSoC-tool 的界面 | + +现在我们尝试让SoC运行一个计算快速排序的程序。步骤: +1. **打开 USTCRVSoC-tool.exe** +2. **打开**:点击**打开**按钮,浏览到目录 ./asm-code/calculation-test/,打开汇编文件 **QuickSort.S**。 +3. **汇编**:点击**汇编**按钮,可以看到下方框里出现了一串16进制数,这就是汇编得到的机器码。 +4. **烧写**:确保FPGA连接到电脑并烧录了SoC的硬件,然后选择正确的 COM 端口,点击**烧写**,如果下方状态栏里显示“烧写成功”,则CPU就已经开始运行该机器码了。 +5. **查看内存**:这时,在右侧点击**DUMP内存**,可以看到一个有序的数列。QuickSort程序对-9~+9的乱序数组进行了排序,每个数重复了两次。默认的**DUMP内存**不能显示完全,可以将长度设置为100,这样DUMP的字节数量为0x100字节,能看到排序的完整结果。 + +另外,**USTCRVSoC-tool** 也能查看USER模式下的串口数据。请打开 **io-test/uart_print.S**,汇编并烧写,可以看到右侧的**串口查看**框中不断的打印hello。 + +现在,你可以尝试运行这些汇编 benchmark,或者自己编写汇编进行测试。**Have fun!** + +> 关于**普林斯顿结构**:我们虽然区分了**指令RAM**、**数据RAM**、**显存RAM**,但这写存储器在普林斯顿结构中都没有区别。你可以把指令烧写到**数据RAM**、**显存RAM**中去运行,也可以把变量放在**指令RAM**中。甚至,指令和数据都可以放在**数据RAM**中,只要地址别冲突,程序也能正常运行。但是这样的运行效率就会降低,因为CPU的**指令接口**和**数据接口**会**争抢总线**。 + + + +# CPU仿真 + +为了验证 CPU 是否能正确地支持 RV32I 指令集,我进行了 Verilog 仿真,在该仿真中,CPU 会运行 RISC-V 官方指令集测试程序。 + +该仿真的相关文件都在 SIM-CPU 目录中,其中的各文件说明如下: + +| 文件/目录名 | 说明 | +| ----------- | ------------------------------------------------------------ | +| tb_cpu.sv | testbench代码 | +| vivado_sim | Vivado 工程,调用 tb_cpu.sv 和 RTL 目录里的设计代码进行仿真。 | +| rv32i_test | 包含三个测试程序的汇编代码和指令流 | + +要运行 CPU 仿真,请用 Vivado 打开工程 **SIM-CPU/vivado_sim/sim_cpu.xpr** ,可看见顶层文件为 **tb_cpu.sv** ,然后你可以修改参数 `INSTRUCTION_STREAM_FILE` 来指定让 CPU 运行哪个指令流(注意应该改成你的PC中的绝对路径)。这里我们应该运行 rv32i_test 目录里提供的三个测试程序: + +- a_instr_stream.txt :算术逻辑指令相关的测试。 +- b_instr_stream.txt :Load/Store 指令相关的测试。 +- c_instr_stream.txt :跳转指令相关的测试。 + +然后我们就可以在 Vivado 中运行行为仿真(Behavior Simulation),这三个测试程序在仿真时,大概需要运行 500us 就能结束,测试成功的标志是 gp 寄存器(3号寄存器,对应 core_regfile.sv 中的 regfile[3] 这个变量)变成 0x00000001 。 + + + +# SoC仿真 + +我还提供了 SoC 的整体仿真。 + +请用 Vivado 打开工程 **SIM-SoC/vivado_sim/sim_soc.xpr** ,可看见顶层文件为 **tb_soc.sv** ,可以直接进行行为仿真。 + +仿真时运行的指令流来自**指令ROM**,如果你还没修改过**指令ROM**,则仿真时可以看到 **uart_tx** 信号出现 **uart** 发送的波形,这是它在打印 **hello**。 + +如果你想在仿真时让 CPU 运行其它的指令流,需要对**指令ROM**进行修改。**USTCRVSoC-tool** 除了进行烧写,也可以用编译后的指令流生成**指令ROM**的Verilog代码。当你使用**汇编**按钮产生指令流后,可以点击右侧的"保存指令流(Verilog)"按钮,保存时替换掉 **./RTL/instr_rom.sv**,再重新进行仿真即可。 diff --git a/hardware/RTL/core_alu.sv b/RTL/cpu/core_alu.sv similarity index 97% rename from hardware/RTL/core_alu.sv rename to RTL/cpu/core_alu.sv index bae236d..0213317 100644 --- a/hardware/RTL/core_alu.sv +++ b/RTL/cpu/core_alu.sv @@ -1,10 +1,12 @@ + module core_alu( input logic [ 6:0] i_opcode, i_funct7, input logic [ 2:0] i_funct3, input logic [31:0] i_num1u, i_num2u, i_pc, i_immu, - output logic o_branch_jalr, + output logic o_branch_jalr, output logic [31:0] o_res, o_branch_jalr_target ); + logic [ 4:0] shamt_rs, shamt_imm; logic [31:0] num1_plus_imm, pc_plus_imm; logic signed [31:0] i_num1s, i_num2s, i_imms; diff --git a/hardware/RTL/core_bus_wrapper.sv b/RTL/cpu/core_bus_wrapper.sv similarity index 89% rename from hardware/RTL/core_bus_wrapper.sv rename to RTL/cpu/core_bus_wrapper.sv index c3680cd..3d984bb 100644 --- a/hardware/RTL/core_bus_wrapper.sv +++ b/RTL/cpu/core_bus_wrapper.sv @@ -1,7 +1,8 @@ + module core_bus_wrapper( - input logic clk, rst_n, - input logic i_re, i_we, - output logic o_conflict, + input logic clk, rstn, + input logic i_re, i_we, + output logic o_conflict, input logic [ 2:0] i_funct3, input logic [31:0] i_addr, input logic [31:0] i_wdata, @@ -49,10 +50,10 @@ always_comb always_comb case(i_funct3) - 3'b000 : if (addr_lsb==2'b00) wdata <= {24'b0, i_wdata[7:0]}; - else if(addr_lsb==2'b01) wdata <= {16'b0, i_wdata[7:0], 8'b0}; - else if(addr_lsb==2'b10) wdata <= {8'b0, i_wdata[7:0], 16'b0}; - else wdata <= {i_wdata[7:0], 24'b0}; + 3'b000 : if (addr_lsb==2'b00) wdata <= {24'b0, i_wdata[7:0] }; + else if(addr_lsb==2'b01) wdata <= {16'b0, i_wdata[7:0], 8'b0}; + else if(addr_lsb==2'b10) wdata <= { 8'b0, i_wdata[7:0], 16'b0}; + else wdata <= { i_wdata[7:0], 24'b0}; 3'b001 : if (addr_lsb==2'b00) wdata <= {16'b0, i_wdata[15:0]}; else if(addr_lsb==2'b10) wdata <= {i_wdata[15:0], 16'b0}; else wdata <= 0; @@ -62,8 +63,8 @@ always_comb endcase -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin i_re_latch <= 1'b0; rd_addr_lsb <= 2'b0; rd_funct3 <= 3'b0; diff --git a/hardware/RTL/core_id_stage.sv b/RTL/cpu/core_id_stage.sv similarity index 91% rename from hardware/RTL/core_id_stage.sv rename to RTL/cpu/core_id_stage.sv index 0ba30c7..f0635da 100644 --- a/hardware/RTL/core_id_stage.sv +++ b/RTL/cpu/core_id_stage.sv @@ -1,7 +1,8 @@ + module core_id_stage( input logic [31:0] i_instr, - output logic o_src1_reg_en, o_src2_reg_en, - output logic o_jal, o_alures2reg, o_memory2reg, o_mem_write, + output logic o_src1_reg_en, o_src2_reg_en, + output logic o_jal, o_alures2reg, o_memory2reg, o_mem_write, output logic [ 4:0] o_src1_reg_addr, o_src2_reg_addr, o_dst_reg_addr, output logic [ 6:0] o_opcode, o_funct7, output logic [ 2:0] o_funct3, @@ -20,7 +21,7 @@ localparam OPCODE_AUIPC = 7'b0010111, // rd=pc+imm OPCODE_ALI = 7'b0010011, // arithmetic and logical I-TYPE, rd=alu_res OPCODE_ALR = 7'b0110011, // arithmetic and logical R-TYPE, rd=alu_res OPCODE_LOAD = 7'b0000011, // load - OPCODE_STORE = 7'b0100011; // store + OPCODE_STORE = 7'b0100011; // store, rd=loadvalue // generate control signals assign o_jal = (o_opcode == OPCODE_JAL ); diff --git a/hardware/RTL/core_instr_bus_adapter.sv b/RTL/cpu/core_instr_bus_adapter.sv similarity index 83% rename from hardware/RTL/core_instr_bus_adapter.sv rename to RTL/cpu/core_instr_bus_adapter.sv index f4a4e08..c451b1d 100644 --- a/hardware/RTL/core_instr_bus_adapter.sv +++ b/RTL/cpu/core_instr_bus_adapter.sv @@ -1,14 +1,15 @@ module core_instr_bus_adapter( - input logic clk, rst_n, + input logic clk, rstn, input logic [31:0] i_boot_addr, - input logic i_stall, i_bus_disable, - input logic i_ex_jmp, i_id_jmp, + input logic i_stall, i_bus_disable, + input logic i_ex_jmp, i_id_jmp, input logic [31:0] i_ex_target, i_id_target, output logic [31:0] o_pc, o_instr, naive_bus.master bus_master ); + logic [31:0] npc, instr_hold=0; logic bus_busy=1'b0, stall_n = 1'b0; @@ -33,8 +34,8 @@ always_comb else npc <= o_pc + 4; -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin stall_n <= 1'b0; bus_busy <= 1'b0; instr_hold <= 0; @@ -53,7 +54,7 @@ always_comb o_instr <= bus_master.rd_data; always @ (posedge clk) - if(~rst_n) + if(~rstn) o_pc <= {i_boot_addr[31:2],2'b00} - 4; else o_pc <= npc; diff --git a/hardware/RTL/core_regfile.sv b/RTL/cpu/core_regfile.sv similarity index 78% rename from hardware/RTL/core_regfile.sv rename to RTL/cpu/core_regfile.sv index b8eff4b..04dce3d 100644 --- a/hardware/RTL/core_regfile.sv +++ b/RTL/cpu/core_regfile.sv @@ -1,6 +1,6 @@ module core_regfile( - input logic clk, rst_n, + input logic clk, rstn, input logic rd_latch, // Read port 1 input logic i_re1, @@ -24,15 +24,16 @@ module core_regfile( input logic [31:0] i_wdata ); -logic [31:0] reg_rdata1, reg_rdata2; +logic [31:0] reg_rdata1 = 0; +logic [31:0] reg_rdata2 = 0; logic [31:0] forward_data1, forward_data2; logic from_fw1, from_fw2; assign o_rdata1 = from_fw1 ? forward_data1 : reg_rdata1; assign o_rdata2 = from_fw2 ? forward_data2 : reg_rdata2; -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin from_fw1 <= 1'b0; forward_data1 <= 0; end else begin @@ -57,8 +58,8 @@ always @ (posedge clk or negedge rst_n) end end -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin from_fw2 <= 1'b0; forward_data2 <= 0; end else begin @@ -83,15 +84,18 @@ always @ (posedge clk or negedge rst_n) end end -dual_read_port_ram_32x32 dual_read_port_ram_32x32_for_regfile( // 32bit*32addr - .clk ( clk ), - .i_we ( i_we ), - .i_waddr ( i_waddr ), - .i_wdata ( i_wdata ), - .i_raddr1 ( i_raddr1 ), - .o_rdata1 ( reg_rdata1 ), - .i_raddr2 ( i_raddr2 ), - .o_rdata2 ( reg_rdata2 ) -); + +// 32bit * 32 regfile +logic [31:0] regfile [32]; + +always @ (posedge clk) + reg_rdata1 <= regfile[i_raddr1]; + +always @ (posedge clk) + reg_rdata2 <= regfile[i_raddr2]; + +always @ (posedge clk) + if(i_we) + regfile[i_waddr] <= i_wdata; endmodule diff --git a/hardware/RTL/core_top.sv b/RTL/cpu/core_top.sv similarity index 91% rename from hardware/RTL/core_top.sv rename to RTL/cpu/core_top.sv index 6870c19..3f94305 100644 --- a/hardware/RTL/core_top.sv +++ b/RTL/cpu/core_top.sv @@ -1,216 +1,218 @@ -module core_top( - input logic clk, rst_n, - input logic [31:0] i_boot_addr, - naive_bus.master instr_master, data_master -); -// ID stage -logic [31:0] id_instr, id_pc; -logic id_src1_reg_en, id_src2_reg_en; -logic [ 4:0] id_src1_reg_addr, id_src2_reg_addr, id_dst_reg_addr; -logic id_jal, id_alures2reg, id_memory2reg, id_memwrite; -logic [ 6:0] id_opcode, id_funct7; -logic [ 2:0] id_funct3; -logic [31:0] id_imm; - -// EX stage -logic ex_branch_jalr, ex_alures2reg=1'b0, ex_memory2reg=1'b0, ex_memwrite=1'b0; -logic [6:0] ex_opcode=7'h0, ex_funct7=7'h0; -logic [2:0] ex_funct3=3'h0; -logic [4:0] ex_dst_reg_addr=5'h0; -logic [31:0] ex_alu_res, ex_src1_reg_data, ex_src2_reg_data, ex_pc=0, ex_imm=0, ex_branch_jalr_target; - -// MEM stage -logic [2:0] mem_funct3=3'b0; -logic mem_alures2reg=1'b0, mem_memory2reg=1'b0, mem_memwrite=1'b0; -logic [31:0] mem_alu_res=0, mem_mem_wdata=0, mem_mem_addr=0; -logic [4:0] mem_dst_reg_addr=5'h0; - -// WB stage -logic wb_memory2reg=1'b0, wb_regwrite=1'b0; -logic [31:0] wb_alu_res=0, wb_reg_wdata, wb_memout; -logic [4:0] wb_dst_reg_addr=5'h0; - -// hazard signal -logic id_read_disable, id_stall, ex_stall, ex_nop, mem_stall, wb_nop; -logic loaduse, mem_data_bus_conflict; - - -// ------------------------------------------------------------------------------- -// hazard - comb logic -// ------------------------------------------------------------------------------- -assign id_read_disable = loaduse; -assign id_stall = mem_data_bus_conflict; -assign ex_stall = mem_data_bus_conflict; -assign ex_nop = loaduse; -assign mem_stall = mem_data_bus_conflict; -assign wb_nop = mem_data_bus_conflict; - -assign loaduse = - (id_src1_reg_en & ex_memory2reg & (id_src1_reg_addr== ex_dst_reg_addr) ) | - (id_src2_reg_en & ex_memory2reg & (id_src2_reg_addr== ex_dst_reg_addr) ) | - (id_src1_reg_en & mem_memory2reg & (id_src1_reg_addr==mem_dst_reg_addr) ) | - (id_src2_reg_en & mem_memory2reg & (id_src2_reg_addr==mem_dst_reg_addr) ) ; - - -// ------------------------------------------------------------------------------- -// Instruction Bus Adapter - timing logic -// ------------------------------------------------------------------------------- -core_instr_bus_adapter core_instr_bus_adapter_i( - .clk ( clk ), - .rst_n ( rst_n ), - .i_boot_addr ( i_boot_addr ), - .i_stall ( id_read_disable | id_stall ), - .i_bus_disable ( id_read_disable ), - .i_ex_jmp ( ex_branch_jalr ), - .i_ex_target ( ex_branch_jalr_target ), - .i_id_jmp ( id_jal ), - .i_id_target ( id_pc + id_imm ), - .o_pc ( id_pc ), - .o_instr ( id_instr ), - .bus_master ( instr_master ) -); - -// ------------------------------------------------------------------------------- -// ID stage - comb logic -// ------------------------------------------------------------------------------- -core_id_stage core_id_stage_inst( - .i_instr ( id_instr ), - .o_src1_reg_en ( id_src1_reg_en ), - .o_src2_reg_en ( id_src2_reg_en ), - .o_jal ( id_jal ), - .o_alures2reg ( id_alures2reg ), - .o_memory2reg ( id_memory2reg ), - .o_mem_write ( id_memwrite ), - .o_src1_reg_addr ( id_src1_reg_addr ), - .o_src2_reg_addr ( id_src2_reg_addr ), - .o_dst_reg_addr ( id_dst_reg_addr ), - .o_opcode ( id_opcode ), - .o_funct7 ( id_funct7 ), - .o_funct3 ( id_funct3 ), - .o_imm ( id_imm ) -); - - -// ------------------------------------------------------------------------------- -// ID-EX stage seg reg - timing logic -// ------------------------------------------------------------------------------- -core_regfile core_regfile_inst( // regfile is a part of ID-EX seg reg - .clk ( clk ), - .rst_n ( rst_n ), - .rd_latch ( ex_stall ), - .i_re1 ( id_src1_reg_en ), - .i_raddr1 ( id_src1_reg_addr ), - .o_rdata1 ( ex_src1_reg_data ), - .i_re2 ( id_src2_reg_en ), - .i_raddr2 ( id_src2_reg_addr ), - .o_rdata2 ( ex_src2_reg_data ), - .i_forward1 ( ex_alures2reg ), - .i_faddr1 ( ex_dst_reg_addr ), - .i_fdata1 ( ex_alu_res ), - .i_forward2 ( mem_alures2reg ), - .i_faddr2 ( mem_dst_reg_addr ), - .i_fdata2 ( mem_alu_res ), - .i_we ( wb_regwrite ), - .i_waddr ( wb_dst_reg_addr ), - .i_wdata ( wb_reg_wdata ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - ex_alures2reg <= 1'b0; - ex_memory2reg <= 1'b0; - ex_memwrite <= 1'b0; - ex_dst_reg_addr <= 5'h0; - ex_opcode <= 7'h0; - ex_funct3 <= 3'h0; - ex_funct7 <= 7'h0; - ex_imm <= 0; - ex_pc <= 0; - end else if(~ex_stall) begin - ex_alures2reg <= ex_nop ? 1'b0 : id_alures2reg; - ex_memory2reg <= ex_nop ? 1'b0 : id_memory2reg; - ex_memwrite <= ex_nop ? 1'b0 : id_memwrite; - ex_dst_reg_addr <= ex_nop ? 5'h0 : id_dst_reg_addr; - ex_opcode <= ex_nop ? 7'h0 : id_opcode; - ex_funct7 <= ex_nop ? 7'h0 : id_funct7; - ex_funct3 <= ex_nop ? 3'h0 : id_funct3; - ex_imm <= ex_nop ? 0 : id_imm; - ex_pc <= ex_nop ? 0 : id_pc; - end - - -// ------------------------------------------------------------------------------- -// EX stage - comb logic -// ------------------------------------------------------------------------------- -core_alu core_alu_inst( - .i_opcode ( ex_opcode ), - .i_funct7 ( ex_funct7 ), - .i_funct3 ( ex_funct3 ), - .i_num1u ( ex_src1_reg_data ), - .i_num2u ( ex_src2_reg_data ), - .i_pc ( ex_pc ), - .i_immu ( ex_imm ), - .o_branch_jalr ( ex_branch_jalr ), - .o_branch_jalr_target ( ex_branch_jalr_target ), - .o_res ( ex_alu_res ) -); - - -// ------------------------------------------------------------------------------- -// EX-MEM stage - timing logic -// ------------------------------------------------------------------------------- -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - mem_memory2reg <= 1'b0; - mem_alures2reg <= 1'b0; - mem_alu_res <= 0; - mem_dst_reg_addr<= 5'h0; - mem_memwrite <= 1'b0; - mem_mem_addr <= 0; - mem_mem_wdata <= 0; - mem_funct3 <= 3'b0; - end else if(~mem_stall) begin - mem_memory2reg <= ex_memory2reg; - mem_alures2reg <= ex_alures2reg; - mem_dst_reg_addr<= ex_dst_reg_addr; - mem_alu_res <= ex_alu_res; - mem_memwrite <= ex_memwrite; - mem_mem_addr <= ex_src1_reg_data + ex_imm; - mem_mem_wdata <= ex_src2_reg_data; - mem_funct3 <= ex_funct3; - end - - -// ------------------------------------------------------------------------------- -// MEM-WB stage - timing logic -// ------------------------------------------------------------------------------- -core_bus_wrapper core_bus_wrapper_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_re ( mem_memory2reg ), - .i_we ( mem_memwrite ), - .o_conflict ( mem_data_bus_conflict ), - .i_funct3 ( mem_funct3 ), - .i_addr ( mem_mem_addr ), - .i_wdata ( mem_mem_wdata ), - .o_rdata ( wb_memout ), - .bus_master ( data_master ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - wb_regwrite <= 1'b0; - wb_memory2reg <= 1'b0; - wb_dst_reg_addr <= 5'h0; - wb_alu_res <= 0; - end else begin - wb_regwrite <= wb_nop ? 1'b0 : (mem_alures2reg | mem_memory2reg); - wb_memory2reg <= wb_nop ? 1'b0 : mem_memory2reg; - wb_dst_reg_addr <= wb_nop ? 5'h0 : mem_dst_reg_addr; - wb_alu_res <= wb_nop ? 0 : mem_alu_res; - end - -// ------------------------------------------------------------------------------- -// WB stage - comb logic -// ------------------------------------------------------------------------------- -assign wb_reg_wdata = wb_memory2reg ? wb_memout : wb_alu_res; - -endmodule + +module core_top( + input logic clk, rstn, + input logic [31:0] i_boot_addr, + naive_bus.master instr_master, data_master +); + +// ID stage +logic [31:0] id_instr, id_pc; +logic id_src1_reg_en, id_src2_reg_en; +logic [ 4:0] id_src1_reg_addr, id_src2_reg_addr, id_dst_reg_addr; +logic id_jal, id_alures2reg, id_memory2reg, id_memwrite; +logic [ 6:0] id_opcode, id_funct7; +logic [ 2:0] id_funct3; +logic [31:0] id_imm; + +// EX stage +logic ex_branch_jalr, ex_alures2reg=1'b0, ex_memory2reg=1'b0, ex_memwrite=1'b0; +logic [6:0] ex_opcode=7'h0, ex_funct7=7'h0; +logic [2:0] ex_funct3=3'h0; +logic [4:0] ex_dst_reg_addr=5'h0; +logic [31:0] ex_alu_res, ex_src1_reg_data, ex_src2_reg_data, ex_pc=0, ex_imm=0, ex_branch_jalr_target; + +// MEM stage +logic [2:0] mem_funct3=3'b0; +logic mem_alures2reg=1'b0, mem_memory2reg=1'b0, mem_memwrite=1'b0; +logic [31:0] mem_alu_res=0, mem_mem_wdata=0, mem_mem_addr=0; +logic [4:0] mem_dst_reg_addr=5'h0; + +// WB stage +logic wb_memory2reg=1'b0, wb_regwrite=1'b0; +logic [31:0] wb_alu_res=0, wb_reg_wdata, wb_memout; +logic [4:0] wb_dst_reg_addr=5'h0; + +// hazard signal +logic id_read_disable, id_stall, ex_stall, ex_nop, mem_stall, wb_nop; +logic loaduse, mem_data_bus_conflict; + + +// ------------------------------------------------------------------------------- +// hazard - comb logic +// ------------------------------------------------------------------------------- +assign id_read_disable = loaduse; +assign id_stall = mem_data_bus_conflict; +assign ex_stall = mem_data_bus_conflict; +assign ex_nop = loaduse; +assign mem_stall = mem_data_bus_conflict; +assign wb_nop = mem_data_bus_conflict; + +assign loaduse = + (id_src1_reg_en & ex_memory2reg & (id_src1_reg_addr== ex_dst_reg_addr) ) | + (id_src2_reg_en & ex_memory2reg & (id_src2_reg_addr== ex_dst_reg_addr) ) | + (id_src1_reg_en & mem_memory2reg & (id_src1_reg_addr==mem_dst_reg_addr) ) | + (id_src2_reg_en & mem_memory2reg & (id_src2_reg_addr==mem_dst_reg_addr) ) ; + + +// ------------------------------------------------------------------------------- +// Instruction Bus Adapter - timing logic +// ------------------------------------------------------------------------------- +core_instr_bus_adapter core_instr_bus_i( + .clk ( clk ), + .rstn ( rstn ), + .i_boot_addr ( i_boot_addr ), + .i_stall ( id_read_disable | id_stall ), + .i_bus_disable ( id_read_disable ), + .i_ex_jmp ( ex_branch_jalr ), + .i_ex_target ( ex_branch_jalr_target ), + .i_id_jmp ( id_jal ), + .i_id_target ( id_pc + id_imm ), + .o_pc ( id_pc ), + .o_instr ( id_instr ), + .bus_master ( instr_master ) +); + +// ------------------------------------------------------------------------------- +// ID stage - comb logic +// ------------------------------------------------------------------------------- +core_id_stage core_id_stage_i ( + .i_instr ( id_instr ), + .o_src1_reg_en ( id_src1_reg_en ), + .o_src2_reg_en ( id_src2_reg_en ), + .o_jal ( id_jal ), + .o_alures2reg ( id_alures2reg ), + .o_memory2reg ( id_memory2reg ), + .o_mem_write ( id_memwrite ), + .o_src1_reg_addr ( id_src1_reg_addr ), + .o_src2_reg_addr ( id_src2_reg_addr ), + .o_dst_reg_addr ( id_dst_reg_addr ), + .o_opcode ( id_opcode ), + .o_funct7 ( id_funct7 ), + .o_funct3 ( id_funct3 ), + .o_imm ( id_imm ) +); + + +// ------------------------------------------------------------------------------- +// ID-EX stage seg reg - timing logic +// ------------------------------------------------------------------------------- +core_regfile core_regfile_i ( // regfile is a part of ID-EX seg reg + .clk ( clk ), + .rstn ( rstn ), + .rd_latch ( ex_stall ), + .i_re1 ( id_src1_reg_en ), + .i_raddr1 ( id_src1_reg_addr ), + .o_rdata1 ( ex_src1_reg_data ), + .i_re2 ( id_src2_reg_en ), + .i_raddr2 ( id_src2_reg_addr ), + .o_rdata2 ( ex_src2_reg_data ), + .i_forward1 ( ex_alures2reg ), + .i_faddr1 ( ex_dst_reg_addr ), + .i_fdata1 ( ex_alu_res ), + .i_forward2 ( mem_alures2reg ), + .i_faddr2 ( mem_dst_reg_addr ), + .i_fdata2 ( mem_alu_res ), + .i_we ( wb_regwrite ), + .i_waddr ( wb_dst_reg_addr ), + .i_wdata ( wb_reg_wdata ) +); +always @ (posedge clk or negedge rstn) + if(~rstn) begin + ex_alures2reg <= 1'b0; + ex_memory2reg <= 1'b0; + ex_memwrite <= 1'b0; + ex_dst_reg_addr <= 5'h0; + ex_opcode <= 7'h0; + ex_funct3 <= 3'h0; + ex_funct7 <= 7'h0; + ex_imm <= 0; + ex_pc <= 0; + end else if(~ex_stall) begin + ex_alures2reg <= ex_nop ? 1'b0 : id_alures2reg; + ex_memory2reg <= ex_nop ? 1'b0 : id_memory2reg; + ex_memwrite <= ex_nop ? 1'b0 : id_memwrite; + ex_dst_reg_addr <= ex_nop ? 5'h0 : id_dst_reg_addr; + ex_opcode <= ex_nop ? 7'h0 : id_opcode; + ex_funct7 <= ex_nop ? 7'h0 : id_funct7; + ex_funct3 <= ex_nop ? 3'h0 : id_funct3; + ex_imm <= ex_nop ? 0 : id_imm; + ex_pc <= ex_nop ? 0 : id_pc; + end + + +// ------------------------------------------------------------------------------- +// EX stage - comb logic +// ------------------------------------------------------------------------------- +core_alu core_alu_i ( + .i_opcode ( ex_opcode ), + .i_funct7 ( ex_funct7 ), + .i_funct3 ( ex_funct3 ), + .i_num1u ( ex_src1_reg_data ), + .i_num2u ( ex_src2_reg_data ), + .i_pc ( ex_pc ), + .i_immu ( ex_imm ), + .o_branch_jalr ( ex_branch_jalr ), + .o_branch_jalr_target ( ex_branch_jalr_target ), + .o_res ( ex_alu_res ) +); + + +// ------------------------------------------------------------------------------- +// EX-MEM stage - timing logic +// ------------------------------------------------------------------------------- +always @ (posedge clk or negedge rstn) + if(~rstn) begin + mem_memory2reg <= 1'b0; + mem_alures2reg <= 1'b0; + mem_alu_res <= 0; + mem_dst_reg_addr<= 5'h0; + mem_memwrite <= 1'b0; + mem_mem_addr <= 0; + mem_mem_wdata <= 0; + mem_funct3 <= 3'b0; + end else if(~mem_stall) begin + mem_memory2reg <= ex_memory2reg; + mem_alures2reg <= ex_alures2reg; + mem_dst_reg_addr<= ex_dst_reg_addr; + mem_alu_res <= ex_alu_res; + mem_memwrite <= ex_memwrite; + mem_mem_addr <= ex_src1_reg_data + ex_imm; + mem_mem_wdata <= ex_src2_reg_data; + mem_funct3 <= ex_funct3; + end + + +// ------------------------------------------------------------------------------- +// MEM-WB stage - timing logic +// ------------------------------------------------------------------------------- +core_bus_wrapper core_bus_wrapper_i ( + .clk ( clk ), + .rstn ( rstn ), + .i_re ( mem_memory2reg ), + .i_we ( mem_memwrite ), + .o_conflict ( mem_data_bus_conflict ), + .i_funct3 ( mem_funct3 ), + .i_addr ( mem_mem_addr ), + .i_wdata ( mem_mem_wdata ), + .o_rdata ( wb_memout ), + .bus_master ( data_master ) +); +always @ (posedge clk or negedge rstn) + if(~rstn) begin + wb_regwrite <= 1'b0; + wb_memory2reg <= 1'b0; + wb_dst_reg_addr <= 5'h0; + wb_alu_res <= 0; + end else begin + wb_regwrite <= wb_nop ? 1'b0 : (mem_alures2reg | mem_memory2reg); + wb_memory2reg <= wb_nop ? 1'b0 : mem_memory2reg; + wb_dst_reg_addr <= wb_nop ? 5'h0 : mem_dst_reg_addr; + wb_alu_res <= wb_nop ? 0 : mem_alu_res; + end + +// ------------------------------------------------------------------------------- +// WB stage - comb logic +// ------------------------------------------------------------------------------- +assign wb_reg_wdata = wb_memory2reg ? wb_memout : wb_alu_res; + +endmodule diff --git a/hardware/RTL/instr_rom.sv b/RTL/instr_rom.sv similarity index 71% rename from hardware/RTL/instr_rom.sv rename to RTL/instr_rom.sv index 747f000..053f4f6 100644 --- a/hardware/RTL/instr_rom.sv +++ b/RTL/instr_rom.sv @@ -1,43 +1,41 @@ -module instr_rom( - input logic clk, rst_n, - naive_bus.slave bus -); -localparam INSTR_CNT = 30'd18; -wire [0:INSTR_CNT-1] [31:0] instr_rom_cell = { - 32'h000062b3, // 0x00000000 - 32'h000302b7, // 0x00000004 - 32'h06806313, // 0x00000008 - 32'h00628023, // 0x0000000c - 32'h06506313, // 0x00000010 - 32'h00628023, // 0x00000014 - 32'h06c06313, // 0x00000018 - 32'h00628023, // 0x0000001c - 32'h06c06313, // 0x00000020 - 32'h00628023, // 0x00000024 - 32'h06f06313, // 0x00000028 - 32'h00628023, // 0x0000002c - 32'h00a06313, // 0x00000030 - 32'h00628023, // 0x00000034 - 32'h00c003b7, // 0x00000038 - 32'hfff38393, // 0x0000003c - 32'hfe039ee3, // 0x00000040 - 32'hfc5ff06f // 0x00000044 -}; - -logic [29:0] cell_rd_addr; - -assign bus.rd_gnt = bus.rd_req; -assign bus.wr_gnt = bus.wr_req; -assign cell_rd_addr = bus.rd_addr[31:2]; -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus.rd_data <= 0; - else begin - if(bus.rd_req) - bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr]; - else - bus.rd_data <= 0; - end - -endmodule - + +module instr_rom( + input logic clk, + naive_bus.slave bus +); + +localparam INSTR_CNT = 30'd18; + +wire [31:0] instr_rom_cell [INSTR_CNT] = '{ + 32'h000062b3, // 0x00000000 + 32'h000302b7, // 0x00000004 + 32'h06806313, // 0x00000008 + 32'h00628023, // 0x0000000c + 32'h06506313, // 0x00000010 + 32'h00628023, // 0x00000014 + 32'h06c06313, // 0x00000018 + 32'h00628023, // 0x0000001c + 32'h06c06313, // 0x00000020 + 32'h00628023, // 0x00000024 + 32'h06f06313, // 0x00000028 + 32'h00628023, // 0x0000002c + 32'h00a06313, // 0x00000030 + 32'h00628023, // 0x00000034 + 32'h00c003b7, // 0x00000038 + 32'hfff38393, // 0x0000003c + 32'hfe039ee3, // 0x00000040 + 32'hfc5ff06f // 0x00000044 +}; + +logic [29:0] cell_rd_addr; + +assign bus.rd_gnt = bus.rd_req; +assign bus.wr_gnt = bus.wr_req; +assign cell_rd_addr = bus.rd_addr[31:2]; + +always @ (posedge clk) + if(bus.rd_req) + bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr]; + +endmodule + diff --git a/hardware/RTL/naive_bus.sv b/RTL/naive_bus.sv similarity index 100% rename from hardware/RTL/naive_bus.sv rename to RTL/naive_bus.sv diff --git a/hardware/RTL/naive_bus_router.sv b/RTL/naive_bus_router.sv similarity index 95% rename from hardware/RTL/naive_bus_router.sv rename to RTL/naive_bus_router.sv index a1c1fa9..1ceb017 100644 --- a/hardware/RTL/naive_bus_router.sv +++ b/RTL/naive_bus_router.sv @@ -1,10 +1,11 @@ + module naive_bus_router #( parameter [7:0] N_MASTER = 2, - parameter [7:0] N_SLAVE = 3, + parameter [7:0] N_SLAVE = 3, parameter [0:N_SLAVE-1][31:0] SLAVES_MASK = { 32'h0000_3fff , 32'h0000_3fff , 32'h0000_3fff }, parameter [0:N_SLAVE-1][31:0] SLAVES_BASE = { 32'h0000_0000 , 32'h0001_0000 , 32'h0002_0000 } )( - input logic clk, rst_n, + input logic clk, rstn, naive_bus.slave masters [N_MASTER-1:0] , naive_bus.master slaves [ N_SLAVE-1:0] ); @@ -109,8 +110,8 @@ generate end endgenerate -always @ (posedge clk or negedge rst_n) - if(~rst_n) +always @ (posedge clk or negedge rstn) + if(~rstn) master_rd_slv_index_latch <= {N_MASTER{N_SLAVE}}; else master_rd_slv_index_latch <= master_rd_slv_index; diff --git a/hardware/RTL/ram.sv b/RTL/ram.sv similarity index 67% rename from hardware/RTL/ram.sv rename to RTL/ram.sv index 11dd81b..23932c3 100644 --- a/hardware/RTL/ram.sv +++ b/RTL/ram.sv @@ -1,3 +1,4 @@ + module ram( // 1024B input logic clk, input logic i_we, @@ -7,13 +8,13 @@ module ram( // 1024B ); initial o_rdata = 8'h0; -logic [7:0] data_ram_cell [0:1023]; +logic [7:0] ram_cell [1024]; always @ (posedge clk) - o_rdata <= data_ram_cell[i_raddr]; + o_rdata <= ram_cell[i_raddr]; always @ (posedge clk) if(i_we) - data_ram_cell[i_waddr] <= i_wdata; + ram_cell[i_waddr] <= i_wdata; endmodule diff --git a/hardware/RTL/ram_bus_wrapper.sv b/RTL/ram_bus_wrapper.sv similarity index 89% rename from hardware/RTL/ram_bus_wrapper.sv rename to RTL/ram_bus_wrapper.sv index ee2ea1e..1962dd8 100644 --- a/hardware/RTL/ram_bus_wrapper.sv +++ b/RTL/ram_bus_wrapper.sv @@ -1,5 +1,6 @@ + module ram_bus_wrapper( // 4kB, valid address: 0x0000_0000 ~ 0x0000_0fff - input logic clk, rst_n, + input logic clk, naive_bus.slave bus ); @@ -11,7 +12,7 @@ assign cell_wr_addr = bus.wr_addr[11:2]; assign bus.rd_gnt = bus.rd_req; assign bus.wr_gnt = bus.wr_req; -ram ram_block_inst_0( +ram ram_i0( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[0] ), .i_waddr ( cell_wr_addr ), @@ -19,7 +20,7 @@ ram ram_block_inst_0( .i_wdata ( bus.wr_data[ 7: 0] ), .o_rdata ( bus.rd_data[ 7: 0] ) ); -ram ram_block_inst_1( +ram ram_i1( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[1] ), .i_waddr ( cell_wr_addr ), @@ -27,7 +28,7 @@ ram ram_block_inst_1( .i_wdata ( bus.wr_data[15: 8] ), .o_rdata ( bus.rd_data[15: 8] ) ); -ram ram_block_inst_2( +ram ram_i2( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[2] ), .i_waddr ( cell_wr_addr ), @@ -35,7 +36,7 @@ ram ram_block_inst_2( .i_wdata ( bus.wr_data[23:16] ), .o_rdata ( bus.rd_data[23:16] ) ); -ram ram_block_inst_3( +ram ram_i3( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[3] ), .i_waddr ( cell_wr_addr ), diff --git a/hardware/RTL/soc_top.sv b/RTL/soc_top.sv similarity index 68% rename from hardware/RTL/soc_top.sv rename to RTL/soc_top.sv index dfe3e6a..703dc7c 100644 --- a/hardware/RTL/soc_top.sv +++ b/RTL/soc_top.sv @@ -1,107 +1,110 @@ -module soc_top #( - parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 - parameter UART_TX_CLK_DIV = 434, // 50MHz/1/115200Hz=434 - parameter VGA_CLK_DIV = 1 -)( - // clock, typically 50MHz, UART_RX_CLK_DIV and UART_TX_CLK_DIV and VGA_CLK_DIV must be modify when clk is not 50MHz - input logic clk, - // debug uart and user uart shared signal - input logic isp_uart_rx, - output logic isp_uart_tx, - // VGA signal - output logic vga_hsync, vga_vsync, - output logic vga_red, vga_green, vga_blue -); -logic rst_n; -logic [31:0] boot_addr; - -naive_bus bus_masters[3](); -naive_bus bus_slaves[5](); - -// shared debug uart and user uart module -isp_uart #( - .UART_RX_CLK_DIV ( UART_RX_CLK_DIV), - .UART_TX_CLK_DIV ( UART_TX_CLK_DIV) -) isp_uart_inst( - .clk ( clk ), - .i_uart_rx ( isp_uart_rx ), - .o_uart_tx ( isp_uart_tx ), - .o_rst_n ( rst_n ), - .o_boot_addr ( boot_addr ), - .bus ( bus_masters[0] ), - .user_uart_bus ( bus_slaves[4] ) -); - -// RV32I Core -core_top core_top_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_boot_addr ( boot_addr ), - .instr_master ( bus_masters[2] ), - .data_master ( bus_masters[1] ) -); - -// 指令ROM -instr_rom instr_rom_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[0] ) -); - -// 指令RAM -ram_bus_wrapper instr_ram_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[1] ) -); - -// 数据RAM -ram_bus_wrapper data_ram_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[2] ) -); - - -// 显存 -video_ram #( - .VGA_CLK_DIV ( VGA_CLK_DIV ) -)video_ram_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[3] ), - .o_vsync ( vga_vsync ), - .o_hsync ( vga_hsync ), - .o_red ( vga_red ), - .o_green ( vga_green ), - .o_blue ( vga_blue ) -); - - -// 3��?5从�?�线仲裁��? -// -// 主(越靠前优先级越高): -// 0. UART Debugger? -// 1. Core Data Master -// 2. Core Instruction Master -// -// 从: -// 1. 指令ROM��? 地址空间 00000000~00000fff -// 2. 指令RAM��? 地址空间 00008000~00008fff -// 3. 数据RAM��? 地址空间 00010000~00010fff -// 4. 显存RAM��? 地址空间 00020000~00020fff -// 5. 用户UART, 地址空间 00030000~00030003 -naive_bus_router #( - .N_MASTER ( 3 ), - .N_SLAVE ( 5 ), - .SLAVES_MASK ( { 32'h0000_0003 , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff } ), - .SLAVES_BASE ( { 32'h0003_0000 , 32'h0002_0000 , 32'h0001_0000 , 32'h0000_8000 , 32'h0000_0000 } ) -) soc_bus_router_inst ( - .clk ( clk ), - .rst_n ( rst_n ), - .masters ( bus_masters ), - .slaves ( bus_slaves ) -); - -endmodule - + +module soc_top #( + parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 + parameter UART_TX_CLK_DIV = 434, // 50MHz/1/115200Hz=434 + parameter VGA_CLK_DIV = 1 +)( + // clock, typically 50MHz, UART_RX_CLK_DIV and UART_TX_CLK_DIV and VGA_CLK_DIV must be modify when clk is not 50MHz + input logic clk, + // debug uart and user uart shared signal + input logic isp_uart_rx, + output logic isp_uart_tx, + // VGA signal + output logic vga_hsync, vga_vsync, + output logic vga_red, vga_green, vga_blue +); + +logic rstn; +logic [31:0] boot_addr; + +naive_bus bus_masters[3](); +naive_bus bus_slaves[5](); + +// shared debug uart and user uart module +isp_uart #( + .UART_RX_CLK_DIV ( UART_RX_CLK_DIV), + .UART_TX_CLK_DIV ( UART_TX_CLK_DIV) +) isp_uart_i( + .clk ( clk ), + .i_uart_rx ( isp_uart_rx ), + .o_uart_tx ( isp_uart_tx ), + .o_rstn ( rstn ), + .o_boot_addr ( boot_addr ), + .bus ( bus_masters[0] ), + .user_uart_bus ( bus_slaves[4] ) +); + + +// RV32I Core +core_top core_top_i( + .clk ( clk ), + .rstn ( rstn ), + .i_boot_addr ( boot_addr ), + .instr_master ( bus_masters[2] ), + .data_master ( bus_masters[1] ) +); + + +// Instruction ROM +instr_rom instr_rom_i( + .clk ( clk ), + .bus ( bus_slaves[0] ) +); + + +// Instruction RAM +ram_bus_wrapper instr_ram_i( + .clk ( clk ), + .bus ( bus_slaves[1] ) +); + + +// Data RAM +ram_bus_wrapper data_ram_i( + .clk ( clk ), + .bus ( bus_slaves[2] ) +); + + +// Video RAM (include VGA controller) +video_ram #( + .VGA_CLK_DIV ( VGA_CLK_DIV ) +) video_ram_i ( + .clk ( clk ), + .rstn ( rstn ), + .bus ( bus_slaves[3] ), + .o_vsync ( vga_vsync ), + .o_hsync ( vga_hsync ), + .o_red ( vga_red ), + .o_green ( vga_green ), + .o_blue ( vga_blue ) +); + + +// bus router (bus interconnect) +// +// Bus Masters (sort by priority): +// 0. UART Debugger (isp_uart) +// 1. Core Data Master +// 2. Core Instruction Master +// +// Bus Slaves: +// 1. Instruction ROM address: 0x00000000~0x00000fff +// 2. Instruction RAM address: 0x00008000~0x00008fff +// 3. Data RAM address: 0x00010000~0x00010fff +// 4. Video RAM address: 0x00020000~0x00020fff +// 5. user tx uart address: 0x00030000~0x00030003 +naive_bus_router #( + .N_MASTER ( 3 ), + .N_SLAVE ( 5 ), + .SLAVES_MASK ( { 32'h0000_0003 , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff } ), + .SLAVES_BASE ( { 32'h0003_0000 , 32'h0002_0000 , 32'h0001_0000 , 32'h0000_8000 , 32'h0000_0000 } ) +) soc_bus_router_i ( + .clk ( clk ), + .rstn ( rstn ), + .masters ( bus_masters ), + .slaves ( bus_slaves ) +); + +endmodule + diff --git a/hardware/RTL/isp_uart.sv b/RTL/uart/isp_uart.sv similarity index 73% rename from hardware/RTL/isp_uart.sv rename to RTL/uart/isp_uart.sv index 1510ce4..819cc66 100644 --- a/hardware/RTL/isp_uart.sv +++ b/RTL/uart/isp_uart.sv @@ -1,4 +1,3 @@ -// UART module isp_uart #( parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 @@ -7,83 +6,78 @@ module isp_uart #( input logic clk, input logic i_uart_rx, output logic o_uart_tx, - output logic o_rst_n, + output logic o_rstn, output logic [31:0] o_boot_addr, naive_bus.master bus, naive_bus.slave user_uart_bus ); logic isp_uart_tx, user_uart_tx, isp_user_sel=1'b0; -logic uart_tx_line_fin; -logic [ 3:0] rst_chain = 4'b0; -logic rx_ready, rd_ok=1'b0, wr_ok=1'b0, tx_start=1'b0; -logic [ 7:0] rx_data, rx_data_raw, rx_binary; -logic [ 3:0] rx_binary_l; +logic [ 3:0] rstn_shift = 4'b0; +logic uart_tx_line_fin, rx_ready, rd_ok=1'b0, wr_ok=1'b0, tx_start=1'b0; +logic [ 7:0] rx_data; logic [31:0] addr=0, wr_data=0; logic [ 7:0][ 7:0] rd_data_ascii; logic [ 7:0][ 7:0] tx_data = 64'h0; enum {NEW, CMD,OPEN,CLOSE,ADDR, EQUAL, DATA, FINAL, TRASH} fsm = NEW; enum {NONE, SELOPEN, SELCLOSE, RST} send_type = NONE; -`define C (rx_data=="r") -`define OP (rx_data=="o") -`define CL (rx_data=="s") +`define C (rx_data=="r") || (rx_data=="R") +`define OP (rx_data=="o") || (rx_data=="O") +`define CL (rx_data=="s") || (rx_data=="S") `define S (rx_data==" " || rx_data=="\t" ) `define E (rx_data=="\n" || rx_data=="\r" ) -`define N ( (rx_data>="0" && rx_data<="9" ) || (rx_data>="a" && rx_data<="f" ) ) +`define N ( (rx_data>="0" && rx_data<="9" ) || (rx_data>="a" && rx_data<="f" ) || (rx_data>="A" && rx_data<="F" ) ) + +function automatic logic [3:0] ascii2hex(input [7:0] ch); + logic [7:0] rxbinary; + if(ch>="0" && ch<="9" ) begin + rxbinary = ch - "0"; + end else if(ch>="a" && ch<="f" ) begin + rxbinary = ch - "a" + 8'd10; + end else if(ch>="A" && ch<="F" ) begin + rxbinary = ch - "A" + 8'd10; + end else begin + rxbinary = 8'h0; + end + return rxbinary[3:0]; +endfunction initial o_boot_addr = 0; -assign o_rst_n = &rst_chain; +assign o_rstn = rstn_shift[3]; assign o_uart_tx = isp_user_sel ? isp_uart_tx : user_uart_tx; initial begin bus.rd_req = 1'b0; bus.wr_req = 1'b0; bus.rd_addr = 0; bus.wr_addr = 0; bus.wr_data = 0; end assign bus.rd_be = 4'hf; assign bus.wr_be = 4'hf; -assign rx_binary_l = rx_binary[3:0]; - uart_rx #( - .UART_RX_CLK_DIV (UART_RX_CLK_DIV) -) uart_rx_inst ( - .clk ( clk ), - .i_rx ( i_uart_rx ), - .o_ready ( rx_ready ), - .o_data ( rx_data_raw ) + .UART_RX_CLK_DIV ( UART_RX_CLK_DIV ) +) uart_rx_i ( + .clk ( clk ), + .i_rx ( i_uart_rx ), + .o_ready ( rx_ready ), + .o_data ( rx_data ) ); uart_tx_line #( - .UART_TX_CLK_DIV (UART_TX_CLK_DIV) -) uart_tx_line_inst ( - .clk ( clk ), - .o_tx ( isp_uart_tx ), - .i_start ( tx_start ), - .o_fin ( uart_tx_line_fin ), - .i_data ( tx_data ) + .UART_TX_CLK_DIV ( UART_TX_CLK_DIV ) +) uart_tx_line_i ( + .clk ( clk ), + .o_tx ( isp_uart_tx ), + .i_start ( tx_start ), + .o_fin ( uart_tx_line_fin ), + .i_data ( tx_data ) ); user_uart_tx #( - .UART_TX_CLK_DIV (UART_TX_CLK_DIV) -) user_uart_in_isp_inst ( - .clk ( clk ), - .rst_n ( o_rst_n ), - .o_uart_tx ( user_uart_tx ), - .bus ( user_uart_bus ) + .UART_TX_CLK_DIV ( UART_TX_CLK_DIV ) +) user_uart_in_isp_i ( + .clk ( clk ), + .rstn ( o_rstn ), + .o_uart_tx ( user_uart_tx ), + .bus ( user_uart_bus ) ); -always_comb // to lower case - if(rx_data_raw>="A" && rx_data_raw<="Z") - rx_data <= rx_data_raw | 8'b00100000; - else - rx_data <= rx_data_raw; - -always_comb - if(rx_data>="0" && rx_data<="9" ) begin - rx_binary = rx_data - "0"; - end else if(rx_data>="a" && rx_data<="f" ) begin - rx_binary = rx_data - "a" + 8'd10; - end else begin - rx_binary = 8'h0; - end - generate genvar i; for(i=0; i<8; i++) begin : convert_binary_to_ascii @@ -136,15 +130,15 @@ always @ (posedge clk) always @ (posedge clk) if(uart_tx_line_fin && send_type == RST) - rst_chain <= 4'h0; + rstn_shift <= 4'h0; else - rst_chain <= {rst_chain[2:0],1'b1}; + rstn_shift <= {rstn_shift[2:0],1'b1}; always @ (posedge clk) if(uart_tx_line_fin && (send_type == RST || send_type == SELOPEN) ) - isp_user_sel <= 1'b0; // 切换到USER模式 + isp_user_sel <= 1'b0; // user mode else if(rx_ready && `E ) - isp_user_sel <= 1'b1; // 切换到DEBUG模式 + isp_user_sel <= 1'b1; // debug mode always @ (posedge clk) if (bus.rd_req) begin @@ -166,7 +160,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= ADDR; - addr <= {addr[27:0], rx_binary_l}; // get a addr + addr <= {addr[27:0], ascii2hex(rx_data) }; // get a addr end else begin fsm <= TRASH; end @@ -178,15 +172,15 @@ always @ (posedge clk) fsm <= TRASH; end CMD : if (`E) begin - o_boot_addr <= {wr_data[31:2],2'b00}; // 设置复位的boot地址,后两位截断(双字对齐) + o_boot_addr <= {wr_data[31:2],2'b00}; fsm <= NEW; // cmd ok! addr <= 0; wr_data <= 0; end else if(`S) begin fsm <= CMD; end else if(`N) begin - fsm <= CMD; // r字符后出现数字,说明该复位命令要指定boot地址? - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data + fsm <= CMD; + wr_data <= {wr_data[27:0], ascii2hex(rx_data) }; // get a data end else begin fsm <= TRASH; end @@ -198,7 +192,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= ADDR; - addr <= {addr[27:0], rx_binary_l}; // get a addr + addr <= {addr[27:0], ascii2hex(rx_data) }; // get a addr end else if(`S) begin fsm <= EQUAL; // get addr down, waiting for data, maybe a write command end else begin @@ -212,7 +206,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= DATA; // get a data - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data + wr_data <= {wr_data[27:0], ascii2hex(rx_data) }; // get a data end else if(`S) begin fsm <= EQUAL; end else begin @@ -227,7 +221,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= DATA; // get a data - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data + wr_data <= {wr_data[27:0], ascii2hex(rx_data) }; // get a data end else if(`S) begin fsm <= FINAL; // get data down, waiting for \r or \n end else begin diff --git a/hardware/RTL/uart_rx.sv b/RTL/uart/uart_rx.sv similarity index 96% rename from hardware/RTL/uart_rx.sv rename to RTL/uart/uart_rx.sv index 003e9e1..e2f5645 100644 --- a/hardware/RTL/uart_rx.sv +++ b/RTL/uart/uart_rx.sv @@ -1,3 +1,4 @@ + module uart_rx #( parameter UART_RX_CLK_DIV = 108 // 50MHz/4/115200Hz=108 )( diff --git a/hardware/RTL/uart_tx_line.sv b/RTL/uart/uart_tx_line.sv similarity index 87% rename from hardware/RTL/uart_tx_line.sv rename to RTL/uart/uart_tx_line.sv index 6483ac1..4a7a76c 100644 --- a/hardware/RTL/uart_tx_line.sv +++ b/RTL/uart/uart_tx_line.sv @@ -2,10 +2,10 @@ module uart_tx_line #( parameter UART_TX_CLK_DIV = 434 // 50MHz/1/115200Hz=434 )( - input logic clk, - output logic o_tx, - input logic i_start, - output logic o_fin, + input logic clk, + output logic o_tx, + input logic i_start, + output logic o_fin, input logic [7:0][7:0] i_data ); diff --git a/hardware/RTL/user_uart_tx.sv b/RTL/uart/user_uart_tx.sv similarity index 75% rename from hardware/RTL/user_uart_tx.sv rename to RTL/uart/user_uart_tx.sv index 565605b..3bca137 100644 --- a/hardware/RTL/user_uart_tx.sv +++ b/RTL/uart/user_uart_tx.sv @@ -2,7 +2,7 @@ module user_uart_tx #( parameter UART_TX_CLK_DIV = 434 // 50MHz/1/115200Hz=434 )( - input logic clk, rst_n, + input logic clk, rstn, output logic o_uart_tx, naive_bus.slave bus ); @@ -14,7 +14,7 @@ logic rd_addr_valid, wr_addr_valid; logic [31:0] cnt = 0; logic [ 4:0] tx_cnt = 0; logic [ 7:0] tx_shift = 8'h0; -logic [ 7:0] fifo_rd_data; +logic [ 7:0] fifo_rd_data = 8'h0; initial o_uart_tx = 1'b1; @@ -27,8 +27,8 @@ assign fifo_full = (fifo_len==10'h3ff); assign bus.rd_gnt = bus.rd_req; -always @ (posedge clk or negedge rst_n) - if(~rst_n) +always @ (posedge clk or negedge rstn) + if(~rstn) bus.rd_data <= 0; else begin if(bus.rd_req & rd_addr_valid) @@ -48,8 +48,8 @@ always_comb bus.wr_gnt <= 1'b0; end -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin fifo_wr_pointer <= 10'h0; end else begin if(bus.wr_req & wr_addr_valid & bus.wr_be[0] & ~fifo_full) begin @@ -57,14 +57,14 @@ always @ (posedge clk or negedge rst_n) end end -always @ (posedge clk or negedge rst_n) - if(~rst_n) +always @ (posedge clk or negedge rstn) + if(~rstn) cnt <= 0; else cnt <= (cnt= V_BLSTART; + vlbl<= vcnt < V_BLEND ; + vgbr<= vcnt >= V_BRSTART; + hlbr<= hcnt < H_BREND ; + hgbl<= hcnt >= H_BLSTART; + hlbl<= hcnt < H_BLEND; + hgbr<= hcnt >= H_BRSTART; + vir <= vlbr | vgbl; + hir <= hlbr | hgbl; + vbr <= vgbr & vlbr; + hbr <= hgbr & hlbr; + vbl <= vgbl & vlbl; + hbl <= hgbl & hlbl; + hb <= (hbr | hbl) & vir; + vb <= (vbr | vbl) & hir; + border <= hb | vb; +end + +always @ (posedge clk)begin + cnt <= (cnt<(VGA_CLK_DIV-1)) ? cnt + 10'h1 : 10'h0; + if(cnt==10'h0) begin + if(hcnt < H_PERIOD) begin + hcnt <= hcnt + 10'h1; + end else begin + hcnt <= 10'h0; + vcnt <= (vcnt=H_SYNCSTART && hcnt=V_SYNCSTART && vcnt: - 10080: 00000013 nop - -00010084 : - 10084: 00000093 li ra,0 - 10088: 00000113 li sp,0 - 1008c: 00208f33 add t5,ra,sp - 10090: 00000e93 li t4,0 - 10094: 00200193 li gp,2 - 10098: 01df0463 beq t5,t4,100a0 - 1009c: 2ac0206f j 12348 - -000100a0 : - 100a0: 00100093 li ra,1 - 100a4: 00100113 li sp,1 - 100a8: 00208f33 add t5,ra,sp - 100ac: 00200e93 li t4,2 - 100b0: 00300193 li gp,3 - 100b4: 01df0463 beq t5,t4,100bc - 100b8: 2900206f j 12348 - -000100bc : - 100bc: 00300093 li ra,3 - 100c0: 00700113 li sp,7 - 100c4: 00208f33 add t5,ra,sp - 100c8: 00a00e93 li t4,10 - 100cc: 00400193 li gp,4 - 100d0: 01df0463 beq t5,t4,100d8 - 100d4: 2740206f j 12348 - -000100d8 : - 100d8: 00000093 li ra,0 - 100dc: ffff8137 lui sp,0xffff8 - 100e0: 00208f33 add t5,ra,sp - 100e4: ffff8eb7 lui t4,0xffff8 - 100e8: 00500193 li gp,5 - 100ec: 01df0463 beq t5,t4,100f4 - 100f0: 2580206f j 12348 - -000100f4 : - 100f4: 800000b7 lui ra,0x80000 - 100f8: 00000113 li sp,0 - 100fc: 00208f33 add t5,ra,sp - 10100: 80000eb7 lui t4,0x80000 - 10104: 00600193 li gp,6 - 10108: 01df0463 beq t5,t4,10110 - 1010c: 23c0206f j 12348 - -00010110 : - 10110: 800000b7 lui ra,0x80000 - 10114: ffff8137 lui sp,0xffff8 - 10118: 00208f33 add t5,ra,sp - 1011c: 7fff8eb7 lui t4,0x7fff8 - 10120: 00700193 li gp,7 - 10124: 01df0463 beq t5,t4,1012c - 10128: 2200206f j 12348 - -0001012c : - 1012c: 00000093 li ra,0 - 10130: 00008137 lui sp,0x8 - 10134: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10138: 00208f33 add t5,ra,sp - 1013c: 00008eb7 lui t4,0x8 - 10140: fffe8e93 addi t4,t4,-1 # 7fff <_start-0x8081> - 10144: 00800193 li gp,8 - 10148: 01df0463 beq t5,t4,10150 - 1014c: 1fc0206f j 12348 - -00010150 : - 10150: 800000b7 lui ra,0x80000 - 10154: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10158: 00000113 li sp,0 - 1015c: 00208f33 add t5,ra,sp - 10160: 80000eb7 lui t4,0x80000 - 10164: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10168: 00900193 li gp,9 - 1016c: 01df0463 beq t5,t4,10174 - 10170: 1d80206f j 12348 - -00010174 : - 10174: 800000b7 lui ra,0x80000 - 10178: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 1017c: 00008137 lui sp,0x8 - 10180: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10184: 00208f33 add t5,ra,sp - 10188: 80008eb7 lui t4,0x80008 - 1018c: ffee8e93 addi t4,t4,-2 # 80007ffe <__global_pointer$+0x7fff4376> - 10190: 00a00193 li gp,10 - 10194: 01df0463 beq t5,t4,1019c - 10198: 1b00206f j 12348 - -0001019c : - 1019c: 800000b7 lui ra,0x80000 - 101a0: 00008137 lui sp,0x8 - 101a4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 101a8: 00208f33 add t5,ra,sp - 101ac: 80008eb7 lui t4,0x80008 - 101b0: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff4377> - 101b4: 00b00193 li gp,11 - 101b8: 01df0463 beq t5,t4,101c0 - 101bc: 18c0206f j 12348 - -000101c0 : - 101c0: 800000b7 lui ra,0x80000 - 101c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 101c8: ffff8137 lui sp,0xffff8 - 101cc: 00208f33 add t5,ra,sp - 101d0: 7fff8eb7 lui t4,0x7fff8 - 101d4: fffe8e93 addi t4,t4,-1 # 7fff7fff <__global_pointer$+0x7ffe4377> - 101d8: 00c00193 li gp,12 - 101dc: 01df0463 beq t5,t4,101e4 - 101e0: 1680206f j 12348 - -000101e4 : - 101e4: 00000093 li ra,0 - 101e8: fff00113 li sp,-1 - 101ec: 00208f33 add t5,ra,sp - 101f0: fff00e93 li t4,-1 - 101f4: 00d00193 li gp,13 - 101f8: 01df0463 beq t5,t4,10200 - 101fc: 14c0206f j 12348 - -00010200 : - 10200: fff00093 li ra,-1 - 10204: 00100113 li sp,1 - 10208: 00208f33 add t5,ra,sp - 1020c: 00000e93 li t4,0 - 10210: 00e00193 li gp,14 - 10214: 01df0463 beq t5,t4,1021c - 10218: 1300206f j 12348 - -0001021c : - 1021c: fff00093 li ra,-1 - 10220: fff00113 li sp,-1 - 10224: 00208f33 add t5,ra,sp - 10228: ffe00e93 li t4,-2 - 1022c: 00f00193 li gp,15 - 10230: 01df0463 beq t5,t4,10238 - 10234: 1140206f j 12348 - -00010238 : - 10238: 00100093 li ra,1 - 1023c: 80000137 lui sp,0x80000 - 10240: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10244: 00208f33 add t5,ra,sp - 10248: 80000eb7 lui t4,0x80000 - 1024c: 01000193 li gp,16 - 10250: 01df0463 beq t5,t4,10258 - 10254: 0f40206f j 12348 - -00010258 : - 10258: 00d00093 li ra,13 - 1025c: 00b00113 li sp,11 - 10260: 002080b3 add ra,ra,sp - 10264: 01800e93 li t4,24 - 10268: 01100193 li gp,17 - 1026c: 01d08463 beq ra,t4,10274 - 10270: 0d80206f j 12348 - -00010274 : - 10274: 00e00093 li ra,14 - 10278: 00b00113 li sp,11 - 1027c: 00208133 add sp,ra,sp - 10280: 01900e93 li t4,25 - 10284: 01200193 li gp,18 - 10288: 01d10463 beq sp,t4,10290 - 1028c: 0bc0206f j 12348 - -00010290 : - 10290: 00d00093 li ra,13 - 10294: 001080b3 add ra,ra,ra - 10298: 01a00e93 li t4,26 - 1029c: 01300193 li gp,19 - 102a0: 01d08463 beq ra,t4,102a8 - 102a4: 0a40206f j 12348 - -000102a8 : - 102a8: 00000213 li tp,0 - 102ac: 00d00093 li ra,13 - 102b0: 00b00113 li sp,11 - 102b4: 00208f33 add t5,ra,sp - 102b8: 000f0313 mv t1,t5 - 102bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102c0: 00200293 li t0,2 - 102c4: fe5214e3 bne tp,t0,102ac - 102c8: 01800e93 li t4,24 - 102cc: 01400193 li gp,20 - 102d0: 01d30463 beq t1,t4,102d8 - 102d4: 0740206f j 12348 - -000102d8 : - 102d8: 00000213 li tp,0 - 102dc: 00e00093 li ra,14 - 102e0: 00b00113 li sp,11 - 102e4: 00208f33 add t5,ra,sp - 102e8: 00000013 nop - 102ec: 000f0313 mv t1,t5 - 102f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102f4: 00200293 li t0,2 - 102f8: fe5212e3 bne tp,t0,102dc - 102fc: 01900e93 li t4,25 - 10300: 01500193 li gp,21 - 10304: 01d30463 beq t1,t4,1030c - 10308: 0400206f j 12348 - -0001030c : - 1030c: 00000213 li tp,0 - 10310: 00f00093 li ra,15 - 10314: 00b00113 li sp,11 - 10318: 00208f33 add t5,ra,sp - 1031c: 00000013 nop - 10320: 00000013 nop - 10324: 000f0313 mv t1,t5 - 10328: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1032c: 00200293 li t0,2 - 10330: fe5210e3 bne tp,t0,10310 - 10334: 01a00e93 li t4,26 - 10338: 01600193 li gp,22 - 1033c: 01d30463 beq t1,t4,10344 - 10340: 0080206f j 12348 - -00010344 : - 10344: 00000213 li tp,0 - 10348: 00d00093 li ra,13 - 1034c: 00b00113 li sp,11 - 10350: 00208f33 add t5,ra,sp - 10354: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10358: 00200293 li t0,2 - 1035c: fe5216e3 bne tp,t0,10348 - 10360: 01800e93 li t4,24 - 10364: 01700193 li gp,23 - 10368: 01df0463 beq t5,t4,10370 - 1036c: 7dd0106f j 12348 - -00010370 : - 10370: 00000213 li tp,0 - 10374: 00e00093 li ra,14 - 10378: 00b00113 li sp,11 - 1037c: 00000013 nop - 10380: 00208f33 add t5,ra,sp - 10384: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10388: 00200293 li t0,2 - 1038c: fe5214e3 bne tp,t0,10374 - 10390: 01900e93 li t4,25 - 10394: 01800193 li gp,24 - 10398: 01df0463 beq t5,t4,103a0 - 1039c: 7ad0106f j 12348 - -000103a0 : - 103a0: 00000213 li tp,0 - 103a4: 00f00093 li ra,15 - 103a8: 00b00113 li sp,11 - 103ac: 00000013 nop - 103b0: 00000013 nop - 103b4: 00208f33 add t5,ra,sp - 103b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 103bc: 00200293 li t0,2 - 103c0: fe5212e3 bne tp,t0,103a4 - 103c4: 01a00e93 li t4,26 - 103c8: 01900193 li gp,25 - 103cc: 01df0463 beq t5,t4,103d4 - 103d0: 7790106f j 12348 - -000103d4 : - 103d4: 00000213 li tp,0 - 103d8: 00d00093 li ra,13 - 103dc: 00000013 nop - 103e0: 00b00113 li sp,11 - 103e4: 00208f33 add t5,ra,sp - 103e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 103ec: 00200293 li t0,2 - 103f0: fe5214e3 bne tp,t0,103d8 - 103f4: 01800e93 li t4,24 - 103f8: 01a00193 li gp,26 - 103fc: 01df0463 beq t5,t4,10404 - 10400: 7490106f j 12348 - -00010404 : - 10404: 00000213 li tp,0 - 10408: 00e00093 li ra,14 - 1040c: 00000013 nop - 10410: 00b00113 li sp,11 - 10414: 00000013 nop - 10418: 00208f33 add t5,ra,sp - 1041c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10420: 00200293 li t0,2 - 10424: fe5212e3 bne tp,t0,10408 - 10428: 01900e93 li t4,25 - 1042c: 01b00193 li gp,27 - 10430: 01df0463 beq t5,t4,10438 - 10434: 7150106f j 12348 - -00010438 : - 10438: 00000213 li tp,0 - 1043c: 00f00093 li ra,15 - 10440: 00000013 nop - 10444: 00000013 nop - 10448: 00b00113 li sp,11 - 1044c: 00208f33 add t5,ra,sp - 10450: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10454: 00200293 li t0,2 - 10458: fe5212e3 bne tp,t0,1043c - 1045c: 01a00e93 li t4,26 - 10460: 01c00193 li gp,28 - 10464: 01df0463 beq t5,t4,1046c - 10468: 6e10106f j 12348 - -0001046c : - 1046c: 00000213 li tp,0 - 10470: 00b00113 li sp,11 - 10474: 00d00093 li ra,13 - 10478: 00208f33 add t5,ra,sp - 1047c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10480: 00200293 li t0,2 - 10484: fe5216e3 bne tp,t0,10470 - 10488: 01800e93 li t4,24 - 1048c: 01d00193 li gp,29 - 10490: 01df0463 beq t5,t4,10498 - 10494: 6b50106f j 12348 - -00010498 : - 10498: 00000213 li tp,0 - 1049c: 00b00113 li sp,11 - 104a0: 00e00093 li ra,14 - 104a4: 00000013 nop - 104a8: 00208f33 add t5,ra,sp - 104ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104b0: 00200293 li t0,2 - 104b4: fe5214e3 bne tp,t0,1049c - 104b8: 01900e93 li t4,25 - 104bc: 01e00193 li gp,30 - 104c0: 01df0463 beq t5,t4,104c8 - 104c4: 6850106f j 12348 - -000104c8 : - 104c8: 00000213 li tp,0 - 104cc: 00b00113 li sp,11 - 104d0: 00f00093 li ra,15 - 104d4: 00000013 nop - 104d8: 00000013 nop - 104dc: 00208f33 add t5,ra,sp - 104e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104e4: 00200293 li t0,2 - 104e8: fe5212e3 bne tp,t0,104cc - 104ec: 01a00e93 li t4,26 - 104f0: 01f00193 li gp,31 - 104f4: 01df0463 beq t5,t4,104fc - 104f8: 6510106f j 12348 - -000104fc : - 104fc: 00000213 li tp,0 - 10500: 00b00113 li sp,11 - 10504: 00000013 nop - 10508: 00d00093 li ra,13 - 1050c: 00208f33 add t5,ra,sp - 10510: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10514: 00200293 li t0,2 - 10518: fe5214e3 bne tp,t0,10500 - 1051c: 01800e93 li t4,24 - 10520: 02000193 li gp,32 - 10524: 01df0463 beq t5,t4,1052c - 10528: 6210106f j 12348 - -0001052c : - 1052c: 00000213 li tp,0 - 10530: 00b00113 li sp,11 - 10534: 00000013 nop - 10538: 00e00093 li ra,14 - 1053c: 00000013 nop - 10540: 00208f33 add t5,ra,sp - 10544: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10548: 00200293 li t0,2 - 1054c: fe5212e3 bne tp,t0,10530 - 10550: 01900e93 li t4,25 - 10554: 02100193 li gp,33 - 10558: 01df0463 beq t5,t4,10560 - 1055c: 5ed0106f j 12348 - -00010560 : - 10560: 00000213 li tp,0 - 10564: 00b00113 li sp,11 - 10568: 00000013 nop - 1056c: 00000013 nop - 10570: 00f00093 li ra,15 - 10574: 00208f33 add t5,ra,sp - 10578: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1057c: 00200293 li t0,2 - 10580: fe5212e3 bne tp,t0,10564 - 10584: 01a00e93 li t4,26 - 10588: 02200193 li gp,34 - 1058c: 01df0463 beq t5,t4,10594 - 10590: 5b90106f j 12348 - -00010594 : - 10594: 00f00093 li ra,15 - 10598: 00100133 add sp,zero,ra - 1059c: 00f00e93 li t4,15 - 105a0: 02300193 li gp,35 - 105a4: 01d10463 beq sp,t4,105ac - 105a8: 5a10106f j 12348 - -000105ac : - 105ac: 02000093 li ra,32 - 105b0: 00008133 add sp,ra,zero - 105b4: 02000e93 li t4,32 - 105b8: 02400193 li gp,36 - 105bc: 01d10463 beq sp,t4,105c4 - 105c0: 5890106f j 12348 - -000105c4 : - 105c4: 000000b3 add ra,zero,zero - 105c8: 00000e93 li t4,0 - 105cc: 02500193 li gp,37 - 105d0: 01d08463 beq ra,t4,105d8 - 105d4: 5750106f j 12348 - -000105d8 : - 105d8: 01000093 li ra,16 - 105dc: 01e00113 li sp,30 - 105e0: 00208033 add zero,ra,sp - 105e4: 00000e93 li t4,0 - 105e8: 02600193 li gp,38 - 105ec: 01d00463 beq zero,t4,105f4 - 105f0: 5590106f j 12348 - -000105f4 : - 105f4: 00000093 li ra,0 - 105f8: 00008f13 mv t5,ra - 105fc: 00000e93 li t4,0 - 10600: 02700193 li gp,39 - 10604: 01df0463 beq t5,t4,1060c - 10608: 5410106f j 12348 - -0001060c : - 1060c: 00100093 li ra,1 - 10610: 00108f13 addi t5,ra,1 - 10614: 00200e93 li t4,2 - 10618: 02800193 li gp,40 - 1061c: 01df0463 beq t5,t4,10624 - 10620: 5290106f j 12348 - -00010624 : - 10624: 00300093 li ra,3 - 10628: 00708f13 addi t5,ra,7 - 1062c: 00a00e93 li t4,10 - 10630: 02900193 li gp,41 - 10634: 01df0463 beq t5,t4,1063c - 10638: 5110106f j 12348 - -0001063c : - 1063c: 00000093 li ra,0 - 10640: 80008f13 addi t5,ra,-2048 - 10644: 80000e93 li t4,-2048 - 10648: 02a00193 li gp,42 - 1064c: 01df0463 beq t5,t4,10654 - 10650: 4f90106f j 12348 - -00010654 : - 10654: 800000b7 lui ra,0x80000 - 10658: 00008f13 mv t5,ra - 1065c: 80000eb7 lui t4,0x80000 - 10660: 02b00193 li gp,43 - 10664: 01df0463 beq t5,t4,1066c - 10668: 4e10106f j 12348 - -0001066c : - 1066c: 800000b7 lui ra,0x80000 - 10670: 80008f13 addi t5,ra,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> - 10674: 80000eb7 lui t4,0x80000 - 10678: 800e8e93 addi t4,t4,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> - 1067c: 02c00193 li gp,44 - 10680: 01df0463 beq t5,t4,10688 - 10684: 4c50106f j 12348 - -00010688 : - 10688: 00000093 li ra,0 - 1068c: 7ff08f13 addi t5,ra,2047 - 10690: 7ff00e93 li t4,2047 - 10694: 02d00193 li gp,45 - 10698: 01df0463 beq t5,t4,106a0 - 1069c: 4ad0106f j 12348 - -000106a0 : - 106a0: 800000b7 lui ra,0x80000 - 106a4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 106a8: 00008f13 mv t5,ra - 106ac: 80000eb7 lui t4,0x80000 - 106b0: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 106b4: 02e00193 li gp,46 - 106b8: 01df0463 beq t5,t4,106c0 - 106bc: 48d0106f j 12348 - -000106c0 : - 106c0: 800000b7 lui ra,0x80000 - 106c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 106c8: 7ff08f13 addi t5,ra,2047 - 106cc: 80000eb7 lui t4,0x80000 - 106d0: 7fee8e93 addi t4,t4,2046 # 800007fe <__global_pointer$+0x7ffecb76> - 106d4: 02f00193 li gp,47 - 106d8: 01df0463 beq t5,t4,106e0 - 106dc: 46d0106f j 12348 - -000106e0 : - 106e0: 800000b7 lui ra,0x80000 - 106e4: 7ff08f13 addi t5,ra,2047 # 800007ff <__global_pointer$+0x7ffecb77> - 106e8: 80000eb7 lui t4,0x80000 - 106ec: 7ffe8e93 addi t4,t4,2047 # 800007ff <__global_pointer$+0x7ffecb77> - 106f0: 03000193 li gp,48 - 106f4: 01df0463 beq t5,t4,106fc - 106f8: 4510106f j 12348 - -000106fc : - 106fc: 800000b7 lui ra,0x80000 - 10700: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10704: 80008f13 addi t5,ra,-2048 - 10708: 7ffffeb7 lui t4,0x7ffff - 1070c: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <__global_pointer$+0x7ffebb77> - 10710: 03100193 li gp,49 - 10714: 01df0463 beq t5,t4,1071c - 10718: 4310106f j 12348 - -0001071c : - 1071c: 00000093 li ra,0 - 10720: fff08f13 addi t5,ra,-1 - 10724: fff00e93 li t4,-1 - 10728: 03200193 li gp,50 - 1072c: 01df0463 beq t5,t4,10734 - 10730: 4190106f j 12348 - -00010734 : - 10734: fff00093 li ra,-1 - 10738: 00108f13 addi t5,ra,1 - 1073c: 00000e93 li t4,0 - 10740: 03300193 li gp,51 - 10744: 01df0463 beq t5,t4,1074c - 10748: 4010106f j 12348 - -0001074c : - 1074c: fff00093 li ra,-1 - 10750: fff08f13 addi t5,ra,-1 - 10754: ffe00e93 li t4,-2 - 10758: 03400193 li gp,52 - 1075c: 01df0463 beq t5,t4,10764 - 10760: 3e90106f j 12348 - -00010764 : - 10764: 800000b7 lui ra,0x80000 - 10768: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 1076c: 00108f13 addi t5,ra,1 - 10770: 80000eb7 lui t4,0x80000 - 10774: 03500193 li gp,53 - 10778: 01df0463 beq t5,t4,10780 - 1077c: 3cd0106f j 12348 - -00010780 : - 10780: 00d00093 li ra,13 - 10784: 00b08093 addi ra,ra,11 - 10788: 01800e93 li t4,24 - 1078c: 03600193 li gp,54 - 10790: 01d08463 beq ra,t4,10798 - 10794: 3b50106f j 12348 - -00010798 : - 10798: 00000213 li tp,0 - 1079c: 00d00093 li ra,13 - 107a0: 00b08f13 addi t5,ra,11 - 107a4: 000f0313 mv t1,t5 - 107a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107ac: 00200293 li t0,2 - 107b0: fe5216e3 bne tp,t0,1079c - 107b4: 01800e93 li t4,24 - 107b8: 03700193 li gp,55 - 107bc: 01d30463 beq t1,t4,107c4 - 107c0: 3890106f j 12348 - -000107c4 : - 107c4: 00000213 li tp,0 - 107c8: 00d00093 li ra,13 - 107cc: 00a08f13 addi t5,ra,10 - 107d0: 00000013 nop - 107d4: 000f0313 mv t1,t5 - 107d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107dc: 00200293 li t0,2 - 107e0: fe5214e3 bne tp,t0,107c8 - 107e4: 01700e93 li t4,23 - 107e8: 03800193 li gp,56 - 107ec: 01d30463 beq t1,t4,107f4 - 107f0: 3590106f j 12348 - -000107f4 : - 107f4: 00000213 li tp,0 - 107f8: 00d00093 li ra,13 - 107fc: 00908f13 addi t5,ra,9 - 10800: 00000013 nop - 10804: 00000013 nop - 10808: 000f0313 mv t1,t5 - 1080c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10810: 00200293 li t0,2 - 10814: fe5212e3 bne tp,t0,107f8 - 10818: 01600e93 li t4,22 - 1081c: 03900193 li gp,57 - 10820: 01d30463 beq t1,t4,10828 - 10824: 3250106f j 12348 - -00010828 : - 10828: 00000213 li tp,0 - 1082c: 00d00093 li ra,13 - 10830: 00b08f13 addi t5,ra,11 - 10834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10838: 00200293 li t0,2 - 1083c: fe5218e3 bne tp,t0,1082c - 10840: 01800e93 li t4,24 - 10844: 03a00193 li gp,58 - 10848: 01df0463 beq t5,t4,10850 - 1084c: 2fd0106f j 12348 - -00010850 : - 10850: 00000213 li tp,0 - 10854: 00d00093 li ra,13 - 10858: 00000013 nop - 1085c: 00a08f13 addi t5,ra,10 - 10860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10864: 00200293 li t0,2 - 10868: fe5216e3 bne tp,t0,10854 - 1086c: 01700e93 li t4,23 - 10870: 03b00193 li gp,59 - 10874: 01df0463 beq t5,t4,1087c - 10878: 2d10106f j 12348 - -0001087c : - 1087c: 00000213 li tp,0 - 10880: 00d00093 li ra,13 - 10884: 00000013 nop - 10888: 00000013 nop - 1088c: 00908f13 addi t5,ra,9 - 10890: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10894: 00200293 li t0,2 - 10898: fe5214e3 bne tp,t0,10880 - 1089c: 01600e93 li t4,22 - 108a0: 03c00193 li gp,60 - 108a4: 01df0463 beq t5,t4,108ac - 108a8: 2a10106f j 12348 - -000108ac : - 108ac: 02000093 li ra,32 - 108b0: 02000e93 li t4,32 - 108b4: 03d00193 li gp,61 - 108b8: 01d08463 beq ra,t4,108c0 - 108bc: 28d0106f j 12348 - -000108c0 : - 108c0: 02100093 li ra,33 - 108c4: 03208013 addi zero,ra,50 - 108c8: 00000e93 li t4,0 - 108cc: 03e00193 li gp,62 - 108d0: 01d00463 beq zero,t4,108d8 - 108d4: 2750106f j 12348 - -000108d8 : - 108d8: ff0100b7 lui ra,0xff010 - 108dc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 108e0: 0f0f1137 lui sp,0xf0f1 - 108e4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 108e8: 0020ff33 and t5,ra,sp - 108ec: 0f001eb7 lui t4,0xf001 - 108f0: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 108f4: 03f00193 li gp,63 - 108f8: 01df0463 beq t5,t4,10900 - 108fc: 24d0106f j 12348 - -00010900 : - 10900: 0ff010b7 lui ra,0xff01 - 10904: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10908: f0f0f137 lui sp,0xf0f0f - 1090c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10910: 0020ff33 and t5,ra,sp - 10914: 00f00eb7 lui t4,0xf00 - 10918: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 1091c: 04000193 li gp,64 - 10920: 01df0463 beq t5,t4,10928 - 10924: 2250106f j 12348 - -00010928 : - 10928: 00ff00b7 lui ra,0xff0 - 1092c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10930: 0f0f1137 lui sp,0xf0f1 - 10934: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10938: 0020ff33 and t5,ra,sp - 1093c: 000f0eb7 lui t4,0xf0 - 10940: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10944: 04100193 li gp,65 - 10948: 01df0463 beq t5,t4,10950 - 1094c: 1fd0106f j 12348 - -00010950 : - 10950: f00ff0b7 lui ra,0xf00ff - 10954: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10958: f0f0f137 lui sp,0xf0f0f - 1095c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10960: 0020ff33 and t5,ra,sp - 10964: f000feb7 lui t4,0xf000f - 10968: 04200193 li gp,66 - 1096c: 01df0463 beq t5,t4,10974 - 10970: 1d90106f j 12348 - -00010974 : - 10974: ff0100b7 lui ra,0xff010 - 10978: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 1097c: 0f0f1137 lui sp,0xf0f1 - 10980: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10984: 0020f0b3 and ra,ra,sp - 10988: 0f001eb7 lui t4,0xf001 - 1098c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10990: 04300193 li gp,67 - 10994: 01d08463 beq ra,t4,1099c - 10998: 1b10106f j 12348 - -0001099c : - 1099c: 0ff010b7 lui ra,0xff01 - 109a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 109a4: f0f0f137 lui sp,0xf0f0f - 109a8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 109ac: 0020f133 and sp,ra,sp - 109b0: 00f00eb7 lui t4,0xf00 - 109b4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 109b8: 04400193 li gp,68 - 109bc: 01d10463 beq sp,t4,109c4 - 109c0: 1890106f j 12348 - -000109c4 : - 109c4: ff0100b7 lui ra,0xff010 - 109c8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 109cc: 0010f0b3 and ra,ra,ra - 109d0: ff010eb7 lui t4,0xff010 - 109d4: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 109d8: 04500193 li gp,69 - 109dc: 01d08463 beq ra,t4,109e4 - 109e0: 1690106f j 12348 - -000109e4 : - 109e4: 00000213 li tp,0 - 109e8: ff0100b7 lui ra,0xff010 - 109ec: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 109f0: 0f0f1137 lui sp,0xf0f1 - 109f4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 109f8: 0020ff33 and t5,ra,sp - 109fc: 000f0313 mv t1,t5 - 10a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a04: 00200293 li t0,2 - 10a08: fe5210e3 bne tp,t0,109e8 - 10a0c: 0f001eb7 lui t4,0xf001 - 10a10: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10a14: 04600193 li gp,70 - 10a18: 01d30463 beq t1,t4,10a20 - 10a1c: 12d0106f j 12348 - -00010a20 : - 10a20: 00000213 li tp,0 - 10a24: 0ff010b7 lui ra,0xff01 - 10a28: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10a2c: f0f0f137 lui sp,0xf0f0f - 10a30: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10a34: 0020ff33 and t5,ra,sp - 10a38: 00000013 nop - 10a3c: 000f0313 mv t1,t5 - 10a40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a44: 00200293 li t0,2 - 10a48: fc521ee3 bne tp,t0,10a24 - 10a4c: 00f00eb7 lui t4,0xf00 - 10a50: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10a54: 04700193 li gp,71 - 10a58: 01d30463 beq t1,t4,10a60 - 10a5c: 0ed0106f j 12348 - -00010a60 : - 10a60: 00000213 li tp,0 - 10a64: 00ff00b7 lui ra,0xff0 - 10a68: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10a6c: 0f0f1137 lui sp,0xf0f1 - 10a70: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10a74: 0020ff33 and t5,ra,sp - 10a78: 00000013 nop - 10a7c: 00000013 nop - 10a80: 000f0313 mv t1,t5 - 10a84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a88: 00200293 li t0,2 - 10a8c: fc521ce3 bne tp,t0,10a64 - 10a90: 000f0eb7 lui t4,0xf0 - 10a94: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10a98: 04800193 li gp,72 - 10a9c: 01d30463 beq t1,t4,10aa4 - 10aa0: 0a90106f j 12348 - -00010aa4 : - 10aa4: 00000213 li tp,0 - 10aa8: ff0100b7 lui ra,0xff010 - 10aac: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10ab0: 0f0f1137 lui sp,0xf0f1 - 10ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10ab8: 0020ff33 and t5,ra,sp - 10abc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ac0: 00200293 li t0,2 - 10ac4: fe5212e3 bne tp,t0,10aa8 - 10ac8: 0f001eb7 lui t4,0xf001 - 10acc: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10ad0: 04900193 li gp,73 - 10ad4: 01df0463 beq t5,t4,10adc - 10ad8: 0710106f j 12348 - -00010adc : - 10adc: 00000213 li tp,0 - 10ae0: 0ff010b7 lui ra,0xff01 - 10ae4: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10ae8: f0f0f137 lui sp,0xf0f0f - 10aec: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10af0: 00000013 nop - 10af4: 0020ff33 and t5,ra,sp - 10af8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10afc: 00200293 li t0,2 - 10b00: fe5210e3 bne tp,t0,10ae0 - 10b04: 00f00eb7 lui t4,0xf00 - 10b08: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10b0c: 04a00193 li gp,74 - 10b10: 01df0463 beq t5,t4,10b18 - 10b14: 0350106f j 12348 - -00010b18 : - 10b18: 00000213 li tp,0 - 10b1c: 00ff00b7 lui ra,0xff0 - 10b20: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10b24: 0f0f1137 lui sp,0xf0f1 - 10b28: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10b2c: 00000013 nop - 10b30: 00000013 nop - 10b34: 0020ff33 and t5,ra,sp - 10b38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10b3c: 00200293 li t0,2 - 10b40: fc521ee3 bne tp,t0,10b1c - 10b44: 000f0eb7 lui t4,0xf0 - 10b48: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10b4c: 04b00193 li gp,75 - 10b50: 01df0463 beq t5,t4,10b58 - 10b54: 7f40106f j 12348 - -00010b58 : - 10b58: 00000213 li tp,0 - 10b5c: ff0100b7 lui ra,0xff010 - 10b60: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10b64: 00000013 nop - 10b68: 0f0f1137 lui sp,0xf0f1 - 10b6c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10b70: 0020ff33 and t5,ra,sp - 10b74: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10b78: 00200293 li t0,2 - 10b7c: fe5210e3 bne tp,t0,10b5c - 10b80: 0f001eb7 lui t4,0xf001 - 10b84: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10b88: 04c00193 li gp,76 - 10b8c: 01df0463 beq t5,t4,10b94 - 10b90: 7b80106f j 12348 - -00010b94 : - 10b94: 00000213 li tp,0 - 10b98: 0ff010b7 lui ra,0xff01 - 10b9c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10ba0: 00000013 nop - 10ba4: f0f0f137 lui sp,0xf0f0f - 10ba8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10bac: 00000013 nop - 10bb0: 0020ff33 and t5,ra,sp - 10bb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10bb8: 00200293 li t0,2 - 10bbc: fc521ee3 bne tp,t0,10b98 - 10bc0: 00f00eb7 lui t4,0xf00 - 10bc4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10bc8: 04d00193 li gp,77 - 10bcc: 01df0463 beq t5,t4,10bd4 - 10bd0: 7780106f j 12348 - -00010bd4 : - 10bd4: 00000213 li tp,0 - 10bd8: 00ff00b7 lui ra,0xff0 - 10bdc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10be0: 00000013 nop - 10be4: 00000013 nop - 10be8: 0f0f1137 lui sp,0xf0f1 - 10bec: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10bf0: 0020ff33 and t5,ra,sp - 10bf4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10bf8: 00200293 li t0,2 - 10bfc: fc521ee3 bne tp,t0,10bd8 - 10c00: 000f0eb7 lui t4,0xf0 - 10c04: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10c08: 04e00193 li gp,78 - 10c0c: 01df0463 beq t5,t4,10c14 - 10c10: 7380106f j 12348 - -00010c14 : - 10c14: 00000213 li tp,0 - 10c18: 0f0f1137 lui sp,0xf0f1 - 10c1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10c20: ff0100b7 lui ra,0xff010 - 10c24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10c28: 0020ff33 and t5,ra,sp - 10c2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10c30: 00200293 li t0,2 - 10c34: fe5212e3 bne tp,t0,10c18 - 10c38: 0f001eb7 lui t4,0xf001 - 10c3c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10c40: 04f00193 li gp,79 - 10c44: 01df0463 beq t5,t4,10c4c - 10c48: 7000106f j 12348 - -00010c4c : - 10c4c: 00000213 li tp,0 - 10c50: f0f0f137 lui sp,0xf0f0f - 10c54: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10c58: 0ff010b7 lui ra,0xff01 - 10c5c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10c60: 00000013 nop - 10c64: 0020ff33 and t5,ra,sp - 10c68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10c6c: 00200293 li t0,2 - 10c70: fe5210e3 bne tp,t0,10c50 - 10c74: 00f00eb7 lui t4,0xf00 - 10c78: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10c7c: 05000193 li gp,80 - 10c80: 01df0463 beq t5,t4,10c88 - 10c84: 6c40106f j 12348 - -00010c88 : - 10c88: 00000213 li tp,0 - 10c8c: 0f0f1137 lui sp,0xf0f1 - 10c90: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10c94: 00ff00b7 lui ra,0xff0 - 10c98: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10c9c: 00000013 nop - 10ca0: 00000013 nop - 10ca4: 0020ff33 and t5,ra,sp - 10ca8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10cac: 00200293 li t0,2 - 10cb0: fc521ee3 bne tp,t0,10c8c - 10cb4: 000f0eb7 lui t4,0xf0 - 10cb8: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10cbc: 05100193 li gp,81 - 10cc0: 01df0463 beq t5,t4,10cc8 - 10cc4: 6840106f j 12348 - -00010cc8 : - 10cc8: 00000213 li tp,0 - 10ccc: 0f0f1137 lui sp,0xf0f1 - 10cd0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10cd4: 00000013 nop - 10cd8: ff0100b7 lui ra,0xff010 - 10cdc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10ce0: 0020ff33 and t5,ra,sp - 10ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ce8: 00200293 li t0,2 - 10cec: fe5210e3 bne tp,t0,10ccc - 10cf0: 0f001eb7 lui t4,0xf001 - 10cf4: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10cf8: 05200193 li gp,82 - 10cfc: 01df0463 beq t5,t4,10d04 - 10d00: 6480106f j 12348 - -00010d04 : - 10d04: 00000213 li tp,0 - 10d08: f0f0f137 lui sp,0xf0f0f - 10d0c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10d10: 00000013 nop - 10d14: 0ff010b7 lui ra,0xff01 - 10d18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10d1c: 00000013 nop - 10d20: 0020ff33 and t5,ra,sp - 10d24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d28: 00200293 li t0,2 - 10d2c: fc521ee3 bne tp,t0,10d08 - 10d30: 00f00eb7 lui t4,0xf00 - 10d34: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10d38: 05300193 li gp,83 - 10d3c: 01df0463 beq t5,t4,10d44 - 10d40: 6080106f j 12348 - -00010d44 : - 10d44: 00000213 li tp,0 - 10d48: 0f0f1137 lui sp,0xf0f1 - 10d4c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10d50: 00000013 nop - 10d54: 00000013 nop - 10d58: 00ff00b7 lui ra,0xff0 - 10d5c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10d60: 0020ff33 and t5,ra,sp - 10d64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d68: 00200293 li t0,2 - 10d6c: fc521ee3 bne tp,t0,10d48 - 10d70: 000f0eb7 lui t4,0xf0 - 10d74: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10d78: 05400193 li gp,84 - 10d7c: 01df0463 beq t5,t4,10d84 - 10d80: 5c80106f j 12348 - -00010d84 : - 10d84: ff0100b7 lui ra,0xff010 - 10d88: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10d8c: 00107133 and sp,zero,ra - 10d90: 00000e93 li t4,0 - 10d94: 05500193 li gp,85 - 10d98: 01d10463 beq sp,t4,10da0 - 10d9c: 5ac0106f j 12348 - -00010da0 : - 10da0: 00ff00b7 lui ra,0xff0 - 10da4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10da8: 0000f133 and sp,ra,zero - 10dac: 00000e93 li t4,0 - 10db0: 05600193 li gp,86 - 10db4: 01d10463 beq sp,t4,10dbc - 10db8: 5900106f j 12348 - -00010dbc : - 10dbc: 000070b3 and ra,zero,zero - 10dc0: 00000e93 li t4,0 - 10dc4: 05700193 li gp,87 - 10dc8: 01d08463 beq ra,t4,10dd0 - 10dcc: 57c0106f j 12348 - -00010dd0 : - 10dd0: 111110b7 lui ra,0x11111 - 10dd4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fd489> - 10dd8: 22222137 lui sp,0x22222 - 10ddc: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220e59a> - 10de0: 0020f033 and zero,ra,sp - 10de4: 00000e93 li t4,0 - 10de8: 05800193 li gp,88 - 10dec: 01d00463 beq zero,t4,10df4 - 10df0: 5580106f j 12348 - -00010df4 : - 10df4: ff0100b7 lui ra,0xff010 - 10df8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10dfc: f0f0ff13 andi t5,ra,-241 - 10e00: ff010eb7 lui t4,0xff010 - 10e04: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10e08: 05900193 li gp,89 - 10e0c: 01df0463 beq t5,t4,10e14 - 10e10: 5380106f j 12348 - -00010e14 : - 10e14: 0ff010b7 lui ra,0xff01 - 10e18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10e1c: 0f00ff13 andi t5,ra,240 - 10e20: 0f000e93 li t4,240 - 10e24: 05a00193 li gp,90 - 10e28: 01df0463 beq t5,t4,10e30 - 10e2c: 51c0106f j 12348 - -00010e30 : - 10e30: 00ff00b7 lui ra,0xff0 - 10e34: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10e38: 70f0ff13 andi t5,ra,1807 - 10e3c: 00f00e93 li t4,15 - 10e40: 05b00193 li gp,91 - 10e44: 01df0463 beq t5,t4,10e4c - 10e48: 5000106f j 12348 - -00010e4c : - 10e4c: f00ff0b7 lui ra,0xf00ff - 10e50: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10e54: 0f00ff13 andi t5,ra,240 - 10e58: 00000e93 li t4,0 - 10e5c: 05c00193 li gp,92 - 10e60: 01df0463 beq t5,t4,10e68 - 10e64: 4e40106f j 12348 - -00010e68 : - 10e68: ff0100b7 lui ra,0xff010 - 10e6c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10e70: 0f00f093 andi ra,ra,240 - 10e74: 00000e93 li t4,0 - 10e78: 05d00193 li gp,93 - 10e7c: 01d08463 beq ra,t4,10e84 - 10e80: 4c80106f j 12348 - -00010e84 : - 10e84: 00000213 li tp,0 - 10e88: 0ff010b7 lui ra,0xff01 - 10e8c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10e90: 70f0ff13 andi t5,ra,1807 - 10e94: 000f0313 mv t1,t5 - 10e98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10e9c: 00200293 li t0,2 - 10ea0: fe5214e3 bne tp,t0,10e88 - 10ea4: 70000e93 li t4,1792 - 10ea8: 05e00193 li gp,94 - 10eac: 01d30463 beq t1,t4,10eb4 - 10eb0: 4980106f j 12348 - -00010eb4 : - 10eb4: 00000213 li tp,0 - 10eb8: 00ff00b7 lui ra,0xff0 - 10ebc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10ec0: 0f00ff13 andi t5,ra,240 - 10ec4: 00000013 nop - 10ec8: 000f0313 mv t1,t5 - 10ecc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ed0: 00200293 li t0,2 - 10ed4: fe5212e3 bne tp,t0,10eb8 - 10ed8: 0f000e93 li t4,240 - 10edc: 05f00193 li gp,95 - 10ee0: 01d30463 beq t1,t4,10ee8 - 10ee4: 4640106f j 12348 - -00010ee8 : - 10ee8: 00000213 li tp,0 - 10eec: f00ff0b7 lui ra,0xf00ff - 10ef0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10ef4: f0f0ff13 andi t5,ra,-241 - 10ef8: 00000013 nop - 10efc: 00000013 nop - 10f00: 000f0313 mv t1,t5 - 10f04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f08: 00200293 li t0,2 - 10f0c: fe5210e3 bne tp,t0,10eec - 10f10: f00ffeb7 lui t4,0xf00ff - 10f14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10f18: 06000193 li gp,96 - 10f1c: 01d30463 beq t1,t4,10f24 - 10f20: 4280106f j 12348 - -00010f24 : - 10f24: 00000213 li tp,0 - 10f28: 0ff010b7 lui ra,0xff01 - 10f2c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10f30: 70f0ff13 andi t5,ra,1807 - 10f34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f38: 00200293 li t0,2 - 10f3c: fe5216e3 bne tp,t0,10f28 - 10f40: 70000e93 li t4,1792 - 10f44: 06100193 li gp,97 - 10f48: 01df0463 beq t5,t4,10f50 - 10f4c: 3fc0106f j 12348 - -00010f50 : - 10f50: 00000213 li tp,0 - 10f54: 00ff00b7 lui ra,0xff0 - 10f58: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10f5c: 00000013 nop - 10f60: 0f00ff13 andi t5,ra,240 - 10f64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f68: 00200293 li t0,2 - 10f6c: fe5214e3 bne tp,t0,10f54 - 10f70: 0f000e93 li t4,240 - 10f74: 06200193 li gp,98 - 10f78: 01df0463 beq t5,t4,10f80 - 10f7c: 3cc0106f j 12348 - -00010f80 : - 10f80: 00000213 li tp,0 - 10f84: f00ff0b7 lui ra,0xf00ff - 10f88: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10f8c: 00000013 nop - 10f90: 00000013 nop - 10f94: 70f0ff13 andi t5,ra,1807 - 10f98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f9c: 00200293 li t0,2 - 10fa0: fe5212e3 bne tp,t0,10f84 - 10fa4: 00f00e93 li t4,15 - 10fa8: 06300193 li gp,99 - 10fac: 01df0463 beq t5,t4,10fb4 - 10fb0: 3980106f j 12348 - -00010fb4 : - 10fb4: 0f007093 andi ra,zero,240 - 10fb8: 00000e93 li t4,0 - 10fbc: 06400193 li gp,100 - 10fc0: 01d08463 beq ra,t4,10fc8 - 10fc4: 3840106f j 12348 - -00010fc8 : - 10fc8: 00ff00b7 lui ra,0xff0 - 10fcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10fd0: 70f0f013 andi zero,ra,1807 - 10fd4: 00000e93 li t4,0 - 10fd8: 06500193 li gp,101 - 10fdc: 01d00463 beq zero,t4,10fe4 - 10fe0: 3680106f j 12348 - -00010fe4 : - 10fe4: 00000013 nop - 10fe8: 00002517 auipc a0,0x2 - 10fec: 71c50513 addi a0,a0,1820 # 13704 <__bss_start+0x27c> - 10ff0: 004005ef jal a1,10ff4 - 10ff4: 40b50533 sub a0,a0,a1 - 10ff8: 00002eb7 lui t4,0x2 - 10ffc: 710e8e93 addi t4,t4,1808 # 2710 <_start-0xd970> - 11000: 06600193 li gp,102 - 11004: 01d50463 beq a0,t4,1100c - 11008: 3400106f j 12348 - -0001100c : - 1100c: 00000013 nop - 11010: ffffe517 auipc a0,0xffffe - 11014: 8fc50513 addi a0,a0,-1796 # e90c <_start-0x1774> - 11018: 004005ef jal a1,1101c - 1101c: 40b50533 sub a0,a0,a1 - 11020: ffffeeb7 lui t4,0xffffe - 11024: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 <__global_pointer$+0xfffe9c68> - 11028: 06700193 li gp,103 - 1102c: 01d50463 beq a0,t4,11034 - 11030: 3180106f j 12348 - -00011034 : - 11034: 06800193 li gp,104 - 11038: 00000093 li ra,0 - 1103c: 00000113 li sp,0 - 11040: 00208863 beq ra,sp,11050 #1 - 11044: 00300463 beq zero,gp,1104c - 11048: 3000106f j 12348 - 1104c: 00301863 bne zero,gp,1105c #3 - 11050: fe208ee3 beq ra,sp,1104c #2 - 11054: 00300463 beq zero,gp,1105c - 11058: 2f00106f j 12348 - -0001105c : - 1105c: 06900193 li gp,105 #ra = x1 gp = x3 sp =x2 - 11060: 00100093 li ra,1 - 11064: 00100113 li sp,1 - 11068: 00208863 beq ra,sp,11078 # 1 - 1106c: 00300463 beq zero,gp,11074 - 11070: 2d80106f j 12348 - 11074: 00301863 bne zero,gp,11084 # 3 - 11078: fe208ee3 beq ra,sp,11074 # 2 - 1107c: 00300463 beq zero,gp,11084 - 11080: 2c80106f j 12348 - -00011084 : - 11084: 06a00193 li gp,106 - 11088: fff00093 li ra,-1 - 1108c: fff00113 li sp,-1 - 11090: 00208863 beq ra,sp,110a0 - 11094: 00300463 beq zero,gp,1109c - 11098: 2b00106f j 12348 - 1109c: 00301863 bne zero,gp,110ac - 110a0: fe208ee3 beq ra,sp,1109c - 110a4: 00300463 beq zero,gp,110ac - 110a8: 2a00106f j 12348 - -000110ac : - 110ac: 06b00193 li gp,107 - 110b0: 00000093 li ra,0 - 110b4: 00100113 li sp,1 - 110b8: 00208463 beq ra,sp,110c0 - 110bc: 00301663 bne zero,gp,110c8 - 110c0: 00300463 beq zero,gp,110c8 - 110c4: 2840106f j 12348 - 110c8: fe208ce3 beq ra,sp,110c0 - -000110cc : - 110cc: 06c00193 li gp,108 - 110d0: 00100093 li ra,1 - 110d4: 00000113 li sp,0 - 110d8: 00208463 beq ra,sp,110e0 - 110dc: 00301663 bne zero,gp,110e8 - 110e0: 00300463 beq zero,gp,110e8 - 110e4: 2640106f j 12348 - 110e8: fe208ce3 beq ra,sp,110e0 - -000110ec : - 110ec: 06d00193 li gp,109 - 110f0: fff00093 li ra,-1 - 110f4: 00100113 li sp,1 - 110f8: 00208463 beq ra,sp,11100 - 110fc: 00301663 bne zero,gp,11108 - 11100: 00300463 beq zero,gp,11108 - 11104: 2440106f j 12348 - 11108: fe208ce3 beq ra,sp,11100 - -0001110c : - 1110c: 06e00193 li gp,110 - 11110: 00100093 li ra,1 - 11114: fff00113 li sp,-1 - 11118: 00208463 beq ra,sp,11120 - 1111c: 00301663 bne zero,gp,11128 - 11120: 00300463 beq zero,gp,11128 - 11124: 2240106f j 12348 - 11128: fe208ce3 beq ra,sp,11120 - -0001112c : - 1112c: 06f00193 li gp,111 - 11130: 00000213 li tp,0 - 11134: 00000093 li ra,0 - 11138: fff00113 li sp,-1 - 1113c: 00209463 bne ra,sp,11144 - 11140: 2080106f j 12348 - 11144: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11148: 00200293 li t0,2 - 1114c: fe5214e3 bne tp,t0,11134 - -00011150 : - 11150: 07000193 li gp,112 - 11154: 00000213 li tp,0 - 11158: 00000093 li ra,0 - 1115c: fff00113 li sp,-1 - 11160: 00000013 nop - 11164: 00209463 bne ra,sp,1116c - 11168: 1e00106f j 12348 - 1116c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11170: 00200293 li t0,2 - 11174: fe5212e3 bne tp,t0,11158 - -00011178 : - 11178: 07100193 li gp,113 - 1117c: 00000213 li tp,0 - 11180: 00000093 li ra,0 - 11184: fff00113 li sp,-1 - 11188: 00000013 nop - 1118c: 00000013 nop - 11190: 00209463 bne ra,sp,11198 - 11194: 1b40106f j 12348 - 11198: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1119c: 00200293 li t0,2 - 111a0: fe5210e3 bne tp,t0,11180 - -000111a4 : - 111a4: 07200193 li gp,114 - 111a8: 00000213 li tp,0 - 111ac: 00000093 li ra,0 - 111b0: 00000013 nop - 111b4: fff00113 li sp,-1 - 111b8: 00209463 bne ra,sp,111c0 - 111bc: 18c0106f j 12348 - 111c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111c4: 00200293 li t0,2 - 111c8: fe5212e3 bne tp,t0,111ac - -000111cc : - 111cc: 07300193 li gp,115 - 111d0: 00000213 li tp,0 - 111d4: 00000093 li ra,0 - 111d8: 00000013 nop - 111dc: fff00113 li sp,-1 - 111e0: 00000013 nop - 111e4: 00209463 bne ra,sp,111ec - 111e8: 1600106f j 12348 - 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111f0: 00200293 li t0,2 - 111f4: fe5210e3 bne tp,t0,111d4 - -000111f8 : - 111f8: 07400193 li gp,116 - 111fc: 00000213 li tp,0 - 11200: 00000093 li ra,0 - 11204: 00000013 nop - 11208: 00000013 nop - 1120c: fff00113 li sp,-1 - 11210: 00209463 bne ra,sp,11218 - 11214: 1340106f j 12348 - 11218: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1121c: 00200293 li t0,2 - 11220: fe5210e3 bne tp,t0,11200 - -00011224 : - 11224: 07500193 li gp,117 - 11228: 00000213 li tp,0 - 1122c: 00000093 li ra,0 - 11230: fff00113 li sp,-1 - 11234: 00209463 bne ra,sp,1123c - 11238: 1100106f j 12348 - 1123c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11240: 00200293 li t0,2 - 11244: fe5214e3 bne tp,t0,1122c - -00011248 : - 11248: 07600193 li gp,118 - 1124c: 00000213 li tp,0 - 11250: 00000093 li ra,0 - 11254: fff00113 li sp,-1 - 11258: 00000013 nop - 1125c: 00209463 bne ra,sp,11264 - 11260: 0e80106f j 12348 - 11264: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11268: 00200293 li t0,2 - 1126c: fe5212e3 bne tp,t0,11250 - -00011270 : - 11270: 07700193 li gp,119 - 11274: 00000213 li tp,0 - 11278: 00000093 li ra,0 - 1127c: fff00113 li sp,-1 - 11280: 00000013 nop - 11284: 00000013 nop - 11288: 00209463 bne ra,sp,11290 - 1128c: 0bc0106f j 12348 - 11290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11294: 00200293 li t0,2 - 11298: fe5210e3 bne tp,t0,11278 - -0001129c : - 1129c: 07800193 li gp,120 - 112a0: 00000213 li tp,0 - 112a4: 00000093 li ra,0 - 112a8: 00000013 nop - 112ac: fff00113 li sp,-1 - 112b0: 00209463 bne ra,sp,112b8 - 112b4: 0940106f j 12348 - 112b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112bc: 00200293 li t0,2 - 112c0: fe5212e3 bne tp,t0,112a4 - -000112c4 : - 112c4: 07900193 li gp,121 - 112c8: 00000213 li tp,0 - 112cc: 00000093 li ra,0 - 112d0: 00000013 nop - 112d4: fff00113 li sp,-1 - 112d8: 00000013 nop - 112dc: 00209463 bne ra,sp,112e4 - 112e0: 0680106f j 12348 - 112e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112e8: 00200293 li t0,2 - 112ec: fe5210e3 bne tp,t0,112cc - -000112f0 : - 112f0: 07a00193 li gp,122 - 112f4: 00000213 li tp,0 - 112f8: 00000093 li ra,0 - 112fc: 00000013 nop - 11300: 00000013 nop - 11304: fff00113 li sp,-1 - 11308: 00209463 bne ra,sp,11310 - 1130c: 03c0106f j 12348 - 11310: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11314: 00200293 li t0,2 - 11318: fe5210e3 bne tp,t0,112f8 - -0001131c : - 1131c: 00100093 li ra,1 - 11320: 00000a63 beqz zero,11334 - 11324: 00108093 addi ra,ra,1 - 11328: 00108093 addi ra,ra,1 - 1132c: 00108093 addi ra,ra,1 - 11330: 00108093 addi ra,ra,1 - 11334: 00108093 addi ra,ra,1 - 11338: 00108093 addi ra,ra,1 - 1133c: 00300e93 li t4,3 - 11340: 07b00193 li gp,123 - 11344: 01d08463 beq ra,t4,1134c - 11348: 0000106f j 12348 - -0001134c : - 1134c: 07c00193 li gp,124 - 11350: 00000093 li ra,0 - 11354: 00000113 li sp,0 - 11358: 0020d663 ble sp,ra,11364 - 1135c: 7e3016e3 bne zero,gp,12348 - 11360: 00301663 bne zero,gp,1136c - 11364: fe20dee3 ble sp,ra,11360 - 11368: 7e3010e3 bne zero,gp,12348 - -0001136c : - 1136c: 07d00193 li gp,125 - 11370: 00100093 li ra,1 - 11374: 00100113 li sp,1 - 11378: 0020d663 ble sp,ra,11384 - 1137c: 7c3016e3 bne zero,gp,12348 - 11380: 00301663 bne zero,gp,1138c - 11384: fe20dee3 ble sp,ra,11380 - 11388: 7c3010e3 bne zero,gp,12348 - -0001138c : - 1138c: 07e00193 li gp,126 - 11390: fff00093 li ra,-1 - 11394: fff00113 li sp,-1 - 11398: 0020d663 ble sp,ra,113a4 - 1139c: 7a3016e3 bne zero,gp,12348 - 113a0: 00301663 bne zero,gp,113ac - 113a4: fe20dee3 ble sp,ra,113a0 - 113a8: 7a3010e3 bne zero,gp,12348 - -000113ac : - 113ac: 07f00193 li gp,127 - 113b0: 00100093 li ra,1 - 113b4: 00000113 li sp,0 - 113b8: 0020d663 ble sp,ra,113c4 - 113bc: 783016e3 bne zero,gp,12348 - 113c0: 00301663 bne zero,gp,113cc - 113c4: fe20dee3 ble sp,ra,113c0 - 113c8: 783010e3 bne zero,gp,12348 - -000113cc : - 113cc: 08000193 li gp,128 - 113d0: 00100093 li ra,1 - 113d4: fff00113 li sp,-1 - 113d8: 0020d663 ble sp,ra,113e4 - 113dc: 763016e3 bne zero,gp,12348 - 113e0: 00301663 bne zero,gp,113ec #3 - 113e4: fe20dee3 ble sp,ra,113e0 #2 - 113e8: 763010e3 bne zero,gp,12348 - -000113ec : - 113ec: 08100193 li gp,129 - 113f0: fff00093 li ra,-1 - 113f4: ffe00113 li sp,-2 - 113f8: 0020d663 ble sp,ra,11404 - 113fc: 743016e3 bne zero,gp,12348 - 11400: 00301663 bne zero,gp,1140c - 11404: fe20dee3 ble sp,ra,11400 - 11408: 743010e3 bne zero,gp,12348 - -0001140c : - 1140c: 08200193 li gp,130 - 11410: 00000093 li ra,0 - 11414: 00100113 li sp,1 - 11418: 0020d463 ble sp,ra,11420 - 1141c: 00301463 bne zero,gp,11424 - 11420: 723014e3 bne zero,gp,12348 - 11424: fe20dee3 ble sp,ra,11420 - -00011428 : - 11428: 08300193 li gp,131 - 1142c: fff00093 li ra,-1 - 11430: 00100113 li sp,1 - 11434: 0020d463 ble sp,ra,1143c - 11438: 00301463 bne zero,gp,11440 - 1143c: 703016e3 bne zero,gp,12348 - 11440: fe20dee3 ble sp,ra,1143c - -00011444 : - 11444: 08400193 li gp,132 - 11448: ffe00093 li ra,-2 - 1144c: fff00113 li sp,-1 - 11450: 0020d463 ble sp,ra,11458 - 11454: 00301463 bne zero,gp,1145c - 11458: 6e3018e3 bne zero,gp,12348 - 1145c: fe20dee3 ble sp,ra,11458 - -00011460 : - 11460: 08500193 li gp,133 - 11464: ffe00093 li ra,-2 - 11468: 00100113 li sp,1 - 1146c: 0020d463 ble sp,ra,11474 - 11470: 00301463 bne zero,gp,11478 - 11474: 6c301ae3 bne zero,gp,12348 - 11478: fe20dee3 ble sp,ra,11474 - -0001147c : - 1147c: 08600193 li gp,134 - 11480: 00000213 li tp,0 - 11484: fff00093 li ra,-1 - 11488: 00000113 li sp,0 - 1148c: 6a20dee3 ble sp,ra,12348 - 11490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11494: 00200293 li t0,2 - 11498: fe5216e3 bne tp,t0,11484 - -0001149c : - 1149c: 08700193 li gp,135 - 114a0: 00000213 li tp,0 - 114a4: fff00093 li ra,-1 - 114a8: 00000113 li sp,0 - 114ac: 00000013 nop - 114b0: 6820dce3 ble sp,ra,12348 - 114b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114b8: 00200293 li t0,2 - 114bc: fe5214e3 bne tp,t0,114a4 - -000114c0 : - 114c0: 08800193 li gp,136 - 114c4: 00000213 li tp,0 - 114c8: fff00093 li ra,-1 - 114cc: 00000113 li sp,0 - 114d0: 00000013 nop - 114d4: 00000013 nop - 114d8: 6620d8e3 ble sp,ra,12348 - 114dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114e0: 00200293 li t0,2 - 114e4: fe5212e3 bne tp,t0,114c8 - -000114e8 : - 114e8: 08900193 li gp,137 - 114ec: 00000213 li tp,0 - 114f0: fff00093 li ra,-1 - 114f4: 00000013 nop - 114f8: 00000113 li sp,0 - 114fc: 6420d6e3 ble sp,ra,12348 - 11500: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11504: 00200293 li t0,2 - 11508: fe5214e3 bne tp,t0,114f0 - -0001150c : - 1150c: 08a00193 li gp,138 - 11510: 00000213 li tp,0 - 11514: fff00093 li ra,-1 - 11518: 00000013 nop - 1151c: 00000113 li sp,0 - 11520: 00000013 nop - 11524: 6220d2e3 ble sp,ra,12348 - 11528: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1152c: 00200293 li t0,2 - 11530: fe5212e3 bne tp,t0,11514 - -00011534 : - 11534: 08b00193 li gp,139 - 11538: 00000213 li tp,0 - 1153c: fff00093 li ra,-1 - 11540: 00000013 nop - 11544: 00000013 nop - 11548: 00000113 li sp,0 - 1154c: 5e20dee3 ble sp,ra,12348 - 11550: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11554: 00200293 li t0,2 - 11558: fe5212e3 bne tp,t0,1153c - -0001155c : - 1155c: 08c00193 li gp,140 - 11560: 00000213 li tp,0 - 11564: fff00093 li ra,-1 - 11568: 00000113 li sp,0 - 1156c: 5c20dee3 ble sp,ra,12348 - 11570: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11574: 00200293 li t0,2 - 11578: fe5216e3 bne tp,t0,11564 - -0001157c : - 1157c: 08d00193 li gp,141 - 11580: 00000213 li tp,0 - 11584: fff00093 li ra,-1 - 11588: 00000113 li sp,0 - 1158c: 00000013 nop - 11590: 5a20dce3 ble sp,ra,12348 - 11594: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11598: 00200293 li t0,2 - 1159c: fe5214e3 bne tp,t0,11584 - -000115a0 : - 115a0: 08e00193 li gp,142 - 115a4: 00000213 li tp,0 - 115a8: fff00093 li ra,-1 - 115ac: 00000113 li sp,0 - 115b0: 00000013 nop - 115b4: 00000013 nop - 115b8: 5820d8e3 ble sp,ra,12348 - 115bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 115c0: 00200293 li t0,2 - 115c4: fe5212e3 bne tp,t0,115a8 - -000115c8 : - 115c8: 08f00193 li gp,143 - 115cc: 00000213 li tp,0 - 115d0: fff00093 li ra,-1 - 115d4: 00000013 nop - 115d8: 00000113 li sp,0 - 115dc: 5620d6e3 ble sp,ra,12348 - 115e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 115e4: 00200293 li t0,2 - 115e8: fe5214e3 bne tp,t0,115d0 - -000115ec : - 115ec: 09000193 li gp,144 - 115f0: 00000213 li tp,0 - 115f4: fff00093 li ra,-1 - 115f8: 00000013 nop - 115fc: 00000113 li sp,0 - 11600: 00000013 nop - 11604: 5420d2e3 ble sp,ra,12348 - 11608: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1160c: 00200293 li t0,2 - 11610: fe5212e3 bne tp,t0,115f4 - -00011614 : - 11614: 09100193 li gp,145 - 11618: 00000213 li tp,0 - 1161c: fff00093 li ra,-1 - 11620: 00000013 nop - 11624: 00000013 nop - 11628: 00000113 li sp,0 - 1162c: 5020dee3 ble sp,ra,12348 - 11630: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11634: 00200293 li t0,2 - 11638: fe5212e3 bne tp,t0,1161c - -0001163c : - 1163c: 00100093 li ra,1 - 11640: 0000da63 bgez ra,11654 - 11644: 00108093 addi ra,ra,1 - 11648: 00108093 addi ra,ra,1 - 1164c: 00108093 addi ra,ra,1 - 11650: 00108093 addi ra,ra,1 - 11654: 00108093 addi ra,ra,1 - 11658: 00108093 addi ra,ra,1 - 1165c: 00300e93 li t4,3 - 11660: 09200193 li gp,146 - 11664: 4fd092e3 bne ra,t4,12348 - -00011668 : - 11668: 09300193 li gp,147 - 1166c: 00000093 li ra,0 - 11670: 00000113 li sp,0 - 11674: 0020f663 bleu sp,ra,11680 - 11678: 4c3018e3 bne zero,gp,12348 - 1167c: 00301663 bne zero,gp,11688 - 11680: fe20fee3 bleu sp,ra,1167c - 11684: 4c3012e3 bne zero,gp,12348 - -00011688 : - 11688: 09400193 li gp,148 - 1168c: 00100093 li ra,1 - 11690: 00100113 li sp,1 - 11694: 0020f663 bleu sp,ra,116a0 - 11698: 4a3018e3 bne zero,gp,12348 - 1169c: 00301663 bne zero,gp,116a8 - 116a0: fe20fee3 bleu sp,ra,1169c - 116a4: 4a3012e3 bne zero,gp,12348 - -000116a8 : - 116a8: 09500193 li gp,149 - 116ac: fff00093 li ra,-1 - 116b0: fff00113 li sp,-1 - 116b4: 0020f663 bleu sp,ra,116c0 - 116b8: 483018e3 bne zero,gp,12348 - 116bc: 00301663 bne zero,gp,116c8 - 116c0: fe20fee3 bleu sp,ra,116bc - 116c4: 483012e3 bne zero,gp,12348 - -000116c8 : - 116c8: 09600193 li gp,150 - 116cc: 00100093 li ra,1 - 116d0: 00000113 li sp,0 - 116d4: 0020f663 bleu sp,ra,116e0 - 116d8: 463018e3 bne zero,gp,12348 - 116dc: 00301663 bne zero,gp,116e8 - 116e0: fe20fee3 bleu sp,ra,116dc - 116e4: 463012e3 bne zero,gp,12348 - -000116e8 : - 116e8: 09700193 li gp,151 - 116ec: fff00093 li ra,-1 - 116f0: ffe00113 li sp,-2 - 116f4: 0020f663 bleu sp,ra,11700 - 116f8: 443018e3 bne zero,gp,12348 - 116fc: 00301663 bne zero,gp,11708 - 11700: fe20fee3 bleu sp,ra,116fc - 11704: 443012e3 bne zero,gp,12348 - -00011708 : - 11708: 09800193 li gp,152 - 1170c: fff00093 li ra,-1 - 11710: 00000113 li sp,0 - 11714: 0020f663 bleu sp,ra,11720 - 11718: 423018e3 bne zero,gp,12348 - 1171c: 00301663 bne zero,gp,11728 - 11720: fe20fee3 bleu sp,ra,1171c - 11724: 423012e3 bne zero,gp,12348 - -00011728 : - 11728: 09900193 li gp,153 - 1172c: 00000093 li ra,0 - 11730: 00100113 li sp,1 - 11734: 0020f463 bleu sp,ra,1173c - 11738: 00301463 bne zero,gp,11740 - 1173c: 403016e3 bne zero,gp,12348 - 11740: fe20fee3 bleu sp,ra,1173c - -00011744 : - 11744: 09a00193 li gp,154 - 11748: ffe00093 li ra,-2 - 1174c: fff00113 li sp,-1 - 11750: 0020f463 bleu sp,ra,11758 - 11754: 00301463 bne zero,gp,1175c - 11758: 3e3018e3 bne zero,gp,12348 - 1175c: fe20fee3 bleu sp,ra,11758 - -00011760 : - 11760: 09b00193 li gp,155 - 11764: 00000093 li ra,0 - 11768: fff00113 li sp,-1 - 1176c: 0020f463 bleu sp,ra,11774 - 11770: 00301463 bne zero,gp,11778 - 11774: 3c301ae3 bne zero,gp,12348 - 11778: fe20fee3 bleu sp,ra,11774 - -0001177c : - 1177c: 09c00193 li gp,156 - 11780: 800000b7 lui ra,0x80000 - 11784: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 11788: 80000137 lui sp,0x80000 - 1178c: 0020f463 bleu sp,ra,11794 - 11790: 00301463 bne zero,gp,11798 - 11794: 3a301ae3 bne zero,gp,12348 - 11798: fe20fee3 bleu sp,ra,11794 - -0001179c : - 1179c: 09d00193 li gp,157 - 117a0: 00000213 li tp,0 - 117a4: f00000b7 lui ra,0xf0000 - 117a8: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 117ac: f0000137 lui sp,0xf0000 - 117b0: 3820fce3 bleu sp,ra,12348 - 117b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117b8: 00200293 li t0,2 - 117bc: fe5214e3 bne tp,t0,117a4 - -000117c0 : - 117c0: 09e00193 li gp,158 - 117c4: 00000213 li tp,0 - 117c8: f00000b7 lui ra,0xf0000 - 117cc: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 117d0: f0000137 lui sp,0xf0000 - 117d4: 00000013 nop - 117d8: 3620f8e3 bleu sp,ra,12348 - 117dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117e0: 00200293 li t0,2 - 117e4: fe5212e3 bne tp,t0,117c8 - -000117e8 : - 117e8: 09f00193 li gp,159 - 117ec: 00000213 li tp,0 - 117f0: f00000b7 lui ra,0xf0000 - 117f4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 117f8: f0000137 lui sp,0xf0000 - 117fc: 00000013 nop - 11800: 00000013 nop - 11804: 3420f2e3 bleu sp,ra,12348 - 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1180c: 00200293 li t0,2 - 11810: fe5210e3 bne tp,t0,117f0 - -00011814 : - 11814: 0a000193 li gp,160 - 11818: 00000213 li tp,0 - 1181c: f00000b7 lui ra,0xf0000 - 11820: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11824: 00000013 nop - 11828: f0000137 lui sp,0xf0000 - 1182c: 3020fee3 bleu sp,ra,12348 - 11830: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11834: 00200293 li t0,2 - 11838: fe5212e3 bne tp,t0,1181c - -0001183c : - 1183c: 0a100193 li gp,161 - 11840: 00000213 li tp,0 - 11844: f00000b7 lui ra,0xf0000 - 11848: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 1184c: 00000013 nop - 11850: f0000137 lui sp,0xf0000 - 11854: 00000013 nop - 11858: 2e20f8e3 bleu sp,ra,12348 - 1185c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11860: 00200293 li t0,2 - 11864: fe5210e3 bne tp,t0,11844 - -00011868 : - 11868: 0a200193 li gp,162 - 1186c: 00000213 li tp,0 - 11870: f00000b7 lui ra,0xf0000 - 11874: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11878: 00000013 nop - 1187c: 00000013 nop - 11880: f0000137 lui sp,0xf0000 - 11884: 2c20f2e3 bleu sp,ra,12348 - 11888: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1188c: 00200293 li t0,2 - 11890: fe5210e3 bne tp,t0,11870 - -00011894 : - 11894: 0a300193 li gp,163 - 11898: 00000213 li tp,0 - 1189c: f00000b7 lui ra,0xf0000 - 118a0: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 118a4: f0000137 lui sp,0xf0000 - 118a8: 2a20f0e3 bleu sp,ra,12348 - 118ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118b0: 00200293 li t0,2 - 118b4: fe5214e3 bne tp,t0,1189c - -000118b8 : - 118b8: 0a400193 li gp,164 - 118bc: 00000213 li tp,0 - 118c0: f00000b7 lui ra,0xf0000 - 118c4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 118c8: f0000137 lui sp,0xf0000 - 118cc: 00000013 nop - 118d0: 2620fce3 bleu sp,ra,12348 - 118d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118d8: 00200293 li t0,2 - 118dc: fe5212e3 bne tp,t0,118c0 - -000118e0 : - 118e0: 0a500193 li gp,165 - 118e4: 00000213 li tp,0 - 118e8: f00000b7 lui ra,0xf0000 - 118ec: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 118f0: f0000137 lui sp,0xf0000 - 118f4: 00000013 nop - 118f8: 00000013 nop - 118fc: 2420f6e3 bleu sp,ra,12348 - 11900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11904: 00200293 li t0,2 - 11908: fe5210e3 bne tp,t0,118e8 - -0001190c : - 1190c: 0a600193 li gp,166 - 11910: 00000213 li tp,0 - 11914: f00000b7 lui ra,0xf0000 - 11918: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 1191c: 00000013 nop - 11920: f0000137 lui sp,0xf0000 - 11924: 2220f2e3 bleu sp,ra,12348 - 11928: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1192c: 00200293 li t0,2 - 11930: fe5212e3 bne tp,t0,11914 - -00011934 : - 11934: 0a700193 li gp,167 - 11938: 00000213 li tp,0 - 1193c: f00000b7 lui ra,0xf0000 - 11940: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11944: 00000013 nop - 11948: f0000137 lui sp,0xf0000 - 1194c: 00000013 nop - 11950: 1e20fce3 bleu sp,ra,12348 - 11954: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11958: 00200293 li t0,2 - 1195c: fe5210e3 bne tp,t0,1193c - -00011960 : - 11960: 0a800193 li gp,168 - 11964: 00000213 li tp,0 - 11968: f00000b7 lui ra,0xf0000 - 1196c: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11970: 00000013 nop - 11974: 00000013 nop - 11978: f0000137 lui sp,0xf0000 - 1197c: 1c20f6e3 bleu sp,ra,12348 - 11980: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11984: 00200293 li t0,2 - 11988: fe5210e3 bne tp,t0,11968 - -0001198c : - 1198c: 00100093 li ra,1 - 11990: 0000fa63 bleu zero,ra,119a4 - 11994: 00108093 addi ra,ra,1 - 11998: 00108093 addi ra,ra,1 - 1199c: 00108093 addi ra,ra,1 - 119a0: 00108093 addi ra,ra,1 - 119a4: 00108093 addi ra,ra,1 - 119a8: 00108093 addi ra,ra,1 - 119ac: 00300e93 li t4,3 - 119b0: 0a900193 li gp,169 - 119b4: 19d09ae3 bne ra,t4,12348 - -000119b8 : - 119b8: 0aa00193 li gp,170 - 119bc: 00000093 li ra,0 - 119c0: 00100113 li sp,1 - 119c4: 0020c663 blt ra,sp,119d0 - 119c8: 183010e3 bne zero,gp,12348 - 119cc: 00301663 bne zero,gp,119d8 - 119d0: fe20cee3 blt ra,sp,119cc - 119d4: 16301ae3 bne zero,gp,12348 - -000119d8 : - 119d8: 0ab00193 li gp,171 - 119dc: fff00093 li ra,-1 - 119e0: 00100113 li sp,1 - 119e4: 0020c663 blt ra,sp,119f0 - 119e8: 163010e3 bne zero,gp,12348 - 119ec: 00301663 bne zero,gp,119f8 - 119f0: fe20cee3 blt ra,sp,119ec - 119f4: 14301ae3 bne zero,gp,12348 - -000119f8 : - 119f8: 0ac00193 li gp,172 - 119fc: ffe00093 li ra,-2 - 11a00: fff00113 li sp,-1 - 11a04: 0020c663 blt ra,sp,11a10 - 11a08: 143010e3 bne zero,gp,12348 - 11a0c: 00301663 bne zero,gp,11a18 - 11a10: fe20cee3 blt ra,sp,11a0c - 11a14: 12301ae3 bne zero,gp,12348 - -00011a18 : - 11a18: 0ad00193 li gp,173 - 11a1c: 00100093 li ra,1 - 11a20: 00000113 li sp,0 - 11a24: 0020c463 blt ra,sp,11a2c - 11a28: 00301463 bne zero,gp,11a30 - 11a2c: 10301ee3 bne zero,gp,12348 - 11a30: fe20cee3 blt ra,sp,11a2c - -00011a34 : - 11a34: 0ae00193 li gp,174 - 11a38: 00100093 li ra,1 - 11a3c: fff00113 li sp,-1 - 11a40: 0020c463 blt ra,sp,11a48 - 11a44: 00301463 bne zero,gp,11a4c - 11a48: 103010e3 bne zero,gp,12348 - 11a4c: fe20cee3 blt ra,sp,11a48 - -00011a50 : - 11a50: 0af00193 li gp,175 - 11a54: fff00093 li ra,-1 - 11a58: ffe00113 li sp,-2 - 11a5c: 0020c463 blt ra,sp,11a64 - 11a60: 00301463 bne zero,gp,11a68 - 11a64: 0e3012e3 bne zero,gp,12348 - 11a68: fe20cee3 blt ra,sp,11a64 - -00011a6c : - 11a6c: 0b000193 li gp,176 - 11a70: 00100093 li ra,1 - 11a74: ffe00113 li sp,-2 - 11a78: 0020c463 blt ra,sp,11a80 - 11a7c: 00301463 bne zero,gp,11a84 - 11a80: 0c3014e3 bne zero,gp,12348 - 11a84: fe20cee3 blt ra,sp,11a80 - -00011a88 : - 11a88: 0b100193 li gp,177 - 11a8c: 00000213 li tp,0 - 11a90: 00000093 li ra,0 - 11a94: fff00113 li sp,-1 - 11a98: 0a20c8e3 blt ra,sp,12348 - 11a9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11aa0: 00200293 li t0,2 - 11aa4: fe5216e3 bne tp,t0,11a90 - -00011aa8 : - 11aa8: 0b200193 li gp,178 - 11aac: 00000213 li tp,0 - 11ab0: 00000093 li ra,0 - 11ab4: fff00113 li sp,-1 - 11ab8: 00000013 nop - 11abc: 0820c6e3 blt ra,sp,12348 - 11ac0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ac4: 00200293 li t0,2 - 11ac8: fe5214e3 bne tp,t0,11ab0 - -00011acc : - 11acc: 0b300193 li gp,179 - 11ad0: 00000213 li tp,0 - 11ad4: 00000093 li ra,0 - 11ad8: fff00113 li sp,-1 - 11adc: 00000013 nop - 11ae0: 00000013 nop - 11ae4: 0620c2e3 blt ra,sp,12348 - 11ae8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11aec: 00200293 li t0,2 - 11af0: fe5212e3 bne tp,t0,11ad4 - -00011af4 : - 11af4: 0b400193 li gp,180 - 11af8: 00000213 li tp,0 - 11afc: 00000093 li ra,0 - 11b00: 00000013 nop - 11b04: fff00113 li sp,-1 - 11b08: 0420c0e3 blt ra,sp,12348 - 11b0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b10: 00200293 li t0,2 - 11b14: fe5214e3 bne tp,t0,11afc - -00011b18 : - 11b18: 0b500193 li gp,181 - 11b1c: 00000213 li tp,0 - 11b20: 00000093 li ra,0 - 11b24: 00000013 nop - 11b28: fff00113 li sp,-1 - 11b2c: 00000013 nop - 11b30: 0020cce3 blt ra,sp,12348 - 11b34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b38: 00200293 li t0,2 - 11b3c: fe5212e3 bne tp,t0,11b20 - -00011b40 : - 11b40: 0b600193 li gp,182 - 11b44: 00000213 li tp,0 - 11b48: 00000093 li ra,0 - 11b4c: 00000013 nop - 11b50: 00000013 nop - 11b54: fff00113 li sp,-1 - 11b58: 7e20c863 blt ra,sp,12348 - 11b5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b60: 00200293 li t0,2 - 11b64: fe5212e3 bne tp,t0,11b48 - -00011b68 : - 11b68: 0b700193 li gp,183 - 11b6c: 00000213 li tp,0 - 11b70: 00000093 li ra,0 - 11b74: fff00113 li sp,-1 - 11b78: 7c20c863 blt ra,sp,12348 - 11b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b80: 00200293 li t0,2 - 11b84: fe5216e3 bne tp,t0,11b70 - -00011b88 : - 11b88: 0b800193 li gp,184 - 11b8c: 00000213 li tp,0 - 11b90: 00000093 li ra,0 - 11b94: fff00113 li sp,-1 - 11b98: 00000013 nop - 11b9c: 7a20c663 blt ra,sp,12348 - 11ba0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ba4: 00200293 li t0,2 - 11ba8: fe5214e3 bne tp,t0,11b90 - -00011bac : - 11bac: 0b900193 li gp,185 - 11bb0: 00000213 li tp,0 - 11bb4: 00000093 li ra,0 - 11bb8: fff00113 li sp,-1 - 11bbc: 00000013 nop - 11bc0: 00000013 nop - 11bc4: 7820c263 blt ra,sp,12348 - 11bc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bcc: 00200293 li t0,2 - 11bd0: fe5212e3 bne tp,t0,11bb4 - -00011bd4 : - 11bd4: 0ba00193 li gp,186 - 11bd8: 00000213 li tp,0 - 11bdc: 00000093 li ra,0 - 11be0: 00000013 nop - 11be4: fff00113 li sp,-1 - 11be8: 7620c063 blt ra,sp,12348 - 11bec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bf0: 00200293 li t0,2 - 11bf4: fe5214e3 bne tp,t0,11bdc - -00011bf8 : - 11bf8: 0bb00193 li gp,187 - 11bfc: 00000213 li tp,0 - 11c00: 00000093 li ra,0 - 11c04: 00000013 nop - 11c08: fff00113 li sp,-1 - 11c0c: 00000013 nop - 11c10: 7220cc63 blt ra,sp,12348 - 11c14: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c18: 00200293 li t0,2 - 11c1c: fe5212e3 bne tp,t0,11c00 - -00011c20 : - 11c20: 0bc00193 li gp,188 - 11c24: 00000213 li tp,0 - 11c28: 00000093 li ra,0 - 11c2c: 00000013 nop - 11c30: 00000013 nop - 11c34: fff00113 li sp,-1 - 11c38: 7020c863 blt ra,sp,12348 - 11c3c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c40: 00200293 li t0,2 - 11c44: fe5212e3 bne tp,t0,11c28 - -00011c48 : - 11c48: 00100093 li ra,1 - 11c4c: 00104a63 bgtz ra,11c60 - 11c50: 00108093 addi ra,ra,1 - 11c54: 00108093 addi ra,ra,1 - 11c58: 00108093 addi ra,ra,1 - 11c5c: 00108093 addi ra,ra,1 - 11c60: 00108093 addi ra,ra,1 - 11c64: 00108093 addi ra,ra,1 - 11c68: 00300e93 li t4,3 - 11c6c: 0bd00193 li gp,189 - 11c70: 6dd09c63 bne ra,t4,12348 - -00011c74 : - 11c74: 0be00193 li gp,190 - 11c78: 00000093 li ra,0 - 11c7c: 00100113 li sp,1 - 11c80: 0020e663 bltu ra,sp,11c8c - 11c84: 6c301263 bne zero,gp,12348 - 11c88: 00301663 bne zero,gp,11c94 - 11c8c: fe20eee3 bltu ra,sp,11c88 - 11c90: 6a301c63 bne zero,gp,12348 - -00011c94 : - 11c94: 0bf00193 li gp,191 - 11c98: ffe00093 li ra,-2 - 11c9c: fff00113 li sp,-1 - 11ca0: 0020e663 bltu ra,sp,11cac - 11ca4: 6a301263 bne zero,gp,12348 - 11ca8: 00301663 bne zero,gp,11cb4 - 11cac: fe20eee3 bltu ra,sp,11ca8 - 11cb0: 68301c63 bne zero,gp,12348 - -00011cb4 : - 11cb4: 0c000193 li gp,192 - 11cb8: 00000093 li ra,0 - 11cbc: fff00113 li sp,-1 - 11cc0: 0020e663 bltu ra,sp,11ccc - 11cc4: 68301263 bne zero,gp,12348 - 11cc8: 00301663 bne zero,gp,11cd4 - 11ccc: fe20eee3 bltu ra,sp,11cc8 - 11cd0: 66301c63 bne zero,gp,12348 - -00011cd4 : - 11cd4: 0c100193 li gp,193 - 11cd8: 00100093 li ra,1 - 11cdc: 00000113 li sp,0 - 11ce0: 0020e463 bltu ra,sp,11ce8 - 11ce4: 00301463 bne zero,gp,11cec - 11ce8: 66301063 bne zero,gp,12348 - 11cec: fe20eee3 bltu ra,sp,11ce8 - -00011cf0 : - 11cf0: 0c200193 li gp,194 - 11cf4: fff00093 li ra,-1 - 11cf8: ffe00113 li sp,-2 - 11cfc: 0020e463 bltu ra,sp,11d04 - 11d00: 00301463 bne zero,gp,11d08 - 11d04: 64301263 bne zero,gp,12348 - 11d08: fe20eee3 bltu ra,sp,11d04 - -00011d0c : - 11d0c: 0c300193 li gp,195 - 11d10: fff00093 li ra,-1 - 11d14: 00000113 li sp,0 - 11d18: 0020e463 bltu ra,sp,11d20 - 11d1c: 00301463 bne zero,gp,11d24 - 11d20: 62301463 bne zero,gp,12348 - 11d24: fe20eee3 bltu ra,sp,11d20 - -00011d28 : - 11d28: 0c400193 li gp,196 - 11d2c: 800000b7 lui ra,0x80000 - 11d30: 80000137 lui sp,0x80000 - 11d34: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 11d38: 0020e463 bltu ra,sp,11d40 - 11d3c: 00301463 bne zero,gp,11d44 - 11d40: 60301463 bne zero,gp,12348 - 11d44: fe20eee3 bltu ra,sp,11d40 - -00011d48 : - 11d48: 0c500193 li gp,197 - 11d4c: 00000213 li tp,0 - 11d50: f00000b7 lui ra,0xf0000 - 11d54: f0000137 lui sp,0xf0000 - 11d58: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11d5c: 5e20e663 bltu ra,sp,12348 - 11d60: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d64: 00200293 li t0,2 - 11d68: fe5214e3 bne tp,t0,11d50 - -00011d6c : - 11d6c: 0c600193 li gp,198 - 11d70: 00000213 li tp,0 - 11d74: f00000b7 lui ra,0xf0000 - 11d78: f0000137 lui sp,0xf0000 - 11d7c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11d80: 00000013 nop - 11d84: 5c20e263 bltu ra,sp,12348 - 11d88: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d8c: 00200293 li t0,2 - 11d90: fe5212e3 bne tp,t0,11d74 - -00011d94 : - 11d94: 0c700193 li gp,199 - 11d98: 00000213 li tp,0 - 11d9c: f00000b7 lui ra,0xf0000 - 11da0: f0000137 lui sp,0xf0000 - 11da4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11da8: 00000013 nop - 11dac: 00000013 nop - 11db0: 5820ec63 bltu ra,sp,12348 - 11db4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11db8: 00200293 li t0,2 - 11dbc: fe5210e3 bne tp,t0,11d9c - -00011dc0 : - 11dc0: 0c800193 li gp,200 - 11dc4: 00000213 li tp,0 - 11dc8: f00000b7 lui ra,0xf0000 - 11dcc: 00000013 nop - 11dd0: f0000137 lui sp,0xf0000 - 11dd4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11dd8: 5620e863 bltu ra,sp,12348 - 11ddc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11de0: 00200293 li t0,2 - 11de4: fe5212e3 bne tp,t0,11dc8 - -00011de8 : - 11de8: 0c900193 li gp,201 - 11dec: 00000213 li tp,0 - 11df0: f00000b7 lui ra,0xf0000 - 11df4: 00000013 nop - 11df8: f0000137 lui sp,0xf0000 - 11dfc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e00: 00000013 nop - 11e04: 5420e263 bltu ra,sp,12348 - 11e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e0c: 00200293 li t0,2 - 11e10: fe5210e3 bne tp,t0,11df0 - -00011e14 : - 11e14: 0ca00193 li gp,202 - 11e18: 00000213 li tp,0 - 11e1c: f00000b7 lui ra,0xf0000 - 11e20: 00000013 nop - 11e24: 00000013 nop - 11e28: f0000137 lui sp,0xf0000 - 11e2c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e30: 5020ec63 bltu ra,sp,12348 - 11e34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e38: 00200293 li t0,2 - 11e3c: fe5210e3 bne tp,t0,11e1c - -00011e40 : - 11e40: 0cb00193 li gp,203 - 11e44: 00000213 li tp,0 - 11e48: f00000b7 lui ra,0xf0000 - 11e4c: f0000137 lui sp,0xf0000 - 11e50: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e54: 4e20ea63 bltu ra,sp,12348 - 11e58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e5c: 00200293 li t0,2 - 11e60: fe5214e3 bne tp,t0,11e48 - -00011e64 : - 11e64: 0cc00193 li gp,204 - 11e68: 00000213 li tp,0 - 11e6c: f00000b7 lui ra,0xf0000 - 11e70: f0000137 lui sp,0xf0000 - 11e74: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e78: 00000013 nop - 11e7c: 4c20e663 bltu ra,sp,12348 - 11e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e84: 00200293 li t0,2 - 11e88: fe5212e3 bne tp,t0,11e6c - -00011e8c : - 11e8c: 0cd00193 li gp,205 - 11e90: 00000213 li tp,0 - 11e94: f00000b7 lui ra,0xf0000 - 11e98: f0000137 lui sp,0xf0000 - 11e9c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11ea0: 00000013 nop - 11ea4: 00000013 nop - 11ea8: 4a20e063 bltu ra,sp,12348 - 11eac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11eb0: 00200293 li t0,2 - 11eb4: fe5210e3 bne tp,t0,11e94 - -00011eb8 : - 11eb8: 0ce00193 li gp,206 - 11ebc: 00000213 li tp,0 - 11ec0: f00000b7 lui ra,0xf0000 - 11ec4: 00000013 nop - 11ec8: f0000137 lui sp,0xf0000 - 11ecc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11ed0: 4620ec63 bltu ra,sp,12348 - 11ed4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ed8: 00200293 li t0,2 - 11edc: fe5212e3 bne tp,t0,11ec0 - -00011ee0 : - 11ee0: 0cf00193 li gp,207 - 11ee4: 00000213 li tp,0 - 11ee8: f00000b7 lui ra,0xf0000 - 11eec: 00000013 nop - 11ef0: f0000137 lui sp,0xf0000 - 11ef4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11ef8: 00000013 nop - 11efc: 4420e663 bltu ra,sp,12348 - 11f00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11f04: 00200293 li t0,2 - 11f08: fe5210e3 bne tp,t0,11ee8 - -00011f0c : - 11f0c: 0d000193 li gp,208 - 11f10: 00000213 li tp,0 - 11f14: f00000b7 lui ra,0xf0000 - 11f18: 00000013 nop - 11f1c: 00000013 nop - 11f20: f0000137 lui sp,0xf0000 - 11f24: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11f28: 4220e063 bltu ra,sp,12348 - 11f2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11f30: 00200293 li t0,2 - 11f34: fe5210e3 bne tp,t0,11f14 - -00011f38 : - 11f38: 00100093 li ra,1 - 11f3c: 00106a63 bltu zero,ra,11f50 - 11f40: 00108093 addi ra,ra,1 # f0000001 <__global_pointer$+0xeffec379> - 11f44: 00108093 addi ra,ra,1 - 11f48: 00108093 addi ra,ra,1 - 11f4c: 00108093 addi ra,ra,1 - 11f50: 00108093 addi ra,ra,1 - 11f54: 00108093 addi ra,ra,1 - 11f58: 00300e93 li t4,3 - 11f5c: 0d100193 li gp,209 - 11f60: 3fd09463 bne ra,t4,12348 - -00011f64 : - 11f64: 0d200193 li gp,210 - 11f68: 00000093 li ra,0 - 11f6c: 00100113 li sp,1 - 11f70: 00209663 bne ra,sp,11f7c - 11f74: 3c301a63 bne zero,gp,12348 - 11f78: 00301663 bne zero,gp,11f84 - 11f7c: fe209ee3 bne ra,sp,11f78 - 11f80: 3c301463 bne zero,gp,12348 - -00011f84 : - 11f84: 0d300193 li gp,211 - 11f88: 00100093 li ra,1 - 11f8c: 00000113 li sp,0 - 11f90: 00209663 bne ra,sp,11f9c - 11f94: 3a301a63 bne zero,gp,12348 - 11f98: 00301663 bne zero,gp,11fa4 - 11f9c: fe209ee3 bne ra,sp,11f98 - 11fa0: 3a301463 bne zero,gp,12348 - -00011fa4 : - 11fa4: 0d400193 li gp,212 - 11fa8: fff00093 li ra,-1 - 11fac: 00100113 li sp,1 - 11fb0: 00209663 bne ra,sp,11fbc - 11fb4: 38301a63 bne zero,gp,12348 - 11fb8: 00301663 bne zero,gp,11fc4 - 11fbc: fe209ee3 bne ra,sp,11fb8 - 11fc0: 38301463 bne zero,gp,12348 - -00011fc4 : - 11fc4: 0d500193 li gp,213 - 11fc8: 00100093 li ra,1 - 11fcc: fff00113 li sp,-1 - 11fd0: 00209663 bne ra,sp,11fdc - 11fd4: 36301a63 bne zero,gp,12348 - 11fd8: 00301663 bne zero,gp,11fe4 - 11fdc: fe209ee3 bne ra,sp,11fd8 - 11fe0: 36301463 bne zero,gp,12348 - -00011fe4 : - 11fe4: 0d600193 li gp,214 - 11fe8: 00000093 li ra,0 - 11fec: 00000113 li sp,0 - 11ff0: 00209463 bne ra,sp,11ff8 - 11ff4: 00301463 bne zero,gp,11ffc - 11ff8: 34301863 bne zero,gp,12348 - 11ffc: fe209ee3 bne ra,sp,11ff8 - -00012000 : - 12000: 0d700193 li gp,215 - 12004: 00100093 li ra,1 - 12008: 00100113 li sp,1 - 1200c: 00209463 bne ra,sp,12014 - 12010: 00301463 bne zero,gp,12018 - 12014: 32301a63 bne zero,gp,12348 - 12018: fe209ee3 bne ra,sp,12014 - -0001201c : - 1201c: 0d800193 li gp,216 - 12020: fff00093 li ra,-1 - 12024: fff00113 li sp,-1 - 12028: 00209463 bne ra,sp,12030 - 1202c: 00301463 bne zero,gp,12034 - 12030: 30301c63 bne zero,gp,12348 - 12034: fe209ee3 bne ra,sp,12030 - -00012038 : - 12038: 0d900193 li gp,217 - 1203c: 00000213 li tp,0 - 12040: 00000093 li ra,0 - 12044: 00000113 li sp,0 - 12048: 30209063 bne ra,sp,12348 - 1204c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12050: 00200293 li t0,2 - 12054: fe5216e3 bne tp,t0,12040 - -00012058 : - 12058: 0da00193 li gp,218 - 1205c: 00000213 li tp,0 - 12060: 00000093 li ra,0 - 12064: 00000113 li sp,0 - 12068: 00000013 nop - 1206c: 2c209e63 bne ra,sp,12348 - 12070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12074: 00200293 li t0,2 - 12078: fe5214e3 bne tp,t0,12060 - -0001207c : - 1207c: 0db00193 li gp,219 - 12080: 00000213 li tp,0 - 12084: 00000093 li ra,0 - 12088: 00000113 li sp,0 - 1208c: 00000013 nop - 12090: 00000013 nop - 12094: 2a209a63 bne ra,sp,12348 - 12098: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1209c: 00200293 li t0,2 - 120a0: fe5212e3 bne tp,t0,12084 - -000120a4 : - 120a4: 0dc00193 li gp,220 - 120a8: 00000213 li tp,0 - 120ac: 00000093 li ra,0 - 120b0: 00000013 nop - 120b4: 00000113 li sp,0 - 120b8: 28209863 bne ra,sp,12348 - 120bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120c0: 00200293 li t0,2 - 120c4: fe5214e3 bne tp,t0,120ac - -000120c8 : - 120c8: 0dd00193 li gp,221 - 120cc: 00000213 li tp,0 - 120d0: 00000093 li ra,0 - 120d4: 00000013 nop - 120d8: 00000113 li sp,0 - 120dc: 00000013 nop - 120e0: 26209463 bne ra,sp,12348 - 120e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120e8: 00200293 li t0,2 - 120ec: fe5212e3 bne tp,t0,120d0 - -000120f0 : - 120f0: 0de00193 li gp,222 - 120f4: 00000213 li tp,0 - 120f8: 00000093 li ra,0 - 120fc: 00000013 nop - 12100: 00000013 nop - 12104: 00000113 li sp,0 - 12108: 24209063 bne ra,sp,12348 - 1210c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12110: 00200293 li t0,2 - 12114: fe5212e3 bne tp,t0,120f8 - -00012118 : - 12118: 0df00193 li gp,223 - 1211c: 00000213 li tp,0 - 12120: 00000093 li ra,0 - 12124: 00000113 li sp,0 - 12128: 22209063 bne ra,sp,12348 - 1212c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12130: 00200293 li t0,2 - 12134: fe5216e3 bne tp,t0,12120 - -00012138 : - 12138: 0e000193 li gp,224 - 1213c: 00000213 li tp,0 - 12140: 00000093 li ra,0 - 12144: 00000113 li sp,0 - 12148: 00000013 nop - 1214c: 1e209e63 bne ra,sp,12348 - 12150: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12154: 00200293 li t0,2 - 12158: fe5214e3 bne tp,t0,12140 - -0001215c : - 1215c: 0e100193 li gp,225 - 12160: 00000213 li tp,0 - 12164: 00000093 li ra,0 - 12168: 00000113 li sp,0 - 1216c: 00000013 nop - 12170: 00000013 nop - 12174: 1c209a63 bne ra,sp,12348 - 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1217c: 00200293 li t0,2 - 12180: fe5212e3 bne tp,t0,12164 - -00012184 : - 12184: 0e200193 li gp,226 - 12188: 00000213 li tp,0 - 1218c: 00000093 li ra,0 - 12190: 00000013 nop - 12194: 00000113 li sp,0 - 12198: 1a209863 bne ra,sp,12348 - 1219c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121a0: 00200293 li t0,2 - 121a4: fe5214e3 bne tp,t0,1218c - -000121a8 : - 121a8: 0e300193 li gp,227 - 121ac: 00000213 li tp,0 - 121b0: 00000093 li ra,0 - 121b4: 00000013 nop - 121b8: 00000113 li sp,0 - 121bc: 00000013 nop - 121c0: 18209463 bne ra,sp,12348 - 121c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121c8: 00200293 li t0,2 - 121cc: fe5212e3 bne tp,t0,121b0 - -000121d0 : - 121d0: 0e400193 li gp,228 - 121d4: 00000213 li tp,0 - 121d8: 00000093 li ra,0 - 121dc: 00000013 nop - 121e0: 00000013 nop - 121e4: 00000113 li sp,0 - 121e8: 16209063 bne ra,sp,12348 - 121ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121f0: 00200293 li t0,2 - 121f4: fe5212e3 bne tp,t0,121d8 - -000121f8 : - 121f8: 00100093 li ra,1 - 121fc: 00009a63 bnez ra,12210 - 12200: 00108093 addi ra,ra,1 - 12204: 00108093 addi ra,ra,1 - 12208: 00108093 addi ra,ra,1 - 1220c: 00108093 addi ra,ra,1 - 12210: 00108093 addi ra,ra,1 - 12214: 00108093 addi ra,ra,1 - 12218: 00300e93 li t4,3 - 1221c: 0e500193 li gp,229 - 12220: 13d09463 bne ra,t4,12348 - -00012224 : - 12224: 00200193 li gp,2 - 12228: 00000093 li ra,0 - 1222c: 0100026f jal tp,1223c - -00012230 : - 12230: 00000013 nop - 12234: 00000013 nop - 12238: 1100006f j 12348 - -0001223c : - 1223c: 00000317 auipc t1,0x0 - 12240: ff430313 addi t1,t1,-12 # 12230 - 12244: 10431263 bne t1,tp,12348 - -00012248 : - 12248: 00100093 li ra,1 - 1224c: 0140006f j 12260 - 12250: 00108093 addi ra,ra,1 - 12254: 00108093 addi ra,ra,1 - 12258: 00108093 addi ra,ra,1 - 1225c: 00108093 addi ra,ra,1 - 12260: 00108093 addi ra,ra,1 - 12264: 00108093 addi ra,ra,1 - 12268: 00300e93 li t4,3 - 1226c: 0e800193 li gp,232 - 12270: 0dd09c63 bne ra,t4,12348 - -00012274 : - 12274: 00200193 li gp,2 - 12278: 00000293 li t0,0 - 1227c: 00000317 auipc t1,0x0 - 12280: 01030313 addi t1,t1,16 # 1228c - 12284: 000302e7 jalr t0,t1 - -00012288 : - 12288: 0c00006f j 12348 - -0001228c : - 1228c: 00000317 auipc t1,0x0 - 12290: ffc30313 addi t1,t1,-4 # 12288 - 12294: 0a629a63 bne t0,t1,12348 - -00012298 : - 12298: 0e900193 li gp,233 - 1229c: 00000213 li tp,0 - 122a0: 00000317 auipc t1,0x0 - 122a4: 01030313 addi t1,t1,16 # 122b0 - 122a8: 000309e7 jalr s3,t1 - 122ac: 08301e63 bne zero,gp,12348 - 122b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122b4: 00200293 li t0,2 - 122b8: fe5214e3 bne tp,t0,122a0 - -000122bc : - 122bc: 0ea00193 li gp,234 - 122c0: 00000213 li tp,0 - 122c4: 00000317 auipc t1,0x0 - 122c8: 01430313 addi t1,t1,20 # 122d8 - 122cc: 00000013 nop - 122d0: 000309e7 jalr s3,t1 - 122d4: 06301a63 bne zero,gp,12348 - 122d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122dc: 00200293 li t0,2 - 122e0: fe5212e3 bne tp,t0,122c4 - -000122e4 : - 122e4: 0eb00193 li gp,235 - 122e8: 00000213 li tp,0 - 122ec: 00000317 auipc t1,0x0 - 122f0: 01830313 addi t1,t1,24 # 12304 - 122f4: 00000013 nop - 122f8: 00000013 nop - 122fc: 000309e7 jalr s3,t1 - 12300: 04301463 bne zero,gp,12348 - 12304: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12308: 00200293 li t0,2 - 1230c: fe5210e3 bne tp,t0,122ec - -00012310 : - 12310: 00100293 li t0,1 - 12314: 00000317 auipc t1,0x0 - 12318: 01c30313 addi t1,t1,28 # 12330 - 1231c: ffc30067 jr -4(t1) - 12320: 00128293 addi t0,t0,1 - 12324: 00128293 addi t0,t0,1 - 12328: 00128293 addi t0,t0,1 - 1232c: 00128293 addi t0,t0,1 - 12330: 00128293 addi t0,t0,1 - 12334: 00128293 addi t0,t0,1 - 12338: 00400e93 li t4,4 - 1233c: 0ec00193 li gp,236 - 12340: 01d29463 bne t0,t4,12348 - 12344: 00301463 bne zero,gp,1234c - -00012348 : - 12348: 00000a6f jal s4,12348 - -0001234c : - 1234c: 00100193 li gp,1 - -00012350 : - 12350: 00000a6f jal s4,12350 - 12354: c0001073 unimp - ... +00010084 : + 10084: 00000093 li ra,0 + 10088: 00000113 li sp,0 + 1008c: 00208f33 add t5,ra,sp + 10090: 00000e93 li t4,0 + 10094: 00200193 li gp,2 + 10098: 01df0463 beq t5,t4,100a0 + 1009c: 2ac0206f j 12348 + +000100a0 : + 100a0: 00100093 li ra,1 + 100a4: 00100113 li sp,1 + 100a8: 00208f33 add t5,ra,sp + 100ac: 00200e93 li t4,2 + 100b0: 00300193 li gp,3 + 100b4: 01df0463 beq t5,t4,100bc + 100b8: 2900206f j 12348 + +000100bc : + 100bc: 00300093 li ra,3 + 100c0: 00700113 li sp,7 + 100c4: 00208f33 add t5,ra,sp + 100c8: 00a00e93 li t4,10 + 100cc: 00400193 li gp,4 + 100d0: 01df0463 beq t5,t4,100d8 + 100d4: 2740206f j 12348 + +000100d8 : + 100d8: 00000093 li ra,0 + 100dc: ffff8137 lui sp,0xffff8 + 100e0: 00208f33 add t5,ra,sp + 100e4: ffff8eb7 lui t4,0xffff8 + 100e8: 00500193 li gp,5 + 100ec: 01df0463 beq t5,t4,100f4 + 100f0: 2580206f j 12348 + +000100f4 : + 100f4: 800000b7 lui ra,0x80000 + 100f8: 00000113 li sp,0 + 100fc: 00208f33 add t5,ra,sp + 10100: 80000eb7 lui t4,0x80000 + 10104: 00600193 li gp,6 + 10108: 01df0463 beq t5,t4,10110 + 1010c: 23c0206f j 12348 + +00010110 : + 10110: 800000b7 lui ra,0x80000 + 10114: ffff8137 lui sp,0xffff8 + 10118: 00208f33 add t5,ra,sp + 1011c: 7fff8eb7 lui t4,0x7fff8 + 10120: 00700193 li gp,7 + 10124: 01df0463 beq t5,t4,1012c + 10128: 2200206f j 12348 + +0001012c : + 1012c: 00000093 li ra,0 + 10130: 00008137 lui sp,0x8 + 10134: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10138: 00208f33 add t5,ra,sp + 1013c: 00008eb7 lui t4,0x8 + 10140: fffe8e93 addi t4,t4,-1 # 7fff <_start-0x8081> + 10144: 00800193 li gp,8 + 10148: 01df0463 beq t5,t4,10150 + 1014c: 1fc0206f j 12348 + +00010150 : + 10150: 800000b7 lui ra,0x80000 + 10154: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10158: 00000113 li sp,0 + 1015c: 00208f33 add t5,ra,sp + 10160: 80000eb7 lui t4,0x80000 + 10164: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10168: 00900193 li gp,9 + 1016c: 01df0463 beq t5,t4,10174 + 10170: 1d80206f j 12348 + +00010174 : + 10174: 800000b7 lui ra,0x80000 + 10178: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 1017c: 00008137 lui sp,0x8 + 10180: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10184: 00208f33 add t5,ra,sp + 10188: 80008eb7 lui t4,0x80008 + 1018c: ffee8e93 addi t4,t4,-2 # 80007ffe <__global_pointer$+0x7fff4376> + 10190: 00a00193 li gp,10 + 10194: 01df0463 beq t5,t4,1019c + 10198: 1b00206f j 12348 + +0001019c : + 1019c: 800000b7 lui ra,0x80000 + 101a0: 00008137 lui sp,0x8 + 101a4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 101a8: 00208f33 add t5,ra,sp + 101ac: 80008eb7 lui t4,0x80008 + 101b0: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff4377> + 101b4: 00b00193 li gp,11 + 101b8: 01df0463 beq t5,t4,101c0 + 101bc: 18c0206f j 12348 + +000101c0 : + 101c0: 800000b7 lui ra,0x80000 + 101c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 101c8: ffff8137 lui sp,0xffff8 + 101cc: 00208f33 add t5,ra,sp + 101d0: 7fff8eb7 lui t4,0x7fff8 + 101d4: fffe8e93 addi t4,t4,-1 # 7fff7fff <__global_pointer$+0x7ffe4377> + 101d8: 00c00193 li gp,12 + 101dc: 01df0463 beq t5,t4,101e4 + 101e0: 1680206f j 12348 + +000101e4 : + 101e4: 00000093 li ra,0 + 101e8: fff00113 li sp,-1 + 101ec: 00208f33 add t5,ra,sp + 101f0: fff00e93 li t4,-1 + 101f4: 00d00193 li gp,13 + 101f8: 01df0463 beq t5,t4,10200 + 101fc: 14c0206f j 12348 + +00010200 : + 10200: fff00093 li ra,-1 + 10204: 00100113 li sp,1 + 10208: 00208f33 add t5,ra,sp + 1020c: 00000e93 li t4,0 + 10210: 00e00193 li gp,14 + 10214: 01df0463 beq t5,t4,1021c + 10218: 1300206f j 12348 + +0001021c : + 1021c: fff00093 li ra,-1 + 10220: fff00113 li sp,-1 + 10224: 00208f33 add t5,ra,sp + 10228: ffe00e93 li t4,-2 + 1022c: 00f00193 li gp,15 + 10230: 01df0463 beq t5,t4,10238 + 10234: 1140206f j 12348 + +00010238 : + 10238: 00100093 li ra,1 + 1023c: 80000137 lui sp,0x80000 + 10240: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10244: 00208f33 add t5,ra,sp + 10248: 80000eb7 lui t4,0x80000 + 1024c: 01000193 li gp,16 + 10250: 01df0463 beq t5,t4,10258 + 10254: 0f40206f j 12348 + +00010258 : + 10258: 00d00093 li ra,13 + 1025c: 00b00113 li sp,11 + 10260: 002080b3 add ra,ra,sp + 10264: 01800e93 li t4,24 + 10268: 01100193 li gp,17 + 1026c: 01d08463 beq ra,t4,10274 + 10270: 0d80206f j 12348 + +00010274 : + 10274: 00e00093 li ra,14 + 10278: 00b00113 li sp,11 + 1027c: 00208133 add sp,ra,sp + 10280: 01900e93 li t4,25 + 10284: 01200193 li gp,18 + 10288: 01d10463 beq sp,t4,10290 + 1028c: 0bc0206f j 12348 + +00010290 : + 10290: 00d00093 li ra,13 + 10294: 001080b3 add ra,ra,ra + 10298: 01a00e93 li t4,26 + 1029c: 01300193 li gp,19 + 102a0: 01d08463 beq ra,t4,102a8 + 102a4: 0a40206f j 12348 + +000102a8 : + 102a8: 00000213 li tp,0 + 102ac: 00d00093 li ra,13 + 102b0: 00b00113 li sp,11 + 102b4: 00208f33 add t5,ra,sp + 102b8: 000f0313 mv t1,t5 + 102bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102c0: 00200293 li t0,2 + 102c4: fe5214e3 bne tp,t0,102ac + 102c8: 01800e93 li t4,24 + 102cc: 01400193 li gp,20 + 102d0: 01d30463 beq t1,t4,102d8 + 102d4: 0740206f j 12348 + +000102d8 : + 102d8: 00000213 li tp,0 + 102dc: 00e00093 li ra,14 + 102e0: 00b00113 li sp,11 + 102e4: 00208f33 add t5,ra,sp + 102e8: 00000013 nop + 102ec: 000f0313 mv t1,t5 + 102f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102f4: 00200293 li t0,2 + 102f8: fe5212e3 bne tp,t0,102dc + 102fc: 01900e93 li t4,25 + 10300: 01500193 li gp,21 + 10304: 01d30463 beq t1,t4,1030c + 10308: 0400206f j 12348 + +0001030c : + 1030c: 00000213 li tp,0 + 10310: 00f00093 li ra,15 + 10314: 00b00113 li sp,11 + 10318: 00208f33 add t5,ra,sp + 1031c: 00000013 nop + 10320: 00000013 nop + 10324: 000f0313 mv t1,t5 + 10328: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1032c: 00200293 li t0,2 + 10330: fe5210e3 bne tp,t0,10310 + 10334: 01a00e93 li t4,26 + 10338: 01600193 li gp,22 + 1033c: 01d30463 beq t1,t4,10344 + 10340: 0080206f j 12348 + +00010344 : + 10344: 00000213 li tp,0 + 10348: 00d00093 li ra,13 + 1034c: 00b00113 li sp,11 + 10350: 00208f33 add t5,ra,sp + 10354: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10358: 00200293 li t0,2 + 1035c: fe5216e3 bne tp,t0,10348 + 10360: 01800e93 li t4,24 + 10364: 01700193 li gp,23 + 10368: 01df0463 beq t5,t4,10370 + 1036c: 7dd0106f j 12348 + +00010370 : + 10370: 00000213 li tp,0 + 10374: 00e00093 li ra,14 + 10378: 00b00113 li sp,11 + 1037c: 00000013 nop + 10380: 00208f33 add t5,ra,sp + 10384: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10388: 00200293 li t0,2 + 1038c: fe5214e3 bne tp,t0,10374 + 10390: 01900e93 li t4,25 + 10394: 01800193 li gp,24 + 10398: 01df0463 beq t5,t4,103a0 + 1039c: 7ad0106f j 12348 + +000103a0 : + 103a0: 00000213 li tp,0 + 103a4: 00f00093 li ra,15 + 103a8: 00b00113 li sp,11 + 103ac: 00000013 nop + 103b0: 00000013 nop + 103b4: 00208f33 add t5,ra,sp + 103b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 103bc: 00200293 li t0,2 + 103c0: fe5212e3 bne tp,t0,103a4 + 103c4: 01a00e93 li t4,26 + 103c8: 01900193 li gp,25 + 103cc: 01df0463 beq t5,t4,103d4 + 103d0: 7790106f j 12348 + +000103d4 : + 103d4: 00000213 li tp,0 + 103d8: 00d00093 li ra,13 + 103dc: 00000013 nop + 103e0: 00b00113 li sp,11 + 103e4: 00208f33 add t5,ra,sp + 103e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 103ec: 00200293 li t0,2 + 103f0: fe5214e3 bne tp,t0,103d8 + 103f4: 01800e93 li t4,24 + 103f8: 01a00193 li gp,26 + 103fc: 01df0463 beq t5,t4,10404 + 10400: 7490106f j 12348 + +00010404 : + 10404: 00000213 li tp,0 + 10408: 00e00093 li ra,14 + 1040c: 00000013 nop + 10410: 00b00113 li sp,11 + 10414: 00000013 nop + 10418: 00208f33 add t5,ra,sp + 1041c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10420: 00200293 li t0,2 + 10424: fe5212e3 bne tp,t0,10408 + 10428: 01900e93 li t4,25 + 1042c: 01b00193 li gp,27 + 10430: 01df0463 beq t5,t4,10438 + 10434: 7150106f j 12348 + +00010438 : + 10438: 00000213 li tp,0 + 1043c: 00f00093 li ra,15 + 10440: 00000013 nop + 10444: 00000013 nop + 10448: 00b00113 li sp,11 + 1044c: 00208f33 add t5,ra,sp + 10450: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10454: 00200293 li t0,2 + 10458: fe5212e3 bne tp,t0,1043c + 1045c: 01a00e93 li t4,26 + 10460: 01c00193 li gp,28 + 10464: 01df0463 beq t5,t4,1046c + 10468: 6e10106f j 12348 + +0001046c : + 1046c: 00000213 li tp,0 + 10470: 00b00113 li sp,11 + 10474: 00d00093 li ra,13 + 10478: 00208f33 add t5,ra,sp + 1047c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10480: 00200293 li t0,2 + 10484: fe5216e3 bne tp,t0,10470 + 10488: 01800e93 li t4,24 + 1048c: 01d00193 li gp,29 + 10490: 01df0463 beq t5,t4,10498 + 10494: 6b50106f j 12348 + +00010498 : + 10498: 00000213 li tp,0 + 1049c: 00b00113 li sp,11 + 104a0: 00e00093 li ra,14 + 104a4: 00000013 nop + 104a8: 00208f33 add t5,ra,sp + 104ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104b0: 00200293 li t0,2 + 104b4: fe5214e3 bne tp,t0,1049c + 104b8: 01900e93 li t4,25 + 104bc: 01e00193 li gp,30 + 104c0: 01df0463 beq t5,t4,104c8 + 104c4: 6850106f j 12348 + +000104c8 : + 104c8: 00000213 li tp,0 + 104cc: 00b00113 li sp,11 + 104d0: 00f00093 li ra,15 + 104d4: 00000013 nop + 104d8: 00000013 nop + 104dc: 00208f33 add t5,ra,sp + 104e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104e4: 00200293 li t0,2 + 104e8: fe5212e3 bne tp,t0,104cc + 104ec: 01a00e93 li t4,26 + 104f0: 01f00193 li gp,31 + 104f4: 01df0463 beq t5,t4,104fc + 104f8: 6510106f j 12348 + +000104fc : + 104fc: 00000213 li tp,0 + 10500: 00b00113 li sp,11 + 10504: 00000013 nop + 10508: 00d00093 li ra,13 + 1050c: 00208f33 add t5,ra,sp + 10510: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10514: 00200293 li t0,2 + 10518: fe5214e3 bne tp,t0,10500 + 1051c: 01800e93 li t4,24 + 10520: 02000193 li gp,32 + 10524: 01df0463 beq t5,t4,1052c + 10528: 6210106f j 12348 + +0001052c : + 1052c: 00000213 li tp,0 + 10530: 00b00113 li sp,11 + 10534: 00000013 nop + 10538: 00e00093 li ra,14 + 1053c: 00000013 nop + 10540: 00208f33 add t5,ra,sp + 10544: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10548: 00200293 li t0,2 + 1054c: fe5212e3 bne tp,t0,10530 + 10550: 01900e93 li t4,25 + 10554: 02100193 li gp,33 + 10558: 01df0463 beq t5,t4,10560 + 1055c: 5ed0106f j 12348 + +00010560 : + 10560: 00000213 li tp,0 + 10564: 00b00113 li sp,11 + 10568: 00000013 nop + 1056c: 00000013 nop + 10570: 00f00093 li ra,15 + 10574: 00208f33 add t5,ra,sp + 10578: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1057c: 00200293 li t0,2 + 10580: fe5212e3 bne tp,t0,10564 + 10584: 01a00e93 li t4,26 + 10588: 02200193 li gp,34 + 1058c: 01df0463 beq t5,t4,10594 + 10590: 5b90106f j 12348 + +00010594 : + 10594: 00f00093 li ra,15 + 10598: 00100133 add sp,zero,ra + 1059c: 00f00e93 li t4,15 + 105a0: 02300193 li gp,35 + 105a4: 01d10463 beq sp,t4,105ac + 105a8: 5a10106f j 12348 + +000105ac : + 105ac: 02000093 li ra,32 + 105b0: 00008133 add sp,ra,zero + 105b4: 02000e93 li t4,32 + 105b8: 02400193 li gp,36 + 105bc: 01d10463 beq sp,t4,105c4 + 105c0: 5890106f j 12348 + +000105c4 : + 105c4: 000000b3 add ra,zero,zero + 105c8: 00000e93 li t4,0 + 105cc: 02500193 li gp,37 + 105d0: 01d08463 beq ra,t4,105d8 + 105d4: 5750106f j 12348 + +000105d8 : + 105d8: 01000093 li ra,16 + 105dc: 01e00113 li sp,30 + 105e0: 00208033 add zero,ra,sp + 105e4: 00000e93 li t4,0 + 105e8: 02600193 li gp,38 + 105ec: 01d00463 beq zero,t4,105f4 + 105f0: 5590106f j 12348 + +000105f4 : + 105f4: 00000093 li ra,0 + 105f8: 00008f13 mv t5,ra + 105fc: 00000e93 li t4,0 + 10600: 02700193 li gp,39 + 10604: 01df0463 beq t5,t4,1060c + 10608: 5410106f j 12348 + +0001060c : + 1060c: 00100093 li ra,1 + 10610: 00108f13 addi t5,ra,1 + 10614: 00200e93 li t4,2 + 10618: 02800193 li gp,40 + 1061c: 01df0463 beq t5,t4,10624 + 10620: 5290106f j 12348 + +00010624 : + 10624: 00300093 li ra,3 + 10628: 00708f13 addi t5,ra,7 + 1062c: 00a00e93 li t4,10 + 10630: 02900193 li gp,41 + 10634: 01df0463 beq t5,t4,1063c + 10638: 5110106f j 12348 + +0001063c : + 1063c: 00000093 li ra,0 + 10640: 80008f13 addi t5,ra,-2048 + 10644: 80000e93 li t4,-2048 + 10648: 02a00193 li gp,42 + 1064c: 01df0463 beq t5,t4,10654 + 10650: 4f90106f j 12348 + +00010654 : + 10654: 800000b7 lui ra,0x80000 + 10658: 00008f13 mv t5,ra + 1065c: 80000eb7 lui t4,0x80000 + 10660: 02b00193 li gp,43 + 10664: 01df0463 beq t5,t4,1066c + 10668: 4e10106f j 12348 + +0001066c : + 1066c: 800000b7 lui ra,0x80000 + 10670: 80008f13 addi t5,ra,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> + 10674: 80000eb7 lui t4,0x80000 + 10678: 800e8e93 addi t4,t4,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> + 1067c: 02c00193 li gp,44 + 10680: 01df0463 beq t5,t4,10688 + 10684: 4c50106f j 12348 + +00010688 : + 10688: 00000093 li ra,0 + 1068c: 7ff08f13 addi t5,ra,2047 + 10690: 7ff00e93 li t4,2047 + 10694: 02d00193 li gp,45 + 10698: 01df0463 beq t5,t4,106a0 + 1069c: 4ad0106f j 12348 + +000106a0 : + 106a0: 800000b7 lui ra,0x80000 + 106a4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 106a8: 00008f13 mv t5,ra + 106ac: 80000eb7 lui t4,0x80000 + 106b0: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 106b4: 02e00193 li gp,46 + 106b8: 01df0463 beq t5,t4,106c0 + 106bc: 48d0106f j 12348 + +000106c0 : + 106c0: 800000b7 lui ra,0x80000 + 106c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 106c8: 7ff08f13 addi t5,ra,2047 + 106cc: 80000eb7 lui t4,0x80000 + 106d0: 7fee8e93 addi t4,t4,2046 # 800007fe <__global_pointer$+0x7ffecb76> + 106d4: 02f00193 li gp,47 + 106d8: 01df0463 beq t5,t4,106e0 + 106dc: 46d0106f j 12348 + +000106e0 : + 106e0: 800000b7 lui ra,0x80000 + 106e4: 7ff08f13 addi t5,ra,2047 # 800007ff <__global_pointer$+0x7ffecb77> + 106e8: 80000eb7 lui t4,0x80000 + 106ec: 7ffe8e93 addi t4,t4,2047 # 800007ff <__global_pointer$+0x7ffecb77> + 106f0: 03000193 li gp,48 + 106f4: 01df0463 beq t5,t4,106fc + 106f8: 4510106f j 12348 + +000106fc : + 106fc: 800000b7 lui ra,0x80000 + 10700: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10704: 80008f13 addi t5,ra,-2048 + 10708: 7ffffeb7 lui t4,0x7ffff + 1070c: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <__global_pointer$+0x7ffebb77> + 10710: 03100193 li gp,49 + 10714: 01df0463 beq t5,t4,1071c + 10718: 4310106f j 12348 + +0001071c : + 1071c: 00000093 li ra,0 + 10720: fff08f13 addi t5,ra,-1 + 10724: fff00e93 li t4,-1 + 10728: 03200193 li gp,50 + 1072c: 01df0463 beq t5,t4,10734 + 10730: 4190106f j 12348 + +00010734 : + 10734: fff00093 li ra,-1 + 10738: 00108f13 addi t5,ra,1 + 1073c: 00000e93 li t4,0 + 10740: 03300193 li gp,51 + 10744: 01df0463 beq t5,t4,1074c + 10748: 4010106f j 12348 + +0001074c : + 1074c: fff00093 li ra,-1 + 10750: fff08f13 addi t5,ra,-1 + 10754: ffe00e93 li t4,-2 + 10758: 03400193 li gp,52 + 1075c: 01df0463 beq t5,t4,10764 + 10760: 3e90106f j 12348 + +00010764 : + 10764: 800000b7 lui ra,0x80000 + 10768: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 1076c: 00108f13 addi t5,ra,1 + 10770: 80000eb7 lui t4,0x80000 + 10774: 03500193 li gp,53 + 10778: 01df0463 beq t5,t4,10780 + 1077c: 3cd0106f j 12348 + +00010780 : + 10780: 00d00093 li ra,13 + 10784: 00b08093 addi ra,ra,11 + 10788: 01800e93 li t4,24 + 1078c: 03600193 li gp,54 + 10790: 01d08463 beq ra,t4,10798 + 10794: 3b50106f j 12348 + +00010798 : + 10798: 00000213 li tp,0 + 1079c: 00d00093 li ra,13 + 107a0: 00b08f13 addi t5,ra,11 + 107a4: 000f0313 mv t1,t5 + 107a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107ac: 00200293 li t0,2 + 107b0: fe5216e3 bne tp,t0,1079c + 107b4: 01800e93 li t4,24 + 107b8: 03700193 li gp,55 + 107bc: 01d30463 beq t1,t4,107c4 + 107c0: 3890106f j 12348 + +000107c4 : + 107c4: 00000213 li tp,0 + 107c8: 00d00093 li ra,13 + 107cc: 00a08f13 addi t5,ra,10 + 107d0: 00000013 nop + 107d4: 000f0313 mv t1,t5 + 107d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107dc: 00200293 li t0,2 + 107e0: fe5214e3 bne tp,t0,107c8 + 107e4: 01700e93 li t4,23 + 107e8: 03800193 li gp,56 + 107ec: 01d30463 beq t1,t4,107f4 + 107f0: 3590106f j 12348 + +000107f4 : + 107f4: 00000213 li tp,0 + 107f8: 00d00093 li ra,13 + 107fc: 00908f13 addi t5,ra,9 + 10800: 00000013 nop + 10804: 00000013 nop + 10808: 000f0313 mv t1,t5 + 1080c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10810: 00200293 li t0,2 + 10814: fe5212e3 bne tp,t0,107f8 + 10818: 01600e93 li t4,22 + 1081c: 03900193 li gp,57 + 10820: 01d30463 beq t1,t4,10828 + 10824: 3250106f j 12348 + +00010828 : + 10828: 00000213 li tp,0 + 1082c: 00d00093 li ra,13 + 10830: 00b08f13 addi t5,ra,11 + 10834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10838: 00200293 li t0,2 + 1083c: fe5218e3 bne tp,t0,1082c + 10840: 01800e93 li t4,24 + 10844: 03a00193 li gp,58 + 10848: 01df0463 beq t5,t4,10850 + 1084c: 2fd0106f j 12348 + +00010850 : + 10850: 00000213 li tp,0 + 10854: 00d00093 li ra,13 + 10858: 00000013 nop + 1085c: 00a08f13 addi t5,ra,10 + 10860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10864: 00200293 li t0,2 + 10868: fe5216e3 bne tp,t0,10854 + 1086c: 01700e93 li t4,23 + 10870: 03b00193 li gp,59 + 10874: 01df0463 beq t5,t4,1087c + 10878: 2d10106f j 12348 + +0001087c : + 1087c: 00000213 li tp,0 + 10880: 00d00093 li ra,13 + 10884: 00000013 nop + 10888: 00000013 nop + 1088c: 00908f13 addi t5,ra,9 + 10890: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10894: 00200293 li t0,2 + 10898: fe5214e3 bne tp,t0,10880 + 1089c: 01600e93 li t4,22 + 108a0: 03c00193 li gp,60 + 108a4: 01df0463 beq t5,t4,108ac + 108a8: 2a10106f j 12348 + +000108ac : + 108ac: 02000093 li ra,32 + 108b0: 02000e93 li t4,32 + 108b4: 03d00193 li gp,61 + 108b8: 01d08463 beq ra,t4,108c0 + 108bc: 28d0106f j 12348 + +000108c0 : + 108c0: 02100093 li ra,33 + 108c4: 03208013 addi zero,ra,50 + 108c8: 00000e93 li t4,0 + 108cc: 03e00193 li gp,62 + 108d0: 01d00463 beq zero,t4,108d8 + 108d4: 2750106f j 12348 + +000108d8 : + 108d8: ff0100b7 lui ra,0xff010 + 108dc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 108e0: 0f0f1137 lui sp,0xf0f1 + 108e4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 108e8: 0020ff33 and t5,ra,sp + 108ec: 0f001eb7 lui t4,0xf001 + 108f0: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 108f4: 03f00193 li gp,63 + 108f8: 01df0463 beq t5,t4,10900 + 108fc: 24d0106f j 12348 + +00010900 : + 10900: 0ff010b7 lui ra,0xff01 + 10904: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10908: f0f0f137 lui sp,0xf0f0f + 1090c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10910: 0020ff33 and t5,ra,sp + 10914: 00f00eb7 lui t4,0xf00 + 10918: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 1091c: 04000193 li gp,64 + 10920: 01df0463 beq t5,t4,10928 + 10924: 2250106f j 12348 + +00010928 : + 10928: 00ff00b7 lui ra,0xff0 + 1092c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10930: 0f0f1137 lui sp,0xf0f1 + 10934: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10938: 0020ff33 and t5,ra,sp + 1093c: 000f0eb7 lui t4,0xf0 + 10940: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10944: 04100193 li gp,65 + 10948: 01df0463 beq t5,t4,10950 + 1094c: 1fd0106f j 12348 + +00010950 : + 10950: f00ff0b7 lui ra,0xf00ff + 10954: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10958: f0f0f137 lui sp,0xf0f0f + 1095c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10960: 0020ff33 and t5,ra,sp + 10964: f000feb7 lui t4,0xf000f + 10968: 04200193 li gp,66 + 1096c: 01df0463 beq t5,t4,10974 + 10970: 1d90106f j 12348 + +00010974 : + 10974: ff0100b7 lui ra,0xff010 + 10978: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 1097c: 0f0f1137 lui sp,0xf0f1 + 10980: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10984: 0020f0b3 and ra,ra,sp + 10988: 0f001eb7 lui t4,0xf001 + 1098c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10990: 04300193 li gp,67 + 10994: 01d08463 beq ra,t4,1099c + 10998: 1b10106f j 12348 + +0001099c : + 1099c: 0ff010b7 lui ra,0xff01 + 109a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 109a4: f0f0f137 lui sp,0xf0f0f + 109a8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 109ac: 0020f133 and sp,ra,sp + 109b0: 00f00eb7 lui t4,0xf00 + 109b4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 109b8: 04400193 li gp,68 + 109bc: 01d10463 beq sp,t4,109c4 + 109c0: 1890106f j 12348 + +000109c4 : + 109c4: ff0100b7 lui ra,0xff010 + 109c8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 109cc: 0010f0b3 and ra,ra,ra + 109d0: ff010eb7 lui t4,0xff010 + 109d4: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 109d8: 04500193 li gp,69 + 109dc: 01d08463 beq ra,t4,109e4 + 109e0: 1690106f j 12348 + +000109e4 : + 109e4: 00000213 li tp,0 + 109e8: ff0100b7 lui ra,0xff010 + 109ec: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 109f0: 0f0f1137 lui sp,0xf0f1 + 109f4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 109f8: 0020ff33 and t5,ra,sp + 109fc: 000f0313 mv t1,t5 + 10a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a04: 00200293 li t0,2 + 10a08: fe5210e3 bne tp,t0,109e8 + 10a0c: 0f001eb7 lui t4,0xf001 + 10a10: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10a14: 04600193 li gp,70 + 10a18: 01d30463 beq t1,t4,10a20 + 10a1c: 12d0106f j 12348 + +00010a20 : + 10a20: 00000213 li tp,0 + 10a24: 0ff010b7 lui ra,0xff01 + 10a28: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10a2c: f0f0f137 lui sp,0xf0f0f + 10a30: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10a34: 0020ff33 and t5,ra,sp + 10a38: 00000013 nop + 10a3c: 000f0313 mv t1,t5 + 10a40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a44: 00200293 li t0,2 + 10a48: fc521ee3 bne tp,t0,10a24 + 10a4c: 00f00eb7 lui t4,0xf00 + 10a50: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10a54: 04700193 li gp,71 + 10a58: 01d30463 beq t1,t4,10a60 + 10a5c: 0ed0106f j 12348 + +00010a60 : + 10a60: 00000213 li tp,0 + 10a64: 00ff00b7 lui ra,0xff0 + 10a68: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10a6c: 0f0f1137 lui sp,0xf0f1 + 10a70: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10a74: 0020ff33 and t5,ra,sp + 10a78: 00000013 nop + 10a7c: 00000013 nop + 10a80: 000f0313 mv t1,t5 + 10a84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a88: 00200293 li t0,2 + 10a8c: fc521ce3 bne tp,t0,10a64 + 10a90: 000f0eb7 lui t4,0xf0 + 10a94: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10a98: 04800193 li gp,72 + 10a9c: 01d30463 beq t1,t4,10aa4 + 10aa0: 0a90106f j 12348 + +00010aa4 : + 10aa4: 00000213 li tp,0 + 10aa8: ff0100b7 lui ra,0xff010 + 10aac: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10ab0: 0f0f1137 lui sp,0xf0f1 + 10ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10ab8: 0020ff33 and t5,ra,sp + 10abc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ac0: 00200293 li t0,2 + 10ac4: fe5212e3 bne tp,t0,10aa8 + 10ac8: 0f001eb7 lui t4,0xf001 + 10acc: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10ad0: 04900193 li gp,73 + 10ad4: 01df0463 beq t5,t4,10adc + 10ad8: 0710106f j 12348 + +00010adc : + 10adc: 00000213 li tp,0 + 10ae0: 0ff010b7 lui ra,0xff01 + 10ae4: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10ae8: f0f0f137 lui sp,0xf0f0f + 10aec: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10af0: 00000013 nop + 10af4: 0020ff33 and t5,ra,sp + 10af8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10afc: 00200293 li t0,2 + 10b00: fe5210e3 bne tp,t0,10ae0 + 10b04: 00f00eb7 lui t4,0xf00 + 10b08: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10b0c: 04a00193 li gp,74 + 10b10: 01df0463 beq t5,t4,10b18 + 10b14: 0350106f j 12348 + +00010b18 : + 10b18: 00000213 li tp,0 + 10b1c: 00ff00b7 lui ra,0xff0 + 10b20: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10b24: 0f0f1137 lui sp,0xf0f1 + 10b28: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10b2c: 00000013 nop + 10b30: 00000013 nop + 10b34: 0020ff33 and t5,ra,sp + 10b38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10b3c: 00200293 li t0,2 + 10b40: fc521ee3 bne tp,t0,10b1c + 10b44: 000f0eb7 lui t4,0xf0 + 10b48: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10b4c: 04b00193 li gp,75 + 10b50: 01df0463 beq t5,t4,10b58 + 10b54: 7f40106f j 12348 + +00010b58 : + 10b58: 00000213 li tp,0 + 10b5c: ff0100b7 lui ra,0xff010 + 10b60: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10b64: 00000013 nop + 10b68: 0f0f1137 lui sp,0xf0f1 + 10b6c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10b70: 0020ff33 and t5,ra,sp + 10b74: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10b78: 00200293 li t0,2 + 10b7c: fe5210e3 bne tp,t0,10b5c + 10b80: 0f001eb7 lui t4,0xf001 + 10b84: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10b88: 04c00193 li gp,76 + 10b8c: 01df0463 beq t5,t4,10b94 + 10b90: 7b80106f j 12348 + +00010b94 : + 10b94: 00000213 li tp,0 + 10b98: 0ff010b7 lui ra,0xff01 + 10b9c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10ba0: 00000013 nop + 10ba4: f0f0f137 lui sp,0xf0f0f + 10ba8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10bac: 00000013 nop + 10bb0: 0020ff33 and t5,ra,sp + 10bb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10bb8: 00200293 li t0,2 + 10bbc: fc521ee3 bne tp,t0,10b98 + 10bc0: 00f00eb7 lui t4,0xf00 + 10bc4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10bc8: 04d00193 li gp,77 + 10bcc: 01df0463 beq t5,t4,10bd4 + 10bd0: 7780106f j 12348 + +00010bd4 : + 10bd4: 00000213 li tp,0 + 10bd8: 00ff00b7 lui ra,0xff0 + 10bdc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10be0: 00000013 nop + 10be4: 00000013 nop + 10be8: 0f0f1137 lui sp,0xf0f1 + 10bec: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10bf0: 0020ff33 and t5,ra,sp + 10bf4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10bf8: 00200293 li t0,2 + 10bfc: fc521ee3 bne tp,t0,10bd8 + 10c00: 000f0eb7 lui t4,0xf0 + 10c04: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10c08: 04e00193 li gp,78 + 10c0c: 01df0463 beq t5,t4,10c14 + 10c10: 7380106f j 12348 + +00010c14 : + 10c14: 00000213 li tp,0 + 10c18: 0f0f1137 lui sp,0xf0f1 + 10c1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10c20: ff0100b7 lui ra,0xff010 + 10c24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10c28: 0020ff33 and t5,ra,sp + 10c2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10c30: 00200293 li t0,2 + 10c34: fe5212e3 bne tp,t0,10c18 + 10c38: 0f001eb7 lui t4,0xf001 + 10c3c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10c40: 04f00193 li gp,79 + 10c44: 01df0463 beq t5,t4,10c4c + 10c48: 7000106f j 12348 + +00010c4c : + 10c4c: 00000213 li tp,0 + 10c50: f0f0f137 lui sp,0xf0f0f + 10c54: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10c58: 0ff010b7 lui ra,0xff01 + 10c5c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10c60: 00000013 nop + 10c64: 0020ff33 and t5,ra,sp + 10c68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10c6c: 00200293 li t0,2 + 10c70: fe5210e3 bne tp,t0,10c50 + 10c74: 00f00eb7 lui t4,0xf00 + 10c78: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10c7c: 05000193 li gp,80 + 10c80: 01df0463 beq t5,t4,10c88 + 10c84: 6c40106f j 12348 + +00010c88 : + 10c88: 00000213 li tp,0 + 10c8c: 0f0f1137 lui sp,0xf0f1 + 10c90: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10c94: 00ff00b7 lui ra,0xff0 + 10c98: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10c9c: 00000013 nop + 10ca0: 00000013 nop + 10ca4: 0020ff33 and t5,ra,sp + 10ca8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10cac: 00200293 li t0,2 + 10cb0: fc521ee3 bne tp,t0,10c8c + 10cb4: 000f0eb7 lui t4,0xf0 + 10cb8: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10cbc: 05100193 li gp,81 + 10cc0: 01df0463 beq t5,t4,10cc8 + 10cc4: 6840106f j 12348 + +00010cc8 : + 10cc8: 00000213 li tp,0 + 10ccc: 0f0f1137 lui sp,0xf0f1 + 10cd0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10cd4: 00000013 nop + 10cd8: ff0100b7 lui ra,0xff010 + 10cdc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10ce0: 0020ff33 and t5,ra,sp + 10ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ce8: 00200293 li t0,2 + 10cec: fe5210e3 bne tp,t0,10ccc + 10cf0: 0f001eb7 lui t4,0xf001 + 10cf4: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10cf8: 05200193 li gp,82 + 10cfc: 01df0463 beq t5,t4,10d04 + 10d00: 6480106f j 12348 + +00010d04 : + 10d04: 00000213 li tp,0 + 10d08: f0f0f137 lui sp,0xf0f0f + 10d0c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10d10: 00000013 nop + 10d14: 0ff010b7 lui ra,0xff01 + 10d18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10d1c: 00000013 nop + 10d20: 0020ff33 and t5,ra,sp + 10d24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d28: 00200293 li t0,2 + 10d2c: fc521ee3 bne tp,t0,10d08 + 10d30: 00f00eb7 lui t4,0xf00 + 10d34: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10d38: 05300193 li gp,83 + 10d3c: 01df0463 beq t5,t4,10d44 + 10d40: 6080106f j 12348 + +00010d44 : + 10d44: 00000213 li tp,0 + 10d48: 0f0f1137 lui sp,0xf0f1 + 10d4c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10d50: 00000013 nop + 10d54: 00000013 nop + 10d58: 00ff00b7 lui ra,0xff0 + 10d5c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10d60: 0020ff33 and t5,ra,sp + 10d64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d68: 00200293 li t0,2 + 10d6c: fc521ee3 bne tp,t0,10d48 + 10d70: 000f0eb7 lui t4,0xf0 + 10d74: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10d78: 05400193 li gp,84 + 10d7c: 01df0463 beq t5,t4,10d84 + 10d80: 5c80106f j 12348 + +00010d84 : + 10d84: ff0100b7 lui ra,0xff010 + 10d88: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10d8c: 00107133 and sp,zero,ra + 10d90: 00000e93 li t4,0 + 10d94: 05500193 li gp,85 + 10d98: 01d10463 beq sp,t4,10da0 + 10d9c: 5ac0106f j 12348 + +00010da0 : + 10da0: 00ff00b7 lui ra,0xff0 + 10da4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10da8: 0000f133 and sp,ra,zero + 10dac: 00000e93 li t4,0 + 10db0: 05600193 li gp,86 + 10db4: 01d10463 beq sp,t4,10dbc + 10db8: 5900106f j 12348 + +00010dbc : + 10dbc: 000070b3 and ra,zero,zero + 10dc0: 00000e93 li t4,0 + 10dc4: 05700193 li gp,87 + 10dc8: 01d08463 beq ra,t4,10dd0 + 10dcc: 57c0106f j 12348 + +00010dd0 : + 10dd0: 111110b7 lui ra,0x11111 + 10dd4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fd489> + 10dd8: 22222137 lui sp,0x22222 + 10ddc: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220e59a> + 10de0: 0020f033 and zero,ra,sp + 10de4: 00000e93 li t4,0 + 10de8: 05800193 li gp,88 + 10dec: 01d00463 beq zero,t4,10df4 + 10df0: 5580106f j 12348 + +00010df4 : + 10df4: ff0100b7 lui ra,0xff010 + 10df8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10dfc: f0f0ff13 andi t5,ra,-241 + 10e00: ff010eb7 lui t4,0xff010 + 10e04: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10e08: 05900193 li gp,89 + 10e0c: 01df0463 beq t5,t4,10e14 + 10e10: 5380106f j 12348 + +00010e14 : + 10e14: 0ff010b7 lui ra,0xff01 + 10e18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10e1c: 0f00ff13 andi t5,ra,240 + 10e20: 0f000e93 li t4,240 + 10e24: 05a00193 li gp,90 + 10e28: 01df0463 beq t5,t4,10e30 + 10e2c: 51c0106f j 12348 + +00010e30 : + 10e30: 00ff00b7 lui ra,0xff0 + 10e34: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10e38: 70f0ff13 andi t5,ra,1807 + 10e3c: 00f00e93 li t4,15 + 10e40: 05b00193 li gp,91 + 10e44: 01df0463 beq t5,t4,10e4c + 10e48: 5000106f j 12348 + +00010e4c : + 10e4c: f00ff0b7 lui ra,0xf00ff + 10e50: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10e54: 0f00ff13 andi t5,ra,240 + 10e58: 00000e93 li t4,0 + 10e5c: 05c00193 li gp,92 + 10e60: 01df0463 beq t5,t4,10e68 + 10e64: 4e40106f j 12348 + +00010e68 : + 10e68: ff0100b7 lui ra,0xff010 + 10e6c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10e70: 0f00f093 andi ra,ra,240 + 10e74: 00000e93 li t4,0 + 10e78: 05d00193 li gp,93 + 10e7c: 01d08463 beq ra,t4,10e84 + 10e80: 4c80106f j 12348 + +00010e84 : + 10e84: 00000213 li tp,0 + 10e88: 0ff010b7 lui ra,0xff01 + 10e8c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10e90: 70f0ff13 andi t5,ra,1807 + 10e94: 000f0313 mv t1,t5 + 10e98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10e9c: 00200293 li t0,2 + 10ea0: fe5214e3 bne tp,t0,10e88 + 10ea4: 70000e93 li t4,1792 + 10ea8: 05e00193 li gp,94 + 10eac: 01d30463 beq t1,t4,10eb4 + 10eb0: 4980106f j 12348 + +00010eb4 : + 10eb4: 00000213 li tp,0 + 10eb8: 00ff00b7 lui ra,0xff0 + 10ebc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10ec0: 0f00ff13 andi t5,ra,240 + 10ec4: 00000013 nop + 10ec8: 000f0313 mv t1,t5 + 10ecc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ed0: 00200293 li t0,2 + 10ed4: fe5212e3 bne tp,t0,10eb8 + 10ed8: 0f000e93 li t4,240 + 10edc: 05f00193 li gp,95 + 10ee0: 01d30463 beq t1,t4,10ee8 + 10ee4: 4640106f j 12348 + +00010ee8 : + 10ee8: 00000213 li tp,0 + 10eec: f00ff0b7 lui ra,0xf00ff + 10ef0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10ef4: f0f0ff13 andi t5,ra,-241 + 10ef8: 00000013 nop + 10efc: 00000013 nop + 10f00: 000f0313 mv t1,t5 + 10f04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f08: 00200293 li t0,2 + 10f0c: fe5210e3 bne tp,t0,10eec + 10f10: f00ffeb7 lui t4,0xf00ff + 10f14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10f18: 06000193 li gp,96 + 10f1c: 01d30463 beq t1,t4,10f24 + 10f20: 4280106f j 12348 + +00010f24 : + 10f24: 00000213 li tp,0 + 10f28: 0ff010b7 lui ra,0xff01 + 10f2c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10f30: 70f0ff13 andi t5,ra,1807 + 10f34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f38: 00200293 li t0,2 + 10f3c: fe5216e3 bne tp,t0,10f28 + 10f40: 70000e93 li t4,1792 + 10f44: 06100193 li gp,97 + 10f48: 01df0463 beq t5,t4,10f50 + 10f4c: 3fc0106f j 12348 + +00010f50 : + 10f50: 00000213 li tp,0 + 10f54: 00ff00b7 lui ra,0xff0 + 10f58: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10f5c: 00000013 nop + 10f60: 0f00ff13 andi t5,ra,240 + 10f64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f68: 00200293 li t0,2 + 10f6c: fe5214e3 bne tp,t0,10f54 + 10f70: 0f000e93 li t4,240 + 10f74: 06200193 li gp,98 + 10f78: 01df0463 beq t5,t4,10f80 + 10f7c: 3cc0106f j 12348 + +00010f80 : + 10f80: 00000213 li tp,0 + 10f84: f00ff0b7 lui ra,0xf00ff + 10f88: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10f8c: 00000013 nop + 10f90: 00000013 nop + 10f94: 70f0ff13 andi t5,ra,1807 + 10f98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f9c: 00200293 li t0,2 + 10fa0: fe5212e3 bne tp,t0,10f84 + 10fa4: 00f00e93 li t4,15 + 10fa8: 06300193 li gp,99 + 10fac: 01df0463 beq t5,t4,10fb4 + 10fb0: 3980106f j 12348 + +00010fb4 : + 10fb4: 0f007093 andi ra,zero,240 + 10fb8: 00000e93 li t4,0 + 10fbc: 06400193 li gp,100 + 10fc0: 01d08463 beq ra,t4,10fc8 + 10fc4: 3840106f j 12348 + +00010fc8 : + 10fc8: 00ff00b7 lui ra,0xff0 + 10fcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10fd0: 70f0f013 andi zero,ra,1807 + 10fd4: 00000e93 li t4,0 + 10fd8: 06500193 li gp,101 + 10fdc: 01d00463 beq zero,t4,10fe4 + 10fe0: 3680106f j 12348 + +00010fe4 : + 10fe4: 00000013 nop + 10fe8: 00002517 auipc a0,0x2 + 10fec: 71c50513 addi a0,a0,1820 # 13704 <__bss_start+0x27c> + 10ff0: 004005ef jal a1,10ff4 + 10ff4: 40b50533 sub a0,a0,a1 + 10ff8: 00002eb7 lui t4,0x2 + 10ffc: 710e8e93 addi t4,t4,1808 # 2710 <_start-0xd970> + 11000: 06600193 li gp,102 + 11004: 01d50463 beq a0,t4,1100c + 11008: 3400106f j 12348 + +0001100c : + 1100c: 00000013 nop + 11010: ffffe517 auipc a0,0xffffe + 11014: 8fc50513 addi a0,a0,-1796 # e90c <_start-0x1774> + 11018: 004005ef jal a1,1101c + 1101c: 40b50533 sub a0,a0,a1 + 11020: ffffeeb7 lui t4,0xffffe + 11024: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 <__global_pointer$+0xfffe9c68> + 11028: 06700193 li gp,103 + 1102c: 01d50463 beq a0,t4,11034 + 11030: 3180106f j 12348 + +00011034 : + 11034: 06800193 li gp,104 + 11038: 00000093 li ra,0 + 1103c: 00000113 li sp,0 + 11040: 00208863 beq ra,sp,11050 #1 + 11044: 00300463 beq zero,gp,1104c + 11048: 3000106f j 12348 + 1104c: 00301863 bne zero,gp,1105c #3 + 11050: fe208ee3 beq ra,sp,1104c #2 + 11054: 00300463 beq zero,gp,1105c + 11058: 2f00106f j 12348 + +0001105c : + 1105c: 06900193 li gp,105 #ra = x1 gp = x3 sp =x2 + 11060: 00100093 li ra,1 + 11064: 00100113 li sp,1 + 11068: 00208863 beq ra,sp,11078 # 1 + 1106c: 00300463 beq zero,gp,11074 + 11070: 2d80106f j 12348 + 11074: 00301863 bne zero,gp,11084 # 3 + 11078: fe208ee3 beq ra,sp,11074 # 2 + 1107c: 00300463 beq zero,gp,11084 + 11080: 2c80106f j 12348 + +00011084 : + 11084: 06a00193 li gp,106 + 11088: fff00093 li ra,-1 + 1108c: fff00113 li sp,-1 + 11090: 00208863 beq ra,sp,110a0 + 11094: 00300463 beq zero,gp,1109c + 11098: 2b00106f j 12348 + 1109c: 00301863 bne zero,gp,110ac + 110a0: fe208ee3 beq ra,sp,1109c + 110a4: 00300463 beq zero,gp,110ac + 110a8: 2a00106f j 12348 + +000110ac : + 110ac: 06b00193 li gp,107 + 110b0: 00000093 li ra,0 + 110b4: 00100113 li sp,1 + 110b8: 00208463 beq ra,sp,110c0 + 110bc: 00301663 bne zero,gp,110c8 + 110c0: 00300463 beq zero,gp,110c8 + 110c4: 2840106f j 12348 + 110c8: fe208ce3 beq ra,sp,110c0 + +000110cc : + 110cc: 06c00193 li gp,108 + 110d0: 00100093 li ra,1 + 110d4: 00000113 li sp,0 + 110d8: 00208463 beq ra,sp,110e0 + 110dc: 00301663 bne zero,gp,110e8 + 110e0: 00300463 beq zero,gp,110e8 + 110e4: 2640106f j 12348 + 110e8: fe208ce3 beq ra,sp,110e0 + +000110ec : + 110ec: 06d00193 li gp,109 + 110f0: fff00093 li ra,-1 + 110f4: 00100113 li sp,1 + 110f8: 00208463 beq ra,sp,11100 + 110fc: 00301663 bne zero,gp,11108 + 11100: 00300463 beq zero,gp,11108 + 11104: 2440106f j 12348 + 11108: fe208ce3 beq ra,sp,11100 + +0001110c : + 1110c: 06e00193 li gp,110 + 11110: 00100093 li ra,1 + 11114: fff00113 li sp,-1 + 11118: 00208463 beq ra,sp,11120 + 1111c: 00301663 bne zero,gp,11128 + 11120: 00300463 beq zero,gp,11128 + 11124: 2240106f j 12348 + 11128: fe208ce3 beq ra,sp,11120 + +0001112c : + 1112c: 06f00193 li gp,111 + 11130: 00000213 li tp,0 + 11134: 00000093 li ra,0 + 11138: fff00113 li sp,-1 + 1113c: 00209463 bne ra,sp,11144 + 11140: 2080106f j 12348 + 11144: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11148: 00200293 li t0,2 + 1114c: fe5214e3 bne tp,t0,11134 + +00011150 : + 11150: 07000193 li gp,112 + 11154: 00000213 li tp,0 + 11158: 00000093 li ra,0 + 1115c: fff00113 li sp,-1 + 11160: 00000013 nop + 11164: 00209463 bne ra,sp,1116c + 11168: 1e00106f j 12348 + 1116c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11170: 00200293 li t0,2 + 11174: fe5212e3 bne tp,t0,11158 + +00011178 : + 11178: 07100193 li gp,113 + 1117c: 00000213 li tp,0 + 11180: 00000093 li ra,0 + 11184: fff00113 li sp,-1 + 11188: 00000013 nop + 1118c: 00000013 nop + 11190: 00209463 bne ra,sp,11198 + 11194: 1b40106f j 12348 + 11198: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1119c: 00200293 li t0,2 + 111a0: fe5210e3 bne tp,t0,11180 + +000111a4 : + 111a4: 07200193 li gp,114 + 111a8: 00000213 li tp,0 + 111ac: 00000093 li ra,0 + 111b0: 00000013 nop + 111b4: fff00113 li sp,-1 + 111b8: 00209463 bne ra,sp,111c0 + 111bc: 18c0106f j 12348 + 111c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111c4: 00200293 li t0,2 + 111c8: fe5212e3 bne tp,t0,111ac + +000111cc : + 111cc: 07300193 li gp,115 + 111d0: 00000213 li tp,0 + 111d4: 00000093 li ra,0 + 111d8: 00000013 nop + 111dc: fff00113 li sp,-1 + 111e0: 00000013 nop + 111e4: 00209463 bne ra,sp,111ec + 111e8: 1600106f j 12348 + 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111f0: 00200293 li t0,2 + 111f4: fe5210e3 bne tp,t0,111d4 + +000111f8 : + 111f8: 07400193 li gp,116 + 111fc: 00000213 li tp,0 + 11200: 00000093 li ra,0 + 11204: 00000013 nop + 11208: 00000013 nop + 1120c: fff00113 li sp,-1 + 11210: 00209463 bne ra,sp,11218 + 11214: 1340106f j 12348 + 11218: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1121c: 00200293 li t0,2 + 11220: fe5210e3 bne tp,t0,11200 + +00011224 : + 11224: 07500193 li gp,117 + 11228: 00000213 li tp,0 + 1122c: 00000093 li ra,0 + 11230: fff00113 li sp,-1 + 11234: 00209463 bne ra,sp,1123c + 11238: 1100106f j 12348 + 1123c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11240: 00200293 li t0,2 + 11244: fe5214e3 bne tp,t0,1122c + +00011248 : + 11248: 07600193 li gp,118 + 1124c: 00000213 li tp,0 + 11250: 00000093 li ra,0 + 11254: fff00113 li sp,-1 + 11258: 00000013 nop + 1125c: 00209463 bne ra,sp,11264 + 11260: 0e80106f j 12348 + 11264: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11268: 00200293 li t0,2 + 1126c: fe5212e3 bne tp,t0,11250 + +00011270 : + 11270: 07700193 li gp,119 + 11274: 00000213 li tp,0 + 11278: 00000093 li ra,0 + 1127c: fff00113 li sp,-1 + 11280: 00000013 nop + 11284: 00000013 nop + 11288: 00209463 bne ra,sp,11290 + 1128c: 0bc0106f j 12348 + 11290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11294: 00200293 li t0,2 + 11298: fe5210e3 bne tp,t0,11278 + +0001129c : + 1129c: 07800193 li gp,120 + 112a0: 00000213 li tp,0 + 112a4: 00000093 li ra,0 + 112a8: 00000013 nop + 112ac: fff00113 li sp,-1 + 112b0: 00209463 bne ra,sp,112b8 + 112b4: 0940106f j 12348 + 112b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112bc: 00200293 li t0,2 + 112c0: fe5212e3 bne tp,t0,112a4 + +000112c4 : + 112c4: 07900193 li gp,121 + 112c8: 00000213 li tp,0 + 112cc: 00000093 li ra,0 + 112d0: 00000013 nop + 112d4: fff00113 li sp,-1 + 112d8: 00000013 nop + 112dc: 00209463 bne ra,sp,112e4 + 112e0: 0680106f j 12348 + 112e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112e8: 00200293 li t0,2 + 112ec: fe5210e3 bne tp,t0,112cc + +000112f0 : + 112f0: 07a00193 li gp,122 + 112f4: 00000213 li tp,0 + 112f8: 00000093 li ra,0 + 112fc: 00000013 nop + 11300: 00000013 nop + 11304: fff00113 li sp,-1 + 11308: 00209463 bne ra,sp,11310 + 1130c: 03c0106f j 12348 + 11310: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11314: 00200293 li t0,2 + 11318: fe5210e3 bne tp,t0,112f8 + +0001131c : + 1131c: 00100093 li ra,1 + 11320: 00000a63 beqz zero,11334 + 11324: 00108093 addi ra,ra,1 + 11328: 00108093 addi ra,ra,1 + 1132c: 00108093 addi ra,ra,1 + 11330: 00108093 addi ra,ra,1 + 11334: 00108093 addi ra,ra,1 + 11338: 00108093 addi ra,ra,1 + 1133c: 00300e93 li t4,3 + 11340: 07b00193 li gp,123 + 11344: 01d08463 beq ra,t4,1134c + 11348: 0000106f j 12348 + +0001134c : + 1134c: 07c00193 li gp,124 + 11350: 00000093 li ra,0 + 11354: 00000113 li sp,0 + 11358: 0020d663 ble sp,ra,11364 + 1135c: 7e3016e3 bne zero,gp,12348 + 11360: 00301663 bne zero,gp,1136c + 11364: fe20dee3 ble sp,ra,11360 + 11368: 7e3010e3 bne zero,gp,12348 + +0001136c : + 1136c: 07d00193 li gp,125 + 11370: 00100093 li ra,1 + 11374: 00100113 li sp,1 + 11378: 0020d663 ble sp,ra,11384 + 1137c: 7c3016e3 bne zero,gp,12348 + 11380: 00301663 bne zero,gp,1138c + 11384: fe20dee3 ble sp,ra,11380 + 11388: 7c3010e3 bne zero,gp,12348 + +0001138c : + 1138c: 07e00193 li gp,126 + 11390: fff00093 li ra,-1 + 11394: fff00113 li sp,-1 + 11398: 0020d663 ble sp,ra,113a4 + 1139c: 7a3016e3 bne zero,gp,12348 + 113a0: 00301663 bne zero,gp,113ac + 113a4: fe20dee3 ble sp,ra,113a0 + 113a8: 7a3010e3 bne zero,gp,12348 + +000113ac : + 113ac: 07f00193 li gp,127 + 113b0: 00100093 li ra,1 + 113b4: 00000113 li sp,0 + 113b8: 0020d663 ble sp,ra,113c4 + 113bc: 783016e3 bne zero,gp,12348 + 113c0: 00301663 bne zero,gp,113cc + 113c4: fe20dee3 ble sp,ra,113c0 + 113c8: 783010e3 bne zero,gp,12348 + +000113cc : + 113cc: 08000193 li gp,128 + 113d0: 00100093 li ra,1 + 113d4: fff00113 li sp,-1 + 113d8: 0020d663 ble sp,ra,113e4 + 113dc: 763016e3 bne zero,gp,12348 + 113e0: 00301663 bne zero,gp,113ec #3 + 113e4: fe20dee3 ble sp,ra,113e0 #2 + 113e8: 763010e3 bne zero,gp,12348 + +000113ec : + 113ec: 08100193 li gp,129 + 113f0: fff00093 li ra,-1 + 113f4: ffe00113 li sp,-2 + 113f8: 0020d663 ble sp,ra,11404 + 113fc: 743016e3 bne zero,gp,12348 + 11400: 00301663 bne zero,gp,1140c + 11404: fe20dee3 ble sp,ra,11400 + 11408: 743010e3 bne zero,gp,12348 + +0001140c : + 1140c: 08200193 li gp,130 + 11410: 00000093 li ra,0 + 11414: 00100113 li sp,1 + 11418: 0020d463 ble sp,ra,11420 + 1141c: 00301463 bne zero,gp,11424 + 11420: 723014e3 bne zero,gp,12348 + 11424: fe20dee3 ble sp,ra,11420 + +00011428 : + 11428: 08300193 li gp,131 + 1142c: fff00093 li ra,-1 + 11430: 00100113 li sp,1 + 11434: 0020d463 ble sp,ra,1143c + 11438: 00301463 bne zero,gp,11440 + 1143c: 703016e3 bne zero,gp,12348 + 11440: fe20dee3 ble sp,ra,1143c + +00011444 : + 11444: 08400193 li gp,132 + 11448: ffe00093 li ra,-2 + 1144c: fff00113 li sp,-1 + 11450: 0020d463 ble sp,ra,11458 + 11454: 00301463 bne zero,gp,1145c + 11458: 6e3018e3 bne zero,gp,12348 + 1145c: fe20dee3 ble sp,ra,11458 + +00011460 : + 11460: 08500193 li gp,133 + 11464: ffe00093 li ra,-2 + 11468: 00100113 li sp,1 + 1146c: 0020d463 ble sp,ra,11474 + 11470: 00301463 bne zero,gp,11478 + 11474: 6c301ae3 bne zero,gp,12348 + 11478: fe20dee3 ble sp,ra,11474 + +0001147c : + 1147c: 08600193 li gp,134 + 11480: 00000213 li tp,0 + 11484: fff00093 li ra,-1 + 11488: 00000113 li sp,0 + 1148c: 6a20dee3 ble sp,ra,12348 + 11490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11494: 00200293 li t0,2 + 11498: fe5216e3 bne tp,t0,11484 + +0001149c : + 1149c: 08700193 li gp,135 + 114a0: 00000213 li tp,0 + 114a4: fff00093 li ra,-1 + 114a8: 00000113 li sp,0 + 114ac: 00000013 nop + 114b0: 6820dce3 ble sp,ra,12348 + 114b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114b8: 00200293 li t0,2 + 114bc: fe5214e3 bne tp,t0,114a4 + +000114c0 : + 114c0: 08800193 li gp,136 + 114c4: 00000213 li tp,0 + 114c8: fff00093 li ra,-1 + 114cc: 00000113 li sp,0 + 114d0: 00000013 nop + 114d4: 00000013 nop + 114d8: 6620d8e3 ble sp,ra,12348 + 114dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114e0: 00200293 li t0,2 + 114e4: fe5212e3 bne tp,t0,114c8 + +000114e8 : + 114e8: 08900193 li gp,137 + 114ec: 00000213 li tp,0 + 114f0: fff00093 li ra,-1 + 114f4: 00000013 nop + 114f8: 00000113 li sp,0 + 114fc: 6420d6e3 ble sp,ra,12348 + 11500: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11504: 00200293 li t0,2 + 11508: fe5214e3 bne tp,t0,114f0 + +0001150c : + 1150c: 08a00193 li gp,138 + 11510: 00000213 li tp,0 + 11514: fff00093 li ra,-1 + 11518: 00000013 nop + 1151c: 00000113 li sp,0 + 11520: 00000013 nop + 11524: 6220d2e3 ble sp,ra,12348 + 11528: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1152c: 00200293 li t0,2 + 11530: fe5212e3 bne tp,t0,11514 + +00011534 : + 11534: 08b00193 li gp,139 + 11538: 00000213 li tp,0 + 1153c: fff00093 li ra,-1 + 11540: 00000013 nop + 11544: 00000013 nop + 11548: 00000113 li sp,0 + 1154c: 5e20dee3 ble sp,ra,12348 + 11550: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11554: 00200293 li t0,2 + 11558: fe5212e3 bne tp,t0,1153c + +0001155c : + 1155c: 08c00193 li gp,140 + 11560: 00000213 li tp,0 + 11564: fff00093 li ra,-1 + 11568: 00000113 li sp,0 + 1156c: 5c20dee3 ble sp,ra,12348 + 11570: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11574: 00200293 li t0,2 + 11578: fe5216e3 bne tp,t0,11564 + +0001157c : + 1157c: 08d00193 li gp,141 + 11580: 00000213 li tp,0 + 11584: fff00093 li ra,-1 + 11588: 00000113 li sp,0 + 1158c: 00000013 nop + 11590: 5a20dce3 ble sp,ra,12348 + 11594: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11598: 00200293 li t0,2 + 1159c: fe5214e3 bne tp,t0,11584 + +000115a0 : + 115a0: 08e00193 li gp,142 + 115a4: 00000213 li tp,0 + 115a8: fff00093 li ra,-1 + 115ac: 00000113 li sp,0 + 115b0: 00000013 nop + 115b4: 00000013 nop + 115b8: 5820d8e3 ble sp,ra,12348 + 115bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 115c0: 00200293 li t0,2 + 115c4: fe5212e3 bne tp,t0,115a8 + +000115c8 : + 115c8: 08f00193 li gp,143 + 115cc: 00000213 li tp,0 + 115d0: fff00093 li ra,-1 + 115d4: 00000013 nop + 115d8: 00000113 li sp,0 + 115dc: 5620d6e3 ble sp,ra,12348 + 115e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 115e4: 00200293 li t0,2 + 115e8: fe5214e3 bne tp,t0,115d0 + +000115ec : + 115ec: 09000193 li gp,144 + 115f0: 00000213 li tp,0 + 115f4: fff00093 li ra,-1 + 115f8: 00000013 nop + 115fc: 00000113 li sp,0 + 11600: 00000013 nop + 11604: 5420d2e3 ble sp,ra,12348 + 11608: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1160c: 00200293 li t0,2 + 11610: fe5212e3 bne tp,t0,115f4 + +00011614 : + 11614: 09100193 li gp,145 + 11618: 00000213 li tp,0 + 1161c: fff00093 li ra,-1 + 11620: 00000013 nop + 11624: 00000013 nop + 11628: 00000113 li sp,0 + 1162c: 5020dee3 ble sp,ra,12348 + 11630: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11634: 00200293 li t0,2 + 11638: fe5212e3 bne tp,t0,1161c + +0001163c : + 1163c: 00100093 li ra,1 + 11640: 0000da63 bgez ra,11654 + 11644: 00108093 addi ra,ra,1 + 11648: 00108093 addi ra,ra,1 + 1164c: 00108093 addi ra,ra,1 + 11650: 00108093 addi ra,ra,1 + 11654: 00108093 addi ra,ra,1 + 11658: 00108093 addi ra,ra,1 + 1165c: 00300e93 li t4,3 + 11660: 09200193 li gp,146 + 11664: 4fd092e3 bne ra,t4,12348 + +00011668 : + 11668: 09300193 li gp,147 + 1166c: 00000093 li ra,0 + 11670: 00000113 li sp,0 + 11674: 0020f663 bleu sp,ra,11680 + 11678: 4c3018e3 bne zero,gp,12348 + 1167c: 00301663 bne zero,gp,11688 + 11680: fe20fee3 bleu sp,ra,1167c + 11684: 4c3012e3 bne zero,gp,12348 + +00011688 : + 11688: 09400193 li gp,148 + 1168c: 00100093 li ra,1 + 11690: 00100113 li sp,1 + 11694: 0020f663 bleu sp,ra,116a0 + 11698: 4a3018e3 bne zero,gp,12348 + 1169c: 00301663 bne zero,gp,116a8 + 116a0: fe20fee3 bleu sp,ra,1169c + 116a4: 4a3012e3 bne zero,gp,12348 + +000116a8 : + 116a8: 09500193 li gp,149 + 116ac: fff00093 li ra,-1 + 116b0: fff00113 li sp,-1 + 116b4: 0020f663 bleu sp,ra,116c0 + 116b8: 483018e3 bne zero,gp,12348 + 116bc: 00301663 bne zero,gp,116c8 + 116c0: fe20fee3 bleu sp,ra,116bc + 116c4: 483012e3 bne zero,gp,12348 + +000116c8 : + 116c8: 09600193 li gp,150 + 116cc: 00100093 li ra,1 + 116d0: 00000113 li sp,0 + 116d4: 0020f663 bleu sp,ra,116e0 + 116d8: 463018e3 bne zero,gp,12348 + 116dc: 00301663 bne zero,gp,116e8 + 116e0: fe20fee3 bleu sp,ra,116dc + 116e4: 463012e3 bne zero,gp,12348 + +000116e8 : + 116e8: 09700193 li gp,151 + 116ec: fff00093 li ra,-1 + 116f0: ffe00113 li sp,-2 + 116f4: 0020f663 bleu sp,ra,11700 + 116f8: 443018e3 bne zero,gp,12348 + 116fc: 00301663 bne zero,gp,11708 + 11700: fe20fee3 bleu sp,ra,116fc + 11704: 443012e3 bne zero,gp,12348 + +00011708 : + 11708: 09800193 li gp,152 + 1170c: fff00093 li ra,-1 + 11710: 00000113 li sp,0 + 11714: 0020f663 bleu sp,ra,11720 + 11718: 423018e3 bne zero,gp,12348 + 1171c: 00301663 bne zero,gp,11728 + 11720: fe20fee3 bleu sp,ra,1171c + 11724: 423012e3 bne zero,gp,12348 + +00011728 : + 11728: 09900193 li gp,153 + 1172c: 00000093 li ra,0 + 11730: 00100113 li sp,1 + 11734: 0020f463 bleu sp,ra,1173c + 11738: 00301463 bne zero,gp,11740 + 1173c: 403016e3 bne zero,gp,12348 + 11740: fe20fee3 bleu sp,ra,1173c + +00011744 : + 11744: 09a00193 li gp,154 + 11748: ffe00093 li ra,-2 + 1174c: fff00113 li sp,-1 + 11750: 0020f463 bleu sp,ra,11758 + 11754: 00301463 bne zero,gp,1175c + 11758: 3e3018e3 bne zero,gp,12348 + 1175c: fe20fee3 bleu sp,ra,11758 + +00011760 : + 11760: 09b00193 li gp,155 + 11764: 00000093 li ra,0 + 11768: fff00113 li sp,-1 + 1176c: 0020f463 bleu sp,ra,11774 + 11770: 00301463 bne zero,gp,11778 + 11774: 3c301ae3 bne zero,gp,12348 + 11778: fe20fee3 bleu sp,ra,11774 + +0001177c : + 1177c: 09c00193 li gp,156 + 11780: 800000b7 lui ra,0x80000 + 11784: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 11788: 80000137 lui sp,0x80000 + 1178c: 0020f463 bleu sp,ra,11794 + 11790: 00301463 bne zero,gp,11798 + 11794: 3a301ae3 bne zero,gp,12348 + 11798: fe20fee3 bleu sp,ra,11794 + +0001179c : + 1179c: 09d00193 li gp,157 + 117a0: 00000213 li tp,0 + 117a4: f00000b7 lui ra,0xf0000 + 117a8: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 117ac: f0000137 lui sp,0xf0000 + 117b0: 3820fce3 bleu sp,ra,12348 + 117b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117b8: 00200293 li t0,2 + 117bc: fe5214e3 bne tp,t0,117a4 + +000117c0 : + 117c0: 09e00193 li gp,158 + 117c4: 00000213 li tp,0 + 117c8: f00000b7 lui ra,0xf0000 + 117cc: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 117d0: f0000137 lui sp,0xf0000 + 117d4: 00000013 nop + 117d8: 3620f8e3 bleu sp,ra,12348 + 117dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117e0: 00200293 li t0,2 + 117e4: fe5212e3 bne tp,t0,117c8 + +000117e8 : + 117e8: 09f00193 li gp,159 + 117ec: 00000213 li tp,0 + 117f0: f00000b7 lui ra,0xf0000 + 117f4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 117f8: f0000137 lui sp,0xf0000 + 117fc: 00000013 nop + 11800: 00000013 nop + 11804: 3420f2e3 bleu sp,ra,12348 + 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1180c: 00200293 li t0,2 + 11810: fe5210e3 bne tp,t0,117f0 + +00011814 : + 11814: 0a000193 li gp,160 + 11818: 00000213 li tp,0 + 1181c: f00000b7 lui ra,0xf0000 + 11820: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11824: 00000013 nop + 11828: f0000137 lui sp,0xf0000 + 1182c: 3020fee3 bleu sp,ra,12348 + 11830: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11834: 00200293 li t0,2 + 11838: fe5212e3 bne tp,t0,1181c + +0001183c : + 1183c: 0a100193 li gp,161 + 11840: 00000213 li tp,0 + 11844: f00000b7 lui ra,0xf0000 + 11848: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 1184c: 00000013 nop + 11850: f0000137 lui sp,0xf0000 + 11854: 00000013 nop + 11858: 2e20f8e3 bleu sp,ra,12348 + 1185c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11860: 00200293 li t0,2 + 11864: fe5210e3 bne tp,t0,11844 + +00011868 : + 11868: 0a200193 li gp,162 + 1186c: 00000213 li tp,0 + 11870: f00000b7 lui ra,0xf0000 + 11874: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11878: 00000013 nop + 1187c: 00000013 nop + 11880: f0000137 lui sp,0xf0000 + 11884: 2c20f2e3 bleu sp,ra,12348 + 11888: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1188c: 00200293 li t0,2 + 11890: fe5210e3 bne tp,t0,11870 + +00011894 : + 11894: 0a300193 li gp,163 + 11898: 00000213 li tp,0 + 1189c: f00000b7 lui ra,0xf0000 + 118a0: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 118a4: f0000137 lui sp,0xf0000 + 118a8: 2a20f0e3 bleu sp,ra,12348 + 118ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118b0: 00200293 li t0,2 + 118b4: fe5214e3 bne tp,t0,1189c + +000118b8 : + 118b8: 0a400193 li gp,164 + 118bc: 00000213 li tp,0 + 118c0: f00000b7 lui ra,0xf0000 + 118c4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 118c8: f0000137 lui sp,0xf0000 + 118cc: 00000013 nop + 118d0: 2620fce3 bleu sp,ra,12348 + 118d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118d8: 00200293 li t0,2 + 118dc: fe5212e3 bne tp,t0,118c0 + +000118e0 : + 118e0: 0a500193 li gp,165 + 118e4: 00000213 li tp,0 + 118e8: f00000b7 lui ra,0xf0000 + 118ec: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 118f0: f0000137 lui sp,0xf0000 + 118f4: 00000013 nop + 118f8: 00000013 nop + 118fc: 2420f6e3 bleu sp,ra,12348 + 11900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11904: 00200293 li t0,2 + 11908: fe5210e3 bne tp,t0,118e8 + +0001190c : + 1190c: 0a600193 li gp,166 + 11910: 00000213 li tp,0 + 11914: f00000b7 lui ra,0xf0000 + 11918: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 1191c: 00000013 nop + 11920: f0000137 lui sp,0xf0000 + 11924: 2220f2e3 bleu sp,ra,12348 + 11928: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1192c: 00200293 li t0,2 + 11930: fe5212e3 bne tp,t0,11914 + +00011934 : + 11934: 0a700193 li gp,167 + 11938: 00000213 li tp,0 + 1193c: f00000b7 lui ra,0xf0000 + 11940: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11944: 00000013 nop + 11948: f0000137 lui sp,0xf0000 + 1194c: 00000013 nop + 11950: 1e20fce3 bleu sp,ra,12348 + 11954: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11958: 00200293 li t0,2 + 1195c: fe5210e3 bne tp,t0,1193c + +00011960 : + 11960: 0a800193 li gp,168 + 11964: 00000213 li tp,0 + 11968: f00000b7 lui ra,0xf0000 + 1196c: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11970: 00000013 nop + 11974: 00000013 nop + 11978: f0000137 lui sp,0xf0000 + 1197c: 1c20f6e3 bleu sp,ra,12348 + 11980: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11984: 00200293 li t0,2 + 11988: fe5210e3 bne tp,t0,11968 + +0001198c : + 1198c: 00100093 li ra,1 + 11990: 0000fa63 bleu zero,ra,119a4 + 11994: 00108093 addi ra,ra,1 + 11998: 00108093 addi ra,ra,1 + 1199c: 00108093 addi ra,ra,1 + 119a0: 00108093 addi ra,ra,1 + 119a4: 00108093 addi ra,ra,1 + 119a8: 00108093 addi ra,ra,1 + 119ac: 00300e93 li t4,3 + 119b0: 0a900193 li gp,169 + 119b4: 19d09ae3 bne ra,t4,12348 + +000119b8 : + 119b8: 0aa00193 li gp,170 + 119bc: 00000093 li ra,0 + 119c0: 00100113 li sp,1 + 119c4: 0020c663 blt ra,sp,119d0 + 119c8: 183010e3 bne zero,gp,12348 + 119cc: 00301663 bne zero,gp,119d8 + 119d0: fe20cee3 blt ra,sp,119cc + 119d4: 16301ae3 bne zero,gp,12348 + +000119d8 : + 119d8: 0ab00193 li gp,171 + 119dc: fff00093 li ra,-1 + 119e0: 00100113 li sp,1 + 119e4: 0020c663 blt ra,sp,119f0 + 119e8: 163010e3 bne zero,gp,12348 + 119ec: 00301663 bne zero,gp,119f8 + 119f0: fe20cee3 blt ra,sp,119ec + 119f4: 14301ae3 bne zero,gp,12348 + +000119f8 : + 119f8: 0ac00193 li gp,172 + 119fc: ffe00093 li ra,-2 + 11a00: fff00113 li sp,-1 + 11a04: 0020c663 blt ra,sp,11a10 + 11a08: 143010e3 bne zero,gp,12348 + 11a0c: 00301663 bne zero,gp,11a18 + 11a10: fe20cee3 blt ra,sp,11a0c + 11a14: 12301ae3 bne zero,gp,12348 + +00011a18 : + 11a18: 0ad00193 li gp,173 + 11a1c: 00100093 li ra,1 + 11a20: 00000113 li sp,0 + 11a24: 0020c463 blt ra,sp,11a2c + 11a28: 00301463 bne zero,gp,11a30 + 11a2c: 10301ee3 bne zero,gp,12348 + 11a30: fe20cee3 blt ra,sp,11a2c + +00011a34 : + 11a34: 0ae00193 li gp,174 + 11a38: 00100093 li ra,1 + 11a3c: fff00113 li sp,-1 + 11a40: 0020c463 blt ra,sp,11a48 + 11a44: 00301463 bne zero,gp,11a4c + 11a48: 103010e3 bne zero,gp,12348 + 11a4c: fe20cee3 blt ra,sp,11a48 + +00011a50 : + 11a50: 0af00193 li gp,175 + 11a54: fff00093 li ra,-1 + 11a58: ffe00113 li sp,-2 + 11a5c: 0020c463 blt ra,sp,11a64 + 11a60: 00301463 bne zero,gp,11a68 + 11a64: 0e3012e3 bne zero,gp,12348 + 11a68: fe20cee3 blt ra,sp,11a64 + +00011a6c : + 11a6c: 0b000193 li gp,176 + 11a70: 00100093 li ra,1 + 11a74: ffe00113 li sp,-2 + 11a78: 0020c463 blt ra,sp,11a80 + 11a7c: 00301463 bne zero,gp,11a84 + 11a80: 0c3014e3 bne zero,gp,12348 + 11a84: fe20cee3 blt ra,sp,11a80 + +00011a88 : + 11a88: 0b100193 li gp,177 + 11a8c: 00000213 li tp,0 + 11a90: 00000093 li ra,0 + 11a94: fff00113 li sp,-1 + 11a98: 0a20c8e3 blt ra,sp,12348 + 11a9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11aa0: 00200293 li t0,2 + 11aa4: fe5216e3 bne tp,t0,11a90 + +00011aa8 : + 11aa8: 0b200193 li gp,178 + 11aac: 00000213 li tp,0 + 11ab0: 00000093 li ra,0 + 11ab4: fff00113 li sp,-1 + 11ab8: 00000013 nop + 11abc: 0820c6e3 blt ra,sp,12348 + 11ac0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ac4: 00200293 li t0,2 + 11ac8: fe5214e3 bne tp,t0,11ab0 + +00011acc : + 11acc: 0b300193 li gp,179 + 11ad0: 00000213 li tp,0 + 11ad4: 00000093 li ra,0 + 11ad8: fff00113 li sp,-1 + 11adc: 00000013 nop + 11ae0: 00000013 nop + 11ae4: 0620c2e3 blt ra,sp,12348 + 11ae8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11aec: 00200293 li t0,2 + 11af0: fe5212e3 bne tp,t0,11ad4 + +00011af4 : + 11af4: 0b400193 li gp,180 + 11af8: 00000213 li tp,0 + 11afc: 00000093 li ra,0 + 11b00: 00000013 nop + 11b04: fff00113 li sp,-1 + 11b08: 0420c0e3 blt ra,sp,12348 + 11b0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b10: 00200293 li t0,2 + 11b14: fe5214e3 bne tp,t0,11afc + +00011b18 : + 11b18: 0b500193 li gp,181 + 11b1c: 00000213 li tp,0 + 11b20: 00000093 li ra,0 + 11b24: 00000013 nop + 11b28: fff00113 li sp,-1 + 11b2c: 00000013 nop + 11b30: 0020cce3 blt ra,sp,12348 + 11b34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b38: 00200293 li t0,2 + 11b3c: fe5212e3 bne tp,t0,11b20 + +00011b40 : + 11b40: 0b600193 li gp,182 + 11b44: 00000213 li tp,0 + 11b48: 00000093 li ra,0 + 11b4c: 00000013 nop + 11b50: 00000013 nop + 11b54: fff00113 li sp,-1 + 11b58: 7e20c863 blt ra,sp,12348 + 11b5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b60: 00200293 li t0,2 + 11b64: fe5212e3 bne tp,t0,11b48 + +00011b68 : + 11b68: 0b700193 li gp,183 + 11b6c: 00000213 li tp,0 + 11b70: 00000093 li ra,0 + 11b74: fff00113 li sp,-1 + 11b78: 7c20c863 blt ra,sp,12348 + 11b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b80: 00200293 li t0,2 + 11b84: fe5216e3 bne tp,t0,11b70 + +00011b88 : + 11b88: 0b800193 li gp,184 + 11b8c: 00000213 li tp,0 + 11b90: 00000093 li ra,0 + 11b94: fff00113 li sp,-1 + 11b98: 00000013 nop + 11b9c: 7a20c663 blt ra,sp,12348 + 11ba0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ba4: 00200293 li t0,2 + 11ba8: fe5214e3 bne tp,t0,11b90 + +00011bac : + 11bac: 0b900193 li gp,185 + 11bb0: 00000213 li tp,0 + 11bb4: 00000093 li ra,0 + 11bb8: fff00113 li sp,-1 + 11bbc: 00000013 nop + 11bc0: 00000013 nop + 11bc4: 7820c263 blt ra,sp,12348 + 11bc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bcc: 00200293 li t0,2 + 11bd0: fe5212e3 bne tp,t0,11bb4 + +00011bd4 : + 11bd4: 0ba00193 li gp,186 + 11bd8: 00000213 li tp,0 + 11bdc: 00000093 li ra,0 + 11be0: 00000013 nop + 11be4: fff00113 li sp,-1 + 11be8: 7620c063 blt ra,sp,12348 + 11bec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bf0: 00200293 li t0,2 + 11bf4: fe5214e3 bne tp,t0,11bdc + +00011bf8 : + 11bf8: 0bb00193 li gp,187 + 11bfc: 00000213 li tp,0 + 11c00: 00000093 li ra,0 + 11c04: 00000013 nop + 11c08: fff00113 li sp,-1 + 11c0c: 00000013 nop + 11c10: 7220cc63 blt ra,sp,12348 + 11c14: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c18: 00200293 li t0,2 + 11c1c: fe5212e3 bne tp,t0,11c00 + +00011c20 : + 11c20: 0bc00193 li gp,188 + 11c24: 00000213 li tp,0 + 11c28: 00000093 li ra,0 + 11c2c: 00000013 nop + 11c30: 00000013 nop + 11c34: fff00113 li sp,-1 + 11c38: 7020c863 blt ra,sp,12348 + 11c3c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c40: 00200293 li t0,2 + 11c44: fe5212e3 bne tp,t0,11c28 + +00011c48 : + 11c48: 00100093 li ra,1 + 11c4c: 00104a63 bgtz ra,11c60 + 11c50: 00108093 addi ra,ra,1 + 11c54: 00108093 addi ra,ra,1 + 11c58: 00108093 addi ra,ra,1 + 11c5c: 00108093 addi ra,ra,1 + 11c60: 00108093 addi ra,ra,1 + 11c64: 00108093 addi ra,ra,1 + 11c68: 00300e93 li t4,3 + 11c6c: 0bd00193 li gp,189 + 11c70: 6dd09c63 bne ra,t4,12348 + +00011c74 : + 11c74: 0be00193 li gp,190 + 11c78: 00000093 li ra,0 + 11c7c: 00100113 li sp,1 + 11c80: 0020e663 bltu ra,sp,11c8c + 11c84: 6c301263 bne zero,gp,12348 + 11c88: 00301663 bne zero,gp,11c94 + 11c8c: fe20eee3 bltu ra,sp,11c88 + 11c90: 6a301c63 bne zero,gp,12348 + +00011c94 : + 11c94: 0bf00193 li gp,191 + 11c98: ffe00093 li ra,-2 + 11c9c: fff00113 li sp,-1 + 11ca0: 0020e663 bltu ra,sp,11cac + 11ca4: 6a301263 bne zero,gp,12348 + 11ca8: 00301663 bne zero,gp,11cb4 + 11cac: fe20eee3 bltu ra,sp,11ca8 + 11cb0: 68301c63 bne zero,gp,12348 + +00011cb4 : + 11cb4: 0c000193 li gp,192 + 11cb8: 00000093 li ra,0 + 11cbc: fff00113 li sp,-1 + 11cc0: 0020e663 bltu ra,sp,11ccc + 11cc4: 68301263 bne zero,gp,12348 + 11cc8: 00301663 bne zero,gp,11cd4 + 11ccc: fe20eee3 bltu ra,sp,11cc8 + 11cd0: 66301c63 bne zero,gp,12348 + +00011cd4 : + 11cd4: 0c100193 li gp,193 + 11cd8: 00100093 li ra,1 + 11cdc: 00000113 li sp,0 + 11ce0: 0020e463 bltu ra,sp,11ce8 + 11ce4: 00301463 bne zero,gp,11cec + 11ce8: 66301063 bne zero,gp,12348 + 11cec: fe20eee3 bltu ra,sp,11ce8 + +00011cf0 : + 11cf0: 0c200193 li gp,194 + 11cf4: fff00093 li ra,-1 + 11cf8: ffe00113 li sp,-2 + 11cfc: 0020e463 bltu ra,sp,11d04 + 11d00: 00301463 bne zero,gp,11d08 + 11d04: 64301263 bne zero,gp,12348 + 11d08: fe20eee3 bltu ra,sp,11d04 + +00011d0c : + 11d0c: 0c300193 li gp,195 + 11d10: fff00093 li ra,-1 + 11d14: 00000113 li sp,0 + 11d18: 0020e463 bltu ra,sp,11d20 + 11d1c: 00301463 bne zero,gp,11d24 + 11d20: 62301463 bne zero,gp,12348 + 11d24: fe20eee3 bltu ra,sp,11d20 + +00011d28 : + 11d28: 0c400193 li gp,196 + 11d2c: 800000b7 lui ra,0x80000 + 11d30: 80000137 lui sp,0x80000 + 11d34: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 11d38: 0020e463 bltu ra,sp,11d40 + 11d3c: 00301463 bne zero,gp,11d44 + 11d40: 60301463 bne zero,gp,12348 + 11d44: fe20eee3 bltu ra,sp,11d40 + +00011d48 : + 11d48: 0c500193 li gp,197 + 11d4c: 00000213 li tp,0 + 11d50: f00000b7 lui ra,0xf0000 + 11d54: f0000137 lui sp,0xf0000 + 11d58: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11d5c: 5e20e663 bltu ra,sp,12348 + 11d60: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d64: 00200293 li t0,2 + 11d68: fe5214e3 bne tp,t0,11d50 + +00011d6c : + 11d6c: 0c600193 li gp,198 + 11d70: 00000213 li tp,0 + 11d74: f00000b7 lui ra,0xf0000 + 11d78: f0000137 lui sp,0xf0000 + 11d7c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11d80: 00000013 nop + 11d84: 5c20e263 bltu ra,sp,12348 + 11d88: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d8c: 00200293 li t0,2 + 11d90: fe5212e3 bne tp,t0,11d74 + +00011d94 : + 11d94: 0c700193 li gp,199 + 11d98: 00000213 li tp,0 + 11d9c: f00000b7 lui ra,0xf0000 + 11da0: f0000137 lui sp,0xf0000 + 11da4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11da8: 00000013 nop + 11dac: 00000013 nop + 11db0: 5820ec63 bltu ra,sp,12348 + 11db4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11db8: 00200293 li t0,2 + 11dbc: fe5210e3 bne tp,t0,11d9c + +00011dc0 : + 11dc0: 0c800193 li gp,200 + 11dc4: 00000213 li tp,0 + 11dc8: f00000b7 lui ra,0xf0000 + 11dcc: 00000013 nop + 11dd0: f0000137 lui sp,0xf0000 + 11dd4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11dd8: 5620e863 bltu ra,sp,12348 + 11ddc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11de0: 00200293 li t0,2 + 11de4: fe5212e3 bne tp,t0,11dc8 + +00011de8 : + 11de8: 0c900193 li gp,201 + 11dec: 00000213 li tp,0 + 11df0: f00000b7 lui ra,0xf0000 + 11df4: 00000013 nop + 11df8: f0000137 lui sp,0xf0000 + 11dfc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e00: 00000013 nop + 11e04: 5420e263 bltu ra,sp,12348 + 11e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e0c: 00200293 li t0,2 + 11e10: fe5210e3 bne tp,t0,11df0 + +00011e14 : + 11e14: 0ca00193 li gp,202 + 11e18: 00000213 li tp,0 + 11e1c: f00000b7 lui ra,0xf0000 + 11e20: 00000013 nop + 11e24: 00000013 nop + 11e28: f0000137 lui sp,0xf0000 + 11e2c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e30: 5020ec63 bltu ra,sp,12348 + 11e34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e38: 00200293 li t0,2 + 11e3c: fe5210e3 bne tp,t0,11e1c + +00011e40 : + 11e40: 0cb00193 li gp,203 + 11e44: 00000213 li tp,0 + 11e48: f00000b7 lui ra,0xf0000 + 11e4c: f0000137 lui sp,0xf0000 + 11e50: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e54: 4e20ea63 bltu ra,sp,12348 + 11e58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e5c: 00200293 li t0,2 + 11e60: fe5214e3 bne tp,t0,11e48 + +00011e64 : + 11e64: 0cc00193 li gp,204 + 11e68: 00000213 li tp,0 + 11e6c: f00000b7 lui ra,0xf0000 + 11e70: f0000137 lui sp,0xf0000 + 11e74: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e78: 00000013 nop + 11e7c: 4c20e663 bltu ra,sp,12348 + 11e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e84: 00200293 li t0,2 + 11e88: fe5212e3 bne tp,t0,11e6c + +00011e8c : + 11e8c: 0cd00193 li gp,205 + 11e90: 00000213 li tp,0 + 11e94: f00000b7 lui ra,0xf0000 + 11e98: f0000137 lui sp,0xf0000 + 11e9c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11ea0: 00000013 nop + 11ea4: 00000013 nop + 11ea8: 4a20e063 bltu ra,sp,12348 + 11eac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11eb0: 00200293 li t0,2 + 11eb4: fe5210e3 bne tp,t0,11e94 + +00011eb8 : + 11eb8: 0ce00193 li gp,206 + 11ebc: 00000213 li tp,0 + 11ec0: f00000b7 lui ra,0xf0000 + 11ec4: 00000013 nop + 11ec8: f0000137 lui sp,0xf0000 + 11ecc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11ed0: 4620ec63 bltu ra,sp,12348 + 11ed4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ed8: 00200293 li t0,2 + 11edc: fe5212e3 bne tp,t0,11ec0 + +00011ee0 : + 11ee0: 0cf00193 li gp,207 + 11ee4: 00000213 li tp,0 + 11ee8: f00000b7 lui ra,0xf0000 + 11eec: 00000013 nop + 11ef0: f0000137 lui sp,0xf0000 + 11ef4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11ef8: 00000013 nop + 11efc: 4420e663 bltu ra,sp,12348 + 11f00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11f04: 00200293 li t0,2 + 11f08: fe5210e3 bne tp,t0,11ee8 + +00011f0c : + 11f0c: 0d000193 li gp,208 + 11f10: 00000213 li tp,0 + 11f14: f00000b7 lui ra,0xf0000 + 11f18: 00000013 nop + 11f1c: 00000013 nop + 11f20: f0000137 lui sp,0xf0000 + 11f24: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11f28: 4220e063 bltu ra,sp,12348 + 11f2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11f30: 00200293 li t0,2 + 11f34: fe5210e3 bne tp,t0,11f14 + +00011f38 : + 11f38: 00100093 li ra,1 + 11f3c: 00106a63 bltu zero,ra,11f50 + 11f40: 00108093 addi ra,ra,1 # f0000001 <__global_pointer$+0xeffec379> + 11f44: 00108093 addi ra,ra,1 + 11f48: 00108093 addi ra,ra,1 + 11f4c: 00108093 addi ra,ra,1 + 11f50: 00108093 addi ra,ra,1 + 11f54: 00108093 addi ra,ra,1 + 11f58: 00300e93 li t4,3 + 11f5c: 0d100193 li gp,209 + 11f60: 3fd09463 bne ra,t4,12348 + +00011f64 : + 11f64: 0d200193 li gp,210 + 11f68: 00000093 li ra,0 + 11f6c: 00100113 li sp,1 + 11f70: 00209663 bne ra,sp,11f7c + 11f74: 3c301a63 bne zero,gp,12348 + 11f78: 00301663 bne zero,gp,11f84 + 11f7c: fe209ee3 bne ra,sp,11f78 + 11f80: 3c301463 bne zero,gp,12348 + +00011f84 : + 11f84: 0d300193 li gp,211 + 11f88: 00100093 li ra,1 + 11f8c: 00000113 li sp,0 + 11f90: 00209663 bne ra,sp,11f9c + 11f94: 3a301a63 bne zero,gp,12348 + 11f98: 00301663 bne zero,gp,11fa4 + 11f9c: fe209ee3 bne ra,sp,11f98 + 11fa0: 3a301463 bne zero,gp,12348 + +00011fa4 : + 11fa4: 0d400193 li gp,212 + 11fa8: fff00093 li ra,-1 + 11fac: 00100113 li sp,1 + 11fb0: 00209663 bne ra,sp,11fbc + 11fb4: 38301a63 bne zero,gp,12348 + 11fb8: 00301663 bne zero,gp,11fc4 + 11fbc: fe209ee3 bne ra,sp,11fb8 + 11fc0: 38301463 bne zero,gp,12348 + +00011fc4 : + 11fc4: 0d500193 li gp,213 + 11fc8: 00100093 li ra,1 + 11fcc: fff00113 li sp,-1 + 11fd0: 00209663 bne ra,sp,11fdc + 11fd4: 36301a63 bne zero,gp,12348 + 11fd8: 00301663 bne zero,gp,11fe4 + 11fdc: fe209ee3 bne ra,sp,11fd8 + 11fe0: 36301463 bne zero,gp,12348 + +00011fe4 : + 11fe4: 0d600193 li gp,214 + 11fe8: 00000093 li ra,0 + 11fec: 00000113 li sp,0 + 11ff0: 00209463 bne ra,sp,11ff8 + 11ff4: 00301463 bne zero,gp,11ffc + 11ff8: 34301863 bne zero,gp,12348 + 11ffc: fe209ee3 bne ra,sp,11ff8 + +00012000 : + 12000: 0d700193 li gp,215 + 12004: 00100093 li ra,1 + 12008: 00100113 li sp,1 + 1200c: 00209463 bne ra,sp,12014 + 12010: 00301463 bne zero,gp,12018 + 12014: 32301a63 bne zero,gp,12348 + 12018: fe209ee3 bne ra,sp,12014 + +0001201c : + 1201c: 0d800193 li gp,216 + 12020: fff00093 li ra,-1 + 12024: fff00113 li sp,-1 + 12028: 00209463 bne ra,sp,12030 + 1202c: 00301463 bne zero,gp,12034 + 12030: 30301c63 bne zero,gp,12348 + 12034: fe209ee3 bne ra,sp,12030 + +00012038 : + 12038: 0d900193 li gp,217 + 1203c: 00000213 li tp,0 + 12040: 00000093 li ra,0 + 12044: 00000113 li sp,0 + 12048: 30209063 bne ra,sp,12348 + 1204c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12050: 00200293 li t0,2 + 12054: fe5216e3 bne tp,t0,12040 + +00012058 : + 12058: 0da00193 li gp,218 + 1205c: 00000213 li tp,0 + 12060: 00000093 li ra,0 + 12064: 00000113 li sp,0 + 12068: 00000013 nop + 1206c: 2c209e63 bne ra,sp,12348 + 12070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12074: 00200293 li t0,2 + 12078: fe5214e3 bne tp,t0,12060 + +0001207c : + 1207c: 0db00193 li gp,219 + 12080: 00000213 li tp,0 + 12084: 00000093 li ra,0 + 12088: 00000113 li sp,0 + 1208c: 00000013 nop + 12090: 00000013 nop + 12094: 2a209a63 bne ra,sp,12348 + 12098: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1209c: 00200293 li t0,2 + 120a0: fe5212e3 bne tp,t0,12084 + +000120a4 : + 120a4: 0dc00193 li gp,220 + 120a8: 00000213 li tp,0 + 120ac: 00000093 li ra,0 + 120b0: 00000013 nop + 120b4: 00000113 li sp,0 + 120b8: 28209863 bne ra,sp,12348 + 120bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120c0: 00200293 li t0,2 + 120c4: fe5214e3 bne tp,t0,120ac + +000120c8 : + 120c8: 0dd00193 li gp,221 + 120cc: 00000213 li tp,0 + 120d0: 00000093 li ra,0 + 120d4: 00000013 nop + 120d8: 00000113 li sp,0 + 120dc: 00000013 nop + 120e0: 26209463 bne ra,sp,12348 + 120e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120e8: 00200293 li t0,2 + 120ec: fe5212e3 bne tp,t0,120d0 + +000120f0 : + 120f0: 0de00193 li gp,222 + 120f4: 00000213 li tp,0 + 120f8: 00000093 li ra,0 + 120fc: 00000013 nop + 12100: 00000013 nop + 12104: 00000113 li sp,0 + 12108: 24209063 bne ra,sp,12348 + 1210c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12110: 00200293 li t0,2 + 12114: fe5212e3 bne tp,t0,120f8 + +00012118 : + 12118: 0df00193 li gp,223 + 1211c: 00000213 li tp,0 + 12120: 00000093 li ra,0 + 12124: 00000113 li sp,0 + 12128: 22209063 bne ra,sp,12348 + 1212c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12130: 00200293 li t0,2 + 12134: fe5216e3 bne tp,t0,12120 + +00012138 : + 12138: 0e000193 li gp,224 + 1213c: 00000213 li tp,0 + 12140: 00000093 li ra,0 + 12144: 00000113 li sp,0 + 12148: 00000013 nop + 1214c: 1e209e63 bne ra,sp,12348 + 12150: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12154: 00200293 li t0,2 + 12158: fe5214e3 bne tp,t0,12140 + +0001215c : + 1215c: 0e100193 li gp,225 + 12160: 00000213 li tp,0 + 12164: 00000093 li ra,0 + 12168: 00000113 li sp,0 + 1216c: 00000013 nop + 12170: 00000013 nop + 12174: 1c209a63 bne ra,sp,12348 + 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1217c: 00200293 li t0,2 + 12180: fe5212e3 bne tp,t0,12164 + +00012184 : + 12184: 0e200193 li gp,226 + 12188: 00000213 li tp,0 + 1218c: 00000093 li ra,0 + 12190: 00000013 nop + 12194: 00000113 li sp,0 + 12198: 1a209863 bne ra,sp,12348 + 1219c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121a0: 00200293 li t0,2 + 121a4: fe5214e3 bne tp,t0,1218c + +000121a8 : + 121a8: 0e300193 li gp,227 + 121ac: 00000213 li tp,0 + 121b0: 00000093 li ra,0 + 121b4: 00000013 nop + 121b8: 00000113 li sp,0 + 121bc: 00000013 nop + 121c0: 18209463 bne ra,sp,12348 + 121c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121c8: 00200293 li t0,2 + 121cc: fe5212e3 bne tp,t0,121b0 + +000121d0 : + 121d0: 0e400193 li gp,228 + 121d4: 00000213 li tp,0 + 121d8: 00000093 li ra,0 + 121dc: 00000013 nop + 121e0: 00000013 nop + 121e4: 00000113 li sp,0 + 121e8: 16209063 bne ra,sp,12348 + 121ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121f0: 00200293 li t0,2 + 121f4: fe5212e3 bne tp,t0,121d8 + +000121f8 : + 121f8: 00100093 li ra,1 + 121fc: 00009a63 bnez ra,12210 + 12200: 00108093 addi ra,ra,1 + 12204: 00108093 addi ra,ra,1 + 12208: 00108093 addi ra,ra,1 + 1220c: 00108093 addi ra,ra,1 + 12210: 00108093 addi ra,ra,1 + 12214: 00108093 addi ra,ra,1 + 12218: 00300e93 li t4,3 + 1221c: 0e500193 li gp,229 + 12220: 13d09463 bne ra,t4,12348 + +00012224 : + 12224: 00200193 li gp,2 + 12228: 00000093 li ra,0 + 1222c: 0100026f jal tp,1223c + +00012230 : + 12230: 00000013 nop + 12234: 00000013 nop + 12238: 1100006f j 12348 + +0001223c : + 1223c: 00000317 auipc t1,0x0 + 12240: ff430313 addi t1,t1,-12 # 12230 + 12244: 10431263 bne t1,tp,12348 + +00012248 : + 12248: 00100093 li ra,1 + 1224c: 0140006f j 12260 + 12250: 00108093 addi ra,ra,1 + 12254: 00108093 addi ra,ra,1 + 12258: 00108093 addi ra,ra,1 + 1225c: 00108093 addi ra,ra,1 + 12260: 00108093 addi ra,ra,1 + 12264: 00108093 addi ra,ra,1 + 12268: 00300e93 li t4,3 + 1226c: 0e800193 li gp,232 + 12270: 0dd09c63 bne ra,t4,12348 + +00012274 : + 12274: 00200193 li gp,2 + 12278: 00000293 li t0,0 + 1227c: 00000317 auipc t1,0x0 + 12280: 01030313 addi t1,t1,16 # 1228c + 12284: 000302e7 jalr t0,t1 + +00012288 : + 12288: 0c00006f j 12348 + +0001228c : + 1228c: 00000317 auipc t1,0x0 + 12290: ffc30313 addi t1,t1,-4 # 12288 + 12294: 0a629a63 bne t0,t1,12348 + +00012298 : + 12298: 0e900193 li gp,233 + 1229c: 00000213 li tp,0 + 122a0: 00000317 auipc t1,0x0 + 122a4: 01030313 addi t1,t1,16 # 122b0 + 122a8: 000309e7 jalr s3,t1 + 122ac: 08301e63 bne zero,gp,12348 + 122b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122b4: 00200293 li t0,2 + 122b8: fe5214e3 bne tp,t0,122a0 + +000122bc : + 122bc: 0ea00193 li gp,234 + 122c0: 00000213 li tp,0 + 122c4: 00000317 auipc t1,0x0 + 122c8: 01430313 addi t1,t1,20 # 122d8 + 122cc: 00000013 nop + 122d0: 000309e7 jalr s3,t1 + 122d4: 06301a63 bne zero,gp,12348 + 122d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122dc: 00200293 li t0,2 + 122e0: fe5212e3 bne tp,t0,122c4 + +000122e4 : + 122e4: 0eb00193 li gp,235 + 122e8: 00000213 li tp,0 + 122ec: 00000317 auipc t1,0x0 + 122f0: 01830313 addi t1,t1,24 # 12304 + 122f4: 00000013 nop + 122f8: 00000013 nop + 122fc: 000309e7 jalr s3,t1 + 12300: 04301463 bne zero,gp,12348 + 12304: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12308: 00200293 li t0,2 + 1230c: fe5210e3 bne tp,t0,122ec + +00012310 : + 12310: 00100293 li t0,1 + 12314: 00000317 auipc t1,0x0 + 12318: 01c30313 addi t1,t1,28 # 12330 + 1231c: ffc30067 jr -4(t1) + 12320: 00128293 addi t0,t0,1 + 12324: 00128293 addi t0,t0,1 + 12328: 00128293 addi t0,t0,1 + 1232c: 00128293 addi t0,t0,1 + 12330: 00128293 addi t0,t0,1 + 12334: 00128293 addi t0,t0,1 + 12338: 00400e93 li t4,4 + 1233c: 0ec00193 li gp,236 + 12340: 01d29463 bne t0,t4,12348 + 12344: 00301463 bne zero,gp,1234c + +00012348 : + 12348: 00000a6f jal s4,12348 + +0001234c : + 1234c: 00100193 li gp,1 + +00012350 : + 12350: 00000a6f jal s4,12350 diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt b/SIM-CPU/rv32i_test/a_instr_stream.txt similarity index 66% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt rename to SIM-CPU/rv32i_test/a_instr_stream.txt index 30285d1..2122ab2 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt +++ b/SIM-CPU/rv32i_test/a_instr_stream.txt @@ -1,3330 +1,2228 @@ -00000013 -00000093 -00000113 -00208f33 -00000e93 -00200193 -01df0463 -2ac0206f -00100093 -00100113 -00208f33 -00200e93 -00300193 -01df0463 -2900206f -00300093 -00700113 -00208f33 -00a00e93 -00400193 -01df0463 -2740206f -00000093 -ffff8137 -00208f33 -ffff8eb7 -00500193 -01df0463 -2580206f -800000b7 -00000113 -00208f33 -80000eb7 -00600193 -01df0463 -23c0206f -800000b7 -ffff8137 -00208f33 -7fff8eb7 -00700193 -01df0463 -2200206f -00000093 -00008137 -fff10113 -00208f33 -00008eb7 -fffe8e93 -00800193 -01df0463 -1fc0206f -800000b7 -fff08093 -00000113 -00208f33 -80000eb7 -fffe8e93 -00900193 -01df0463 -1d80206f -800000b7 -fff08093 -00008137 -fff10113 -00208f33 -80008eb7 -ffee8e93 -00a00193 -01df0463 -1b00206f -800000b7 -00008137 -fff10113 -00208f33 -80008eb7 -fffe8e93 -00b00193 -01df0463 -18c0206f -800000b7 -fff08093 -ffff8137 -00208f33 -7fff8eb7 -fffe8e93 -00c00193 -01df0463 -1680206f -00000093 -fff00113 -00208f33 -fff00e93 -00d00193 -01df0463 -14c0206f -fff00093 -00100113 -00208f33 -00000e93 -00e00193 -01df0463 -1300206f -fff00093 -fff00113 -00208f33 -ffe00e93 -00f00193 -01df0463 -1140206f -00100093 -80000137 -fff10113 -00208f33 -80000eb7 -01000193 -01df0463 -0f40206f -00d00093 -00b00113 -002080b3 -01800e93 -01100193 -01d08463 -0d80206f -00e00093 -00b00113 -00208133 -01900e93 -01200193 -01d10463 -0bc0206f -00d00093 -001080b3 -01a00e93 -01300193 -01d08463 -0a40206f -00000213 -00d00093 -00b00113 -00208f33 -000f0313 -00120213 -00200293 -fe5214e3 -01800e93 -01400193 -01d30463 -0740206f -00000213 -00e00093 -00b00113 -00208f33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -01900e93 -01500193 -01d30463 -0400206f -00000213 -00f00093 -00b00113 -00208f33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -01a00e93 -01600193 -01d30463 -0080206f -00000213 -00d00093 -00b00113 -00208f33 -00120213 -00200293 -fe5216e3 -01800e93 -01700193 -01df0463 -7dd0106f -00000213 -00e00093 -00b00113 -00000013 -00208f33 -00120213 -00200293 -fe5214e3 -01900e93 -01800193 -01df0463 -7ad0106f -00000213 -00f00093 -00b00113 -00000013 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -01900193 -01df0463 -7790106f -00000213 -00d00093 -00000013 -00b00113 -00208f33 -00120213 -00200293 -fe5214e3 -01800e93 -01a00193 -01df0463 -7490106f -00000213 -00e00093 -00000013 -00b00113 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01900e93 -01b00193 -01df0463 -7150106f -00000213 -00f00093 -00000013 -00000013 -00b00113 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -01c00193 -01df0463 -6e10106f -00000213 -00b00113 -00d00093 -00208f33 -00120213 -00200293 -fe5216e3 -01800e93 -01d00193 -01df0463 -6b50106f -00000213 -00b00113 -00e00093 -00000013 -00208f33 -00120213 -00200293 -fe5214e3 -01900e93 -01e00193 -01df0463 -6850106f -00000213 -00b00113 -00f00093 -00000013 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -01f00193 -01df0463 -6510106f -00000213 -00b00113 -00000013 -00d00093 -00208f33 -00120213 -00200293 -fe5214e3 -01800e93 -02000193 -01df0463 -6210106f -00000213 -00b00113 -00000013 -00e00093 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01900e93 -02100193 -01df0463 -5ed0106f -00000213 -00b00113 -00000013 -00000013 -00f00093 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -02200193 -01df0463 -5b90106f -00f00093 -00100133 -00f00e93 -02300193 -01d10463 -5a10106f -02000093 -00008133 -02000e93 -02400193 -01d10463 -5890106f -000000b3 -00000e93 -02500193 -01d08463 -5750106f -01000093 -01e00113 -00208033 -00000e93 -02600193 -01d00463 -5590106f -00000093 -00008f13 -00000e93 -02700193 -01df0463 -5410106f -00100093 -00108f13 -00200e93 -02800193 -01df0463 -5290106f -00300093 -00708f13 -00a00e93 -02900193 -01df0463 -5110106f -00000093 -80008f13 -80000e93 -02a00193 -01df0463 -4f90106f -800000b7 -00008f13 -80000eb7 -02b00193 -01df0463 -4e10106f -800000b7 -80008f13 -80000eb7 -800e8e93 -02c00193 -01df0463 -4c50106f -00000093 -7ff08f13 -7ff00e93 -02d00193 -01df0463 -4ad0106f -800000b7 -fff08093 -00008f13 -80000eb7 -fffe8e93 -02e00193 -01df0463 -48d0106f -800000b7 -fff08093 -7ff08f13 -80000eb7 -7fee8e93 -02f00193 -01df0463 -46d0106f -800000b7 -7ff08f13 -80000eb7 -7ffe8e93 -03000193 -01df0463 -4510106f -800000b7 -fff08093 -80008f13 -7ffffeb7 -7ffe8e93 -03100193 -01df0463 -4310106f -00000093 -fff08f13 -fff00e93 -03200193 -01df0463 -4190106f -fff00093 -00108f13 -00000e93 -03300193 -01df0463 -4010106f -fff00093 -fff08f13 -ffe00e93 -03400193 -01df0463 -3e90106f -800000b7 -fff08093 -00108f13 -80000eb7 -03500193 -01df0463 -3cd0106f -00d00093 -00b08093 -01800e93 -03600193 -01d08463 -3b50106f -00000213 -00d00093 -00b08f13 -000f0313 -00120213 -00200293 -fe5216e3 -01800e93 -03700193 -01d30463 -3890106f -00000213 -00d00093 -00a08f13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -01700e93 -03800193 -01d30463 -3590106f -00000213 -00d00093 -00908f13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -01600e93 -03900193 -01d30463 -3250106f -00000213 -00d00093 -00b08f13 -00120213 -00200293 -fe5218e3 -01800e93 -03a00193 -01df0463 -2fd0106f -00000213 -00d00093 -00000013 -00a08f13 -00120213 -00200293 -fe5216e3 -01700e93 -03b00193 -01df0463 -2d10106f -00000213 -00d00093 -00000013 -00000013 -00908f13 -00120213 -00200293 -fe5214e3 -01600e93 -03c00193 -01df0463 -2a10106f -02000093 -02000e93 -03d00193 -01d08463 -28d0106f -02100093 -03208013 -00000e93 -03e00193 -01d00463 -2750106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ff33 -0f001eb7 -f00e8e93 -03f00193 -01df0463 -24d0106f -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ff33 -00f00eb7 -0f0e8e93 -04000193 -01df0463 -2250106f -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ff33 -000f0eb7 -00fe8e93 -04100193 -01df0463 -1fd0106f -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020ff33 -f000feb7 -04200193 -01df0463 -1d90106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020f0b3 -0f001eb7 -f00e8e93 -04300193 -01d08463 -1b10106f -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020f133 -00f00eb7 -0f0e8e93 -04400193 -01d10463 -1890106f -ff0100b7 -f0008093 -0010f0b3 -ff010eb7 -f00e8e93 -04500193 -01d08463 -1690106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ff33 -000f0313 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -04600193 -01d30463 -12d0106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ff33 -00000013 -000f0313 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -04700193 -01d30463 -0ed0106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ff33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fc521ce3 -000f0eb7 -00fe8e93 -04800193 -01d30463 -0a90106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ff33 -00120213 -00200293 -fe5212e3 -0f001eb7 -f00e8e93 -04900193 -01df0463 -0710106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020ff33 -00120213 -00200293 -fe5210e3 -00f00eb7 -0f0e8e93 -04a00193 -01df0463 -0350106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -04b00193 -01df0463 -7f40106f -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020ff33 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -04c00193 -01df0463 -7b80106f -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -04d00193 -01df0463 -7780106f -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -04e00193 -01df0463 -7380106f -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020ff33 -00120213 -00200293 -fe5212e3 -0f001eb7 -f00e8e93 -04f00193 -01df0463 -7000106f -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020ff33 -00120213 -00200293 -fe5210e3 -00f00eb7 -0f0e8e93 -05000193 -01df0463 -6c40106f -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -05100193 -01df0463 -6840106f -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020ff33 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -05200193 -01df0463 -6480106f -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -05300193 -01df0463 -6080106f -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -05400193 -01df0463 -5c80106f -ff0100b7 -f0008093 -00107133 -00000e93 -05500193 -01d10463 -5ac0106f -00ff00b7 -0ff08093 -0000f133 -00000e93 -05600193 -01d10463 -5900106f -000070b3 -00000e93 -05700193 -01d08463 -57c0106f -111110b7 -11108093 -22222137 -22210113 -0020f033 -00000e93 -05800193 -01d00463 -5580106f -ff0100b7 -f0008093 -f0f0ff13 -ff010eb7 -f00e8e93 -05900193 -01df0463 -5380106f -0ff010b7 -ff008093 -0f00ff13 -0f000e93 -05a00193 -01df0463 -51c0106f -00ff00b7 -0ff08093 -70f0ff13 -00f00e93 -05b00193 -01df0463 -5000106f -f00ff0b7 -00f08093 -0f00ff13 -00000e93 -05c00193 -01df0463 -4e40106f -ff0100b7 -f0008093 -0f00f093 -00000e93 -05d00193 -01d08463 -4c80106f -00000213 -0ff010b7 -ff008093 -70f0ff13 -000f0313 -00120213 -00200293 -fe5214e3 -70000e93 -05e00193 -01d30463 -4980106f -00000213 -00ff00b7 -0ff08093 -0f00ff13 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -0f000e93 -05f00193 -01d30463 -4640106f -00000213 -f00ff0b7 -00f08093 -f0f0ff13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -06000193 -01d30463 -4280106f -00000213 -0ff010b7 -ff008093 -70f0ff13 -00120213 -00200293 -fe5216e3 -70000e93 -06100193 -01df0463 -3fc0106f -00000213 -00ff00b7 -0ff08093 -00000013 -0f00ff13 -00120213 -00200293 -fe5214e3 -0f000e93 -06200193 -01df0463 -3cc0106f -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -70f0ff13 -00120213 -00200293 -fe5212e3 -00f00e93 -06300193 -01df0463 -3980106f -0f007093 -00000e93 -06400193 -01d08463 -3840106f -00ff00b7 -0ff08093 -70f0f013 -00000e93 -06500193 -01d00463 -3680106f -00000013 -00002517 -71c50513 -004005ef -40b50533 -00002eb7 -710e8e93 -06600193 -01d50463 -3400106f -00000013 -ffffe517 -8fc50513 -004005ef -40b50533 -ffffeeb7 -8f0e8e93 -06700193 -01d50463 -3180106f -06800193 -00000093 -00000113 -00208863 -00300463 -3000106f -00301863 -fe208ee3 -00300463 -2f00106f -06900193 -00100093 -00100113 -00208863 -00300463 -2d80106f -00301863 -fe208ee3 -00300463 -2c80106f -06a00193 -fff00093 -fff00113 -00208863 -00300463 -2b00106f -00301863 -fe208ee3 -00300463 -2a00106f -06b00193 -00000093 -00100113 -00208463 -00301663 -00300463 -2840106f -fe208ce3 -06c00193 -00100093 -00000113 -00208463 -00301663 -00300463 -2640106f -fe208ce3 -06d00193 -fff00093 -00100113 -00208463 -00301663 -00300463 -2440106f -fe208ce3 -06e00193 -00100093 -fff00113 -00208463 -00301663 -00300463 -2240106f -fe208ce3 -06f00193 -00000213 -00000093 -fff00113 -00209463 -2080106f -00120213 -00200293 -fe5214e3 -07000193 -00000213 -00000093 -fff00113 -00000013 -00209463 -1e00106f -00120213 -00200293 -fe5212e3 -07100193 -00000213 -00000093 -fff00113 -00000013 -00000013 -00209463 -1b40106f -00120213 -00200293 -fe5210e3 -07200193 -00000213 -00000093 -00000013 -fff00113 -00209463 -18c0106f -00120213 -00200293 -fe5212e3 -07300193 -00000213 -00000093 -00000013 -fff00113 -00000013 -00209463 -1600106f -00120213 -00200293 -fe5210e3 -07400193 -00000213 -00000093 -00000013 -00000013 -fff00113 -00209463 -1340106f -00120213 -00200293 -fe5210e3 -07500193 -00000213 -00000093 -fff00113 -00209463 -1100106f -00120213 -00200293 -fe5214e3 -07600193 -00000213 -00000093 -fff00113 -00000013 -00209463 -0e80106f -00120213 -00200293 -fe5212e3 -07700193 -00000213 -00000093 -fff00113 -00000013 -00000013 -00209463 -0bc0106f -00120213 -00200293 -fe5210e3 -07800193 -00000213 -00000093 -00000013 -fff00113 -00209463 -0940106f -00120213 -00200293 -fe5212e3 -07900193 -00000213 -00000093 -00000013 -fff00113 -00000013 -00209463 -0680106f -00120213 -00200293 -fe5210e3 -07a00193 -00000213 -00000093 -00000013 -00000013 -fff00113 -00209463 -03c0106f -00120213 -00200293 -fe5210e3 -00100093 -00000a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -07b00193 -01d08463 -0000106f -07c00193 -00000093 -00000113 -0020d663 -7e3016e3 -00301663 -fe20dee3 -7e3010e3 -07d00193 -00100093 -00100113 -0020d663 -7c3016e3 -00301663 -fe20dee3 -7c3010e3 -07e00193 -fff00093 -fff00113 -0020d663 -7a3016e3 -00301663 -fe20dee3 -7a3010e3 -07f00193 -00100093 -00000113 -0020d663 -783016e3 -00301663 -fe20dee3 -783010e3 -08000193 -00100093 -fff00113 -0020d663 -763016e3 -00301663 -fe20dee3 -763010e3 -08100193 -fff00093 -ffe00113 -0020d663 -743016e3 -00301663 -fe20dee3 -743010e3 -08200193 -00000093 -00100113 -0020d463 -00301463 -723014e3 -fe20dee3 -08300193 -fff00093 -00100113 -0020d463 -00301463 -703016e3 -fe20dee3 -08400193 -ffe00093 -fff00113 -0020d463 -00301463 -6e3018e3 -fe20dee3 -08500193 -ffe00093 -00100113 -0020d463 -00301463 -6c301ae3 -fe20dee3 -08600193 -00000213 -fff00093 -00000113 -6a20dee3 -00120213 -00200293 -fe5216e3 -08700193 -00000213 -fff00093 -00000113 -00000013 -6820dce3 -00120213 -00200293 -fe5214e3 -08800193 -00000213 -fff00093 -00000113 -00000013 -00000013 -6620d8e3 -00120213 -00200293 -fe5212e3 -08900193 -00000213 -fff00093 -00000013 -00000113 -6420d6e3 -00120213 -00200293 -fe5214e3 -08a00193 -00000213 -fff00093 -00000013 -00000113 -00000013 -6220d2e3 -00120213 -00200293 -fe5212e3 -08b00193 -00000213 -fff00093 -00000013 -00000013 -00000113 -5e20dee3 -00120213 -00200293 -fe5212e3 -08c00193 -00000213 -fff00093 -00000113 -5c20dee3 -00120213 -00200293 -fe5216e3 -08d00193 -00000213 -fff00093 -00000113 -00000013 -5a20dce3 -00120213 -00200293 -fe5214e3 -08e00193 -00000213 -fff00093 -00000113 -00000013 -00000013 -5820d8e3 -00120213 -00200293 -fe5212e3 -08f00193 -00000213 -fff00093 -00000013 -00000113 -5620d6e3 -00120213 -00200293 -fe5214e3 -09000193 -00000213 -fff00093 -00000013 -00000113 -00000013 -5420d2e3 -00120213 -00200293 -fe5212e3 -09100193 -00000213 -fff00093 -00000013 -00000013 -00000113 -5020dee3 -00120213 -00200293 -fe5212e3 -00100093 -0000da63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -09200193 -4fd092e3 -09300193 -00000093 -00000113 -0020f663 -4c3018e3 -00301663 -fe20fee3 -4c3012e3 -09400193 -00100093 -00100113 -0020f663 -4a3018e3 -00301663 -fe20fee3 -4a3012e3 -09500193 -fff00093 -fff00113 -0020f663 -483018e3 -00301663 -fe20fee3 -483012e3 -09600193 -00100093 -00000113 -0020f663 -463018e3 -00301663 -fe20fee3 -463012e3 -09700193 -fff00093 -ffe00113 -0020f663 -443018e3 -00301663 -fe20fee3 -443012e3 -09800193 -fff00093 -00000113 -0020f663 -423018e3 -00301663 -fe20fee3 -423012e3 -09900193 -00000093 -00100113 -0020f463 -00301463 -403016e3 -fe20fee3 -09a00193 -ffe00093 -fff00113 -0020f463 -00301463 -3e3018e3 -fe20fee3 -09b00193 -00000093 -fff00113 -0020f463 -00301463 -3c301ae3 -fe20fee3 -09c00193 -800000b7 -fff08093 -80000137 -0020f463 -00301463 -3a301ae3 -fe20fee3 -09d00193 -00000213 -f00000b7 -fff08093 -f0000137 -3820fce3 -00120213 -00200293 -fe5214e3 -09e00193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -3620f8e3 -00120213 -00200293 -fe5212e3 -09f00193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -00000013 -3420f2e3 -00120213 -00200293 -fe5210e3 -0a000193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -3020fee3 -00120213 -00200293 -fe5212e3 -0a100193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -00000013 -2e20f8e3 -00120213 -00200293 -fe5210e3 -0a200193 -00000213 -f00000b7 -fff08093 -00000013 -00000013 -f0000137 -2c20f2e3 -00120213 -00200293 -fe5210e3 -0a300193 -00000213 -f00000b7 -fff08093 -f0000137 -2a20f0e3 -00120213 -00200293 -fe5214e3 -0a400193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -2620fce3 -00120213 -00200293 -fe5212e3 -0a500193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -00000013 -2420f6e3 -00120213 -00200293 -fe5210e3 -0a600193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -2220f2e3 -00120213 -00200293 -fe5212e3 -0a700193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -00000013 -1e20fce3 -00120213 -00200293 -fe5210e3 -0a800193 -00000213 -f00000b7 -fff08093 -00000013 -00000013 -f0000137 -1c20f6e3 -00120213 -00200293 -fe5210e3 -00100093 -0000fa63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0a900193 -19d09ae3 -0aa00193 -00000093 -00100113 -0020c663 -183010e3 -00301663 -fe20cee3 -16301ae3 -0ab00193 -fff00093 -00100113 -0020c663 -163010e3 -00301663 -fe20cee3 -14301ae3 -0ac00193 -ffe00093 -fff00113 -0020c663 -143010e3 -00301663 -fe20cee3 -12301ae3 -0ad00193 -00100093 -00000113 -0020c463 -00301463 -10301ee3 -fe20cee3 -0ae00193 -00100093 -fff00113 -0020c463 -00301463 -103010e3 -fe20cee3 -0af00193 -fff00093 -ffe00113 -0020c463 -00301463 -0e3012e3 -fe20cee3 -0b000193 -00100093 -ffe00113 -0020c463 -00301463 -0c3014e3 -fe20cee3 -0b100193 -00000213 -00000093 -fff00113 -0a20c8e3 -00120213 -00200293 -fe5216e3 -0b200193 -00000213 -00000093 -fff00113 -00000013 -0820c6e3 -00120213 -00200293 -fe5214e3 -0b300193 -00000213 -00000093 -fff00113 -00000013 -00000013 -0620c2e3 -00120213 -00200293 -fe5212e3 -0b400193 -00000213 -00000093 -00000013 -fff00113 -0420c0e3 -00120213 -00200293 -fe5214e3 -0b500193 -00000213 -00000093 -00000013 -fff00113 -00000013 -0020cce3 -00120213 -00200293 -fe5212e3 -0b600193 -00000213 -00000093 -00000013 -00000013 -fff00113 -7e20c863 -00120213 -00200293 -fe5212e3 -0b700193 -00000213 -00000093 -fff00113 -7c20c863 -00120213 -00200293 -fe5216e3 -0b800193 -00000213 -00000093 -fff00113 -00000013 -7a20c663 -00120213 -00200293 -fe5214e3 -0b900193 -00000213 -00000093 -fff00113 -00000013 -00000013 -7820c263 -00120213 -00200293 -fe5212e3 -0ba00193 -00000213 -00000093 -00000013 -fff00113 -7620c063 -00120213 -00200293 -fe5214e3 -0bb00193 -00000213 -00000093 -00000013 -fff00113 -00000013 -7220cc63 -00120213 -00200293 -fe5212e3 -0bc00193 -00000213 -00000093 -00000013 -00000013 -fff00113 -7020c863 -00120213 -00200293 -fe5212e3 -00100093 -00104a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0bd00193 -6dd09c63 -0be00193 -00000093 -00100113 -0020e663 -6c301263 -00301663 -fe20eee3 -6a301c63 -0bf00193 -ffe00093 -fff00113 -0020e663 -6a301263 -00301663 -fe20eee3 -68301c63 -0c000193 -00000093 -fff00113 -0020e663 -68301263 -00301663 -fe20eee3 -66301c63 -0c100193 -00100093 -00000113 -0020e463 -00301463 -66301063 -fe20eee3 -0c200193 -fff00093 -ffe00113 -0020e463 -00301463 -64301263 -fe20eee3 -0c300193 -fff00093 -00000113 -0020e463 -00301463 -62301463 -fe20eee3 -0c400193 -800000b7 -80000137 -fff10113 -0020e463 -00301463 -60301463 -fe20eee3 -0c500193 -00000213 -f00000b7 -f0000137 -fff10113 -5e20e663 -00120213 -00200293 -fe5214e3 -0c600193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -5c20e263 -00120213 -00200293 -fe5212e3 -0c700193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -00000013 -5820ec63 -00120213 -00200293 -fe5210e3 -0c800193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -5620e863 -00120213 -00200293 -fe5212e3 -0c900193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -00000013 -5420e263 -00120213 -00200293 -fe5210e3 -0ca00193 -00000213 -f00000b7 -00000013 -00000013 -f0000137 -fff10113 -5020ec63 -00120213 -00200293 -fe5210e3 -0cb00193 -00000213 -f00000b7 -f0000137 -fff10113 -4e20ea63 -00120213 -00200293 -fe5214e3 -0cc00193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -4c20e663 -00120213 -00200293 -fe5212e3 -0cd00193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -00000013 -4a20e063 -00120213 -00200293 -fe5210e3 -0ce00193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -4620ec63 -00120213 -00200293 -fe5212e3 -0cf00193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -00000013 -4420e663 -00120213 -00200293 -fe5210e3 -0d000193 -00000213 -f00000b7 -00000013 -00000013 -f0000137 -fff10113 -4220e063 -00120213 -00200293 -fe5210e3 -00100093 -00106a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0d100193 -3fd09463 -0d200193 -00000093 -00100113 -00209663 -3c301a63 -00301663 -fe209ee3 -3c301463 -0d300193 -00100093 -00000113 -00209663 -3a301a63 -00301663 -fe209ee3 -3a301463 -0d400193 -fff00093 -00100113 -00209663 -38301a63 -00301663 -fe209ee3 -38301463 -0d500193 -00100093 -fff00113 -00209663 -36301a63 -00301663 -fe209ee3 -36301463 -0d600193 -00000093 -00000113 -00209463 -00301463 -34301863 -fe209ee3 -0d700193 -00100093 -00100113 -00209463 -00301463 -32301a63 -fe209ee3 -0d800193 -fff00093 -fff00113 -00209463 -00301463 -30301c63 -fe209ee3 -0d900193 -00000213 -00000093 -00000113 -30209063 -00120213 -00200293 -fe5216e3 -0da00193 -00000213 -00000093 -00000113 -00000013 -2c209e63 -00120213 -00200293 -fe5214e3 -0db00193 -00000213 -00000093 -00000113 -00000013 -00000013 -2a209a63 -00120213 -00200293 -fe5212e3 -0dc00193 -00000213 -00000093 -00000013 -00000113 -28209863 -00120213 -00200293 -fe5214e3 -0dd00193 -00000213 -00000093 -00000013 -00000113 -00000013 -26209463 -00120213 -00200293 -fe5212e3 -0de00193 -00000213 -00000093 -00000013 -00000013 -00000113 -24209063 -00120213 -00200293 -fe5212e3 -0df00193 -00000213 -00000093 -00000113 -22209063 -00120213 -00200293 -fe5216e3 -0e000193 -00000213 -00000093 -00000113 -00000013 -1e209e63 -00120213 -00200293 -fe5214e3 -0e100193 -00000213 -00000093 -00000113 -00000013 -00000013 -1c209a63 -00120213 -00200293 -fe5212e3 -0e200193 -00000213 -00000093 -00000013 -00000113 -1a209863 -00120213 -00200293 -fe5214e3 -0e300193 -00000213 -00000093 -00000013 -00000113 -00000013 -18209463 -00120213 -00200293 -fe5212e3 -0e400193 -00000213 -00000093 -00000013 -00000013 -00000113 -16209063 -00120213 -00200293 -fe5212e3 -00100093 -00009a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0e500193 -13d09463 -00200193 -00000093 -0100026f -00000013 -00000013 -1100006f -00000317 -ff430313 -10431263 -00100093 -0140006f -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0e800193 -0dd09c63 -00200193 -00000293 -00000317 -01030313 -000302e7 -0c00006f -00000317 -ffc30313 -0a629a63 -0e900193 -00000213 -00000317 -01030313 -000309e7 -08301e63 -00120213 -00200293 -fe5214e3 -0ea00193 -00000213 -00000317 -01430313 -00000013 -000309e7 -06301a63 -00120213 -00200293 -fe5212e3 -0eb00193 -00000213 -00000317 -01830313 -00000013 -00000013 -000309e7 -04301463 -00120213 -00200293 -fe5210e3 -00100293 -00000317 -01c30313 -ffc30067 -00128293 -00128293 -00128293 -00128293 -00128293 -00128293 -00400e93 -0ec00193 -01d29463 -00301463 -00000a6f -00100193 -00000a6f -c0001073 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0ff000ff -efefefef -efefefef -0000efef -ff0000ff -f00f0ff0 -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -00000000 -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 -14d68693 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 +00000093 +00000113 +00208f33 +00000e93 +00200193 +01df0463 +2ac0206f +00100093 +00100113 +00208f33 +00200e93 +00300193 +01df0463 +2900206f +00300093 +00700113 +00208f33 +00a00e93 +00400193 +01df0463 +2740206f +00000093 +ffff8137 +00208f33 +ffff8eb7 +00500193 +01df0463 +2580206f +800000b7 +00000113 +00208f33 +80000eb7 +00600193 +01df0463 +23c0206f +800000b7 +ffff8137 +00208f33 +7fff8eb7 +00700193 +01df0463 +2200206f +00000093 +00008137 +fff10113 +00208f33 +00008eb7 +fffe8e93 +00800193 +01df0463 +1fc0206f +800000b7 +fff08093 +00000113 +00208f33 +80000eb7 +fffe8e93 +00900193 +01df0463 +1d80206f +800000b7 +fff08093 +00008137 +fff10113 +00208f33 +80008eb7 +ffee8e93 +00a00193 +01df0463 +1b00206f +800000b7 +00008137 +fff10113 +00208f33 +80008eb7 +fffe8e93 +00b00193 +01df0463 +18c0206f +800000b7 +fff08093 +ffff8137 +00208f33 +7fff8eb7 +fffe8e93 +00c00193 +01df0463 +1680206f +00000093 +fff00113 +00208f33 +fff00e93 +00d00193 +01df0463 +14c0206f +fff00093 +00100113 +00208f33 +00000e93 +00e00193 +01df0463 +1300206f +fff00093 +fff00113 +00208f33 +ffe00e93 +00f00193 +01df0463 +1140206f +00100093 +80000137 +fff10113 +00208f33 +80000eb7 +01000193 +01df0463 +0f40206f +00d00093 +00b00113 +002080b3 +01800e93 +01100193 +01d08463 +0d80206f +00e00093 +00b00113 +00208133 +01900e93 +01200193 +01d10463 +0bc0206f +00d00093 +001080b3 +01a00e93 +01300193 +01d08463 +0a40206f +00000213 +00d00093 +00b00113 +00208f33 +000f0313 +00120213 +00200293 +fe5214e3 +01800e93 +01400193 +01d30463 +0740206f +00000213 +00e00093 +00b00113 +00208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01900e93 +01500193 +01d30463 +0400206f +00000213 +00f00093 +00b00113 +00208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +01a00e93 +01600193 +01d30463 +0080206f +00000213 +00d00093 +00b00113 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01700193 +01df0463 +7dd0106f +00000213 +00e00093 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01800193 +01df0463 +7ad0106f +00000213 +00f00093 +00b00113 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01900193 +01df0463 +7790106f +00000213 +00d00093 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +01a00193 +01df0463 +7490106f +00000213 +00e00093 +00000013 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +01b00193 +01df0463 +7150106f +00000213 +00f00093 +00000013 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01c00193 +01df0463 +6e10106f +00000213 +00b00113 +00d00093 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01d00193 +01df0463 +6b50106f +00000213 +00b00113 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01e00193 +01df0463 +6850106f +00000213 +00b00113 +00f00093 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01f00193 +01df0463 +6510106f +00000213 +00b00113 +00000013 +00d00093 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +02000193 +01df0463 +6210106f +00000213 +00b00113 +00000013 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +02100193 +01df0463 +5ed0106f +00000213 +00b00113 +00000013 +00000013 +00f00093 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +02200193 +01df0463 +5b90106f +00f00093 +00100133 +00f00e93 +02300193 +01d10463 +5a10106f +02000093 +00008133 +02000e93 +02400193 +01d10463 +5890106f +000000b3 +00000e93 +02500193 +01d08463 +5750106f +01000093 +01e00113 +00208033 +00000e93 +02600193 +01d00463 +5590106f +00000093 +00008f13 +00000e93 +02700193 +01df0463 +5410106f +00100093 +00108f13 +00200e93 +02800193 +01df0463 +5290106f +00300093 +00708f13 +00a00e93 +02900193 +01df0463 +5110106f +00000093 +80008f13 +80000e93 +02a00193 +01df0463 +4f90106f +800000b7 +00008f13 +80000eb7 +02b00193 +01df0463 +4e10106f +800000b7 +80008f13 +80000eb7 +800e8e93 +02c00193 +01df0463 +4c50106f +00000093 +7ff08f13 +7ff00e93 +02d00193 +01df0463 +4ad0106f +800000b7 +fff08093 +00008f13 +80000eb7 +fffe8e93 +02e00193 +01df0463 +48d0106f +800000b7 +fff08093 +7ff08f13 +80000eb7 +7fee8e93 +02f00193 +01df0463 +46d0106f +800000b7 +7ff08f13 +80000eb7 +7ffe8e93 +03000193 +01df0463 +4510106f +800000b7 +fff08093 +80008f13 +7ffffeb7 +7ffe8e93 +03100193 +01df0463 +4310106f +00000093 +fff08f13 +fff00e93 +03200193 +01df0463 +4190106f +fff00093 +00108f13 +00000e93 +03300193 +01df0463 +4010106f +fff00093 +fff08f13 +ffe00e93 +03400193 +01df0463 +3e90106f +800000b7 +fff08093 +00108f13 +80000eb7 +03500193 +01df0463 +3cd0106f +00d00093 +00b08093 +01800e93 +03600193 +01d08463 +3b50106f +00000213 +00d00093 +00b08f13 +000f0313 +00120213 +00200293 +fe5216e3 +01800e93 +03700193 +01d30463 +3890106f +00000213 +00d00093 +00a08f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +01700e93 +03800193 +01d30463 +3590106f +00000213 +00d00093 +00908f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01600e93 +03900193 +01d30463 +3250106f +00000213 +00d00093 +00b08f13 +00120213 +00200293 +fe5218e3 +01800e93 +03a00193 +01df0463 +2fd0106f +00000213 +00d00093 +00000013 +00a08f13 +00120213 +00200293 +fe5216e3 +01700e93 +03b00193 +01df0463 +2d10106f +00000213 +00d00093 +00000013 +00000013 +00908f13 +00120213 +00200293 +fe5214e3 +01600e93 +03c00193 +01df0463 +2a10106f +02000093 +02000e93 +03d00193 +01d08463 +28d0106f +02100093 +03208013 +00000e93 +03e00193 +01d00463 +2750106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +0f001eb7 +f00e8e93 +03f00193 +01df0463 +24d0106f +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00f00eb7 +0f0e8e93 +04000193 +01df0463 +2250106f +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +000f0eb7 +00fe8e93 +04100193 +01df0463 +1fd0106f +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ff33 +f000feb7 +04200193 +01df0463 +1d90106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020f0b3 +0f001eb7 +f00e8e93 +04300193 +01d08463 +1b10106f +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020f133 +00f00eb7 +0f0e8e93 +04400193 +01d10463 +1890106f +ff0100b7 +f0008093 +0010f0b3 +ff010eb7 +f00e8e93 +04500193 +01d08463 +1690106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +000f0313 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +04600193 +01d30463 +12d0106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +04700193 +01d30463 +0ed0106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +000f0eb7 +00fe8e93 +04800193 +01d30463 +0a90106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +04900193 +01df0463 +0710106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +04a00193 +01df0463 +0350106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +04b00193 +01df0463 +7f40106f +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +04c00193 +01df0463 +7b80106f +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +04d00193 +01df0463 +7780106f +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +04e00193 +01df0463 +7380106f +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +04f00193 +01df0463 +7000106f +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +05000193 +01df0463 +6c40106f +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +05100193 +01df0463 +6840106f +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +05200193 +01df0463 +6480106f +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +05300193 +01df0463 +6080106f +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +05400193 +01df0463 +5c80106f +ff0100b7 +f0008093 +00107133 +00000e93 +05500193 +01d10463 +5ac0106f +00ff00b7 +0ff08093 +0000f133 +00000e93 +05600193 +01d10463 +5900106f +000070b3 +00000e93 +05700193 +01d08463 +57c0106f +111110b7 +11108093 +22222137 +22210113 +0020f033 +00000e93 +05800193 +01d00463 +5580106f +ff0100b7 +f0008093 +f0f0ff13 +ff010eb7 +f00e8e93 +05900193 +01df0463 +5380106f +0ff010b7 +ff008093 +0f00ff13 +0f000e93 +05a00193 +01df0463 +51c0106f +00ff00b7 +0ff08093 +70f0ff13 +00f00e93 +05b00193 +01df0463 +5000106f +f00ff0b7 +00f08093 +0f00ff13 +00000e93 +05c00193 +01df0463 +4e40106f +ff0100b7 +f0008093 +0f00f093 +00000e93 +05d00193 +01d08463 +4c80106f +00000213 +0ff010b7 +ff008093 +70f0ff13 +000f0313 +00120213 +00200293 +fe5214e3 +70000e93 +05e00193 +01d30463 +4980106f +00000213 +00ff00b7 +0ff08093 +0f00ff13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0f000e93 +05f00193 +01d30463 +4640106f +00000213 +f00ff0b7 +00f08093 +f0f0ff13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +06000193 +01d30463 +4280106f +00000213 +0ff010b7 +ff008093 +70f0ff13 +00120213 +00200293 +fe5216e3 +70000e93 +06100193 +01df0463 +3fc0106f +00000213 +00ff00b7 +0ff08093 +00000013 +0f00ff13 +00120213 +00200293 +fe5214e3 +0f000e93 +06200193 +01df0463 +3cc0106f +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +70f0ff13 +00120213 +00200293 +fe5212e3 +00f00e93 +06300193 +01df0463 +3980106f +0f007093 +00000e93 +06400193 +01d08463 +3840106f +00ff00b7 +0ff08093 +70f0f013 +00000e93 +06500193 +01d00463 +3680106f +00000013 +00002517 +71c50513 +004005ef +40b50533 +00002eb7 +710e8e93 +06600193 +01d50463 +3400106f +00000013 +ffffe517 +8fc50513 +004005ef +40b50533 +ffffeeb7 +8f0e8e93 +06700193 +01d50463 +3180106f +06800193 +00000093 +00000113 +00208863 +00300463 +3000106f +00301863 +fe208ee3 +00300463 +2f00106f +06900193 +00100093 +00100113 +00208863 +00300463 +2d80106f +00301863 +fe208ee3 +00300463 +2c80106f +06a00193 +fff00093 +fff00113 +00208863 +00300463 +2b00106f +00301863 +fe208ee3 +00300463 +2a00106f +06b00193 +00000093 +00100113 +00208463 +00301663 +00300463 +2840106f +fe208ce3 +06c00193 +00100093 +00000113 +00208463 +00301663 +00300463 +2640106f +fe208ce3 +06d00193 +fff00093 +00100113 +00208463 +00301663 +00300463 +2440106f +fe208ce3 +06e00193 +00100093 +fff00113 +00208463 +00301663 +00300463 +2240106f +fe208ce3 +06f00193 +00000213 +00000093 +fff00113 +00209463 +2080106f +00120213 +00200293 +fe5214e3 +07000193 +00000213 +00000093 +fff00113 +00000013 +00209463 +1e00106f +00120213 +00200293 +fe5212e3 +07100193 +00000213 +00000093 +fff00113 +00000013 +00000013 +00209463 +1b40106f +00120213 +00200293 +fe5210e3 +07200193 +00000213 +00000093 +00000013 +fff00113 +00209463 +18c0106f +00120213 +00200293 +fe5212e3 +07300193 +00000213 +00000093 +00000013 +fff00113 +00000013 +00209463 +1600106f +00120213 +00200293 +fe5210e3 +07400193 +00000213 +00000093 +00000013 +00000013 +fff00113 +00209463 +1340106f +00120213 +00200293 +fe5210e3 +07500193 +00000213 +00000093 +fff00113 +00209463 +1100106f +00120213 +00200293 +fe5214e3 +07600193 +00000213 +00000093 +fff00113 +00000013 +00209463 +0e80106f +00120213 +00200293 +fe5212e3 +07700193 +00000213 +00000093 +fff00113 +00000013 +00000013 +00209463 +0bc0106f +00120213 +00200293 +fe5210e3 +07800193 +00000213 +00000093 +00000013 +fff00113 +00209463 +0940106f +00120213 +00200293 +fe5212e3 +07900193 +00000213 +00000093 +00000013 +fff00113 +00000013 +00209463 +0680106f +00120213 +00200293 +fe5210e3 +07a00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +00209463 +03c0106f +00120213 +00200293 +fe5210e3 +00100093 +00000a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +07b00193 +01d08463 +0000106f +07c00193 +00000093 +00000113 +0020d663 +7e3016e3 +00301663 +fe20dee3 +7e3010e3 +07d00193 +00100093 +00100113 +0020d663 +7c3016e3 +00301663 +fe20dee3 +7c3010e3 +07e00193 +fff00093 +fff00113 +0020d663 +7a3016e3 +00301663 +fe20dee3 +7a3010e3 +07f00193 +00100093 +00000113 +0020d663 +783016e3 +00301663 +fe20dee3 +783010e3 +08000193 +00100093 +fff00113 +0020d663 +763016e3 +00301663 +fe20dee3 +763010e3 +08100193 +fff00093 +ffe00113 +0020d663 +743016e3 +00301663 +fe20dee3 +743010e3 +08200193 +00000093 +00100113 +0020d463 +00301463 +723014e3 +fe20dee3 +08300193 +fff00093 +00100113 +0020d463 +00301463 +703016e3 +fe20dee3 +08400193 +ffe00093 +fff00113 +0020d463 +00301463 +6e3018e3 +fe20dee3 +08500193 +ffe00093 +00100113 +0020d463 +00301463 +6c301ae3 +fe20dee3 +08600193 +00000213 +fff00093 +00000113 +6a20dee3 +00120213 +00200293 +fe5216e3 +08700193 +00000213 +fff00093 +00000113 +00000013 +6820dce3 +00120213 +00200293 +fe5214e3 +08800193 +00000213 +fff00093 +00000113 +00000013 +00000013 +6620d8e3 +00120213 +00200293 +fe5212e3 +08900193 +00000213 +fff00093 +00000013 +00000113 +6420d6e3 +00120213 +00200293 +fe5214e3 +08a00193 +00000213 +fff00093 +00000013 +00000113 +00000013 +6220d2e3 +00120213 +00200293 +fe5212e3 +08b00193 +00000213 +fff00093 +00000013 +00000013 +00000113 +5e20dee3 +00120213 +00200293 +fe5212e3 +08c00193 +00000213 +fff00093 +00000113 +5c20dee3 +00120213 +00200293 +fe5216e3 +08d00193 +00000213 +fff00093 +00000113 +00000013 +5a20dce3 +00120213 +00200293 +fe5214e3 +08e00193 +00000213 +fff00093 +00000113 +00000013 +00000013 +5820d8e3 +00120213 +00200293 +fe5212e3 +08f00193 +00000213 +fff00093 +00000013 +00000113 +5620d6e3 +00120213 +00200293 +fe5214e3 +09000193 +00000213 +fff00093 +00000013 +00000113 +00000013 +5420d2e3 +00120213 +00200293 +fe5212e3 +09100193 +00000213 +fff00093 +00000013 +00000013 +00000113 +5020dee3 +00120213 +00200293 +fe5212e3 +00100093 +0000da63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +09200193 +4fd092e3 +09300193 +00000093 +00000113 +0020f663 +4c3018e3 +00301663 +fe20fee3 +4c3012e3 +09400193 +00100093 +00100113 +0020f663 +4a3018e3 +00301663 +fe20fee3 +4a3012e3 +09500193 +fff00093 +fff00113 +0020f663 +483018e3 +00301663 +fe20fee3 +483012e3 +09600193 +00100093 +00000113 +0020f663 +463018e3 +00301663 +fe20fee3 +463012e3 +09700193 +fff00093 +ffe00113 +0020f663 +443018e3 +00301663 +fe20fee3 +443012e3 +09800193 +fff00093 +00000113 +0020f663 +423018e3 +00301663 +fe20fee3 +423012e3 +09900193 +00000093 +00100113 +0020f463 +00301463 +403016e3 +fe20fee3 +09a00193 +ffe00093 +fff00113 +0020f463 +00301463 +3e3018e3 +fe20fee3 +09b00193 +00000093 +fff00113 +0020f463 +00301463 +3c301ae3 +fe20fee3 +09c00193 +800000b7 +fff08093 +80000137 +0020f463 +00301463 +3a301ae3 +fe20fee3 +09d00193 +00000213 +f00000b7 +fff08093 +f0000137 +3820fce3 +00120213 +00200293 +fe5214e3 +09e00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +3620f8e3 +00120213 +00200293 +fe5212e3 +09f00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +3420f2e3 +00120213 +00200293 +fe5210e3 +0a000193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +3020fee3 +00120213 +00200293 +fe5212e3 +0a100193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +2e20f8e3 +00120213 +00200293 +fe5210e3 +0a200193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +2c20f2e3 +00120213 +00200293 +fe5210e3 +0a300193 +00000213 +f00000b7 +fff08093 +f0000137 +2a20f0e3 +00120213 +00200293 +fe5214e3 +0a400193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +2620fce3 +00120213 +00200293 +fe5212e3 +0a500193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +2420f6e3 +00120213 +00200293 +fe5210e3 +0a600193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +2220f2e3 +00120213 +00200293 +fe5212e3 +0a700193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +1e20fce3 +00120213 +00200293 +fe5210e3 +0a800193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +1c20f6e3 +00120213 +00200293 +fe5210e3 +00100093 +0000fa63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0a900193 +19d09ae3 +0aa00193 +00000093 +00100113 +0020c663 +183010e3 +00301663 +fe20cee3 +16301ae3 +0ab00193 +fff00093 +00100113 +0020c663 +163010e3 +00301663 +fe20cee3 +14301ae3 +0ac00193 +ffe00093 +fff00113 +0020c663 +143010e3 +00301663 +fe20cee3 +12301ae3 +0ad00193 +00100093 +00000113 +0020c463 +00301463 +10301ee3 +fe20cee3 +0ae00193 +00100093 +fff00113 +0020c463 +00301463 +103010e3 +fe20cee3 +0af00193 +fff00093 +ffe00113 +0020c463 +00301463 +0e3012e3 +fe20cee3 +0b000193 +00100093 +ffe00113 +0020c463 +00301463 +0c3014e3 +fe20cee3 +0b100193 +00000213 +00000093 +fff00113 +0a20c8e3 +00120213 +00200293 +fe5216e3 +0b200193 +00000213 +00000093 +fff00113 +00000013 +0820c6e3 +00120213 +00200293 +fe5214e3 +0b300193 +00000213 +00000093 +fff00113 +00000013 +00000013 +0620c2e3 +00120213 +00200293 +fe5212e3 +0b400193 +00000213 +00000093 +00000013 +fff00113 +0420c0e3 +00120213 +00200293 +fe5214e3 +0b500193 +00000213 +00000093 +00000013 +fff00113 +00000013 +0020cce3 +00120213 +00200293 +fe5212e3 +0b600193 +00000213 +00000093 +00000013 +00000013 +fff00113 +7e20c863 +00120213 +00200293 +fe5212e3 +0b700193 +00000213 +00000093 +fff00113 +7c20c863 +00120213 +00200293 +fe5216e3 +0b800193 +00000213 +00000093 +fff00113 +00000013 +7a20c663 +00120213 +00200293 +fe5214e3 +0b900193 +00000213 +00000093 +fff00113 +00000013 +00000013 +7820c263 +00120213 +00200293 +fe5212e3 +0ba00193 +00000213 +00000093 +00000013 +fff00113 +7620c063 +00120213 +00200293 +fe5214e3 +0bb00193 +00000213 +00000093 +00000013 +fff00113 +00000013 +7220cc63 +00120213 +00200293 +fe5212e3 +0bc00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +7020c863 +00120213 +00200293 +fe5212e3 +00100093 +00104a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0bd00193 +6dd09c63 +0be00193 +00000093 +00100113 +0020e663 +6c301263 +00301663 +fe20eee3 +6a301c63 +0bf00193 +ffe00093 +fff00113 +0020e663 +6a301263 +00301663 +fe20eee3 +68301c63 +0c000193 +00000093 +fff00113 +0020e663 +68301263 +00301663 +fe20eee3 +66301c63 +0c100193 +00100093 +00000113 +0020e463 +00301463 +66301063 +fe20eee3 +0c200193 +fff00093 +ffe00113 +0020e463 +00301463 +64301263 +fe20eee3 +0c300193 +fff00093 +00000113 +0020e463 +00301463 +62301463 +fe20eee3 +0c400193 +800000b7 +80000137 +fff10113 +0020e463 +00301463 +60301463 +fe20eee3 +0c500193 +00000213 +f00000b7 +f0000137 +fff10113 +5e20e663 +00120213 +00200293 +fe5214e3 +0c600193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +5c20e263 +00120213 +00200293 +fe5212e3 +0c700193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +5820ec63 +00120213 +00200293 +fe5210e3 +0c800193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +5620e863 +00120213 +00200293 +fe5212e3 +0c900193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +5420e263 +00120213 +00200293 +fe5210e3 +0ca00193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +5020ec63 +00120213 +00200293 +fe5210e3 +0cb00193 +00000213 +f00000b7 +f0000137 +fff10113 +4e20ea63 +00120213 +00200293 +fe5214e3 +0cc00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +4c20e663 +00120213 +00200293 +fe5212e3 +0cd00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +4a20e063 +00120213 +00200293 +fe5210e3 +0ce00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +4620ec63 +00120213 +00200293 +fe5212e3 +0cf00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +4420e663 +00120213 +00200293 +fe5210e3 +0d000193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +4220e063 +00120213 +00200293 +fe5210e3 +00100093 +00106a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0d100193 +3fd09463 +0d200193 +00000093 +00100113 +00209663 +3c301a63 +00301663 +fe209ee3 +3c301463 +0d300193 +00100093 +00000113 +00209663 +3a301a63 +00301663 +fe209ee3 +3a301463 +0d400193 +fff00093 +00100113 +00209663 +38301a63 +00301663 +fe209ee3 +38301463 +0d500193 +00100093 +fff00113 +00209663 +36301a63 +00301663 +fe209ee3 +36301463 +0d600193 +00000093 +00000113 +00209463 +00301463 +34301863 +fe209ee3 +0d700193 +00100093 +00100113 +00209463 +00301463 +32301a63 +fe209ee3 +0d800193 +fff00093 +fff00113 +00209463 +00301463 +30301c63 +fe209ee3 +0d900193 +00000213 +00000093 +00000113 +30209063 +00120213 +00200293 +fe5216e3 +0da00193 +00000213 +00000093 +00000113 +00000013 +2c209e63 +00120213 +00200293 +fe5214e3 +0db00193 +00000213 +00000093 +00000113 +00000013 +00000013 +2a209a63 +00120213 +00200293 +fe5212e3 +0dc00193 +00000213 +00000093 +00000013 +00000113 +28209863 +00120213 +00200293 +fe5214e3 +0dd00193 +00000213 +00000093 +00000013 +00000113 +00000013 +26209463 +00120213 +00200293 +fe5212e3 +0de00193 +00000213 +00000093 +00000013 +00000013 +00000113 +24209063 +00120213 +00200293 +fe5212e3 +0df00193 +00000213 +00000093 +00000113 +22209063 +00120213 +00200293 +fe5216e3 +0e000193 +00000213 +00000093 +00000113 +00000013 +1e209e63 +00120213 +00200293 +fe5214e3 +0e100193 +00000213 +00000093 +00000113 +00000013 +00000013 +1c209a63 +00120213 +00200293 +fe5212e3 +0e200193 +00000213 +00000093 +00000013 +00000113 +1a209863 +00120213 +00200293 +fe5214e3 +0e300193 +00000213 +00000093 +00000013 +00000113 +00000013 +18209463 +00120213 +00200293 +fe5212e3 +0e400193 +00000213 +00000093 +00000013 +00000013 +00000113 +16209063 +00120213 +00200293 +fe5212e3 +00100093 +00009a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0e500193 +13d09463 +00200193 +00000093 +0100026f +00000013 +00000013 +1100006f +00000317 +ff430313 +10431263 +00100093 +0140006f +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0e800193 +0dd09c63 +00200193 +00000293 +00000317 +01030313 +000302e7 +0c00006f +00000317 +ffc30313 +0a629a63 +0e900193 +00000213 +00000317 +01030313 +000309e7 +08301e63 +00120213 +00200293 +fe5214e3 +0ea00193 +00000213 +00000317 +01430313 +00000013 +000309e7 +06301a63 +00120213 +00200293 +fe5212e3 +0eb00193 +00000213 +00000317 +01830313 +00000013 +00000013 +000309e7 +04301463 +00120213 +00200293 +fe5210e3 +00100293 +00000317 +01c30313 +ffc30067 +00128293 +00128293 +00128293 +00128293 +00128293 +00128293 +00400e93 +0ec00193 +01d29463 +00301463 +00000a6f +00100193 +00000a6f diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt b/SIM-CPU/rv32i_test/b_asm.txt similarity index 97% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt rename to SIM-CPU/rv32i_test/b_asm.txt index 7aee09e..6652706 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt +++ b/SIM-CPU/rv32i_test/b_asm.txt @@ -1,3296 +1,3285 @@ - -2testAll.om: file format elf32-littleriscv - - -Disassembly of section .text: - -00010080 <_start>: - 10080: 00000013 nop - -00010084 : - 10084: 00004097 auipc ra,0x4 - 10088: acc08093 addi ra,ra,-1332 # 13b50 - 1008c: 00008f03 lb t5,0(ra) - 10090: fff00e93 li t4,-1 - 10094: 0ed00193 li gp,237 - 10098: 01df0463 beq t5,t4,100a0 - 1009c: 25d0206f j 12af8 - -000100a0 : - 100a0: 00004097 auipc ra,0x4 - 100a4: ab008093 addi ra,ra,-1360 # 13b50 - 100a8: 00108f03 lb t5,1(ra) - 100ac: 00000e93 li t4,0 - 100b0: 0ee00193 li gp,238 - 100b4: 01df0463 beq t5,t4,100bc - 100b8: 2410206f j 12af8 - -000100bc : - 100bc: 00004097 auipc ra,0x4 - 100c0: a9408093 addi ra,ra,-1388 # 13b50 - 100c4: 00208f03 lb t5,2(ra) - 100c8: ff000e93 li t4,-16 - 100cc: 0ef00193 li gp,239 - 100d0: 01df0463 beq t5,t4,100d8 - 100d4: 2250206f j 12af8 - -000100d8 : - 100d8: 00004097 auipc ra,0x4 - 100dc: a7808093 addi ra,ra,-1416 # 13b50 - 100e0: 00308f03 lb t5,3(ra) - 100e4: 00f00e93 li t4,15 - 100e8: 0f000193 li gp,240 - 100ec: 01df0463 beq t5,t4,100f4 - 100f0: 2090206f j 12af8 - -000100f4 : - 100f4: 00004097 auipc ra,0x4 - 100f8: a5f08093 addi ra,ra,-1441 # 13b53 - 100fc: ffd08f03 lb t5,-3(ra) - 10100: fff00e93 li t4,-1 - 10104: 0f100193 li gp,241 - 10108: 01df0463 beq t5,t4,10110 - 1010c: 1ed0206f j 12af8 - -00010110 : - 10110: 00004097 auipc ra,0x4 - 10114: a4308093 addi ra,ra,-1469 # 13b53 - 10118: ffe08f03 lb t5,-2(ra) - 1011c: 00000e93 li t4,0 - 10120: 0f200193 li gp,242 - 10124: 01df0463 beq t5,t4,1012c - 10128: 1d10206f j 12af8 - -0001012c : - 1012c: 00004097 auipc ra,0x4 - 10130: a2708093 addi ra,ra,-1497 # 13b53 - 10134: fff08f03 lb t5,-1(ra) - 10138: ff000e93 li t4,-16 - 1013c: 0f300193 li gp,243 - 10140: 01df0463 beq t5,t4,10148 - 10144: 1b50206f j 12af8 - -00010148 : - 10148: 00004097 auipc ra,0x4 - 1014c: a0b08093 addi ra,ra,-1525 # 13b53 - 10150: 00008f03 lb t5,0(ra) - 10154: 00f00e93 li t4,15 - 10158: 0f400193 li gp,244 - 1015c: 01df0463 beq t5,t4,10164 - 10160: 1990206f j 12af8 - -00010164 : - 10164: 00004097 auipc ra,0x4 - 10168: 9ec08093 addi ra,ra,-1556 # 13b50 - 1016c: fe008093 addi ra,ra,-32 - 10170: 02008283 lb t0,32(ra) - 10174: fff00e93 li t4,-1 - 10178: 0f500193 li gp,245 - 1017c: 01d28463 beq t0,t4,10184 - 10180: 1790206f j 12af8 - -00010184 : - 10184: 00004097 auipc ra,0x4 - 10188: 9cc08093 addi ra,ra,-1588 # 13b50 - 1018c: ffa08093 addi ra,ra,-6 - 10190: 00708283 lb t0,7(ra) - 10194: 00000e93 li t4,0 - 10198: 0f600193 li gp,246 - 1019c: 01d28463 beq t0,t4,101a4 - 101a0: 1590206f j 12af8 - -000101a4 : - 101a4: 0f700193 li gp,247 - 101a8: 00000213 li tp,0 - 101ac: 00004097 auipc ra,0x4 - 101b0: 9a508093 addi ra,ra,-1627 # 13b51 - 101b4: 00108f03 lb t5,1(ra) - 101b8: 000f0313 mv t1,t5 - 101bc: ff000e93 li t4,-16 - 101c0: 01d30463 beq t1,t4,101c8 - 101c4: 1350206f j 12af8 - 101c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 101cc: 00200293 li t0,2 - 101d0: fc521ee3 bne tp,t0,101ac - -000101d4 : - 101d4: 0f800193 li gp,248 - 101d8: 00000213 li tp,0 - 101dc: 00004097 auipc ra,0x4 - 101e0: 97608093 addi ra,ra,-1674 # 13b52 - 101e4: 00108f03 lb t5,1(ra) - 101e8: 00000013 nop - 101ec: 000f0313 mv t1,t5 - 101f0: 00f00e93 li t4,15 - 101f4: 01d30463 beq t1,t4,101fc - 101f8: 1010206f j 12af8 - 101fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10200: 00200293 li t0,2 - 10204: fc521ce3 bne tp,t0,101dc - -00010208 : - 10208: 0f900193 li gp,249 - 1020c: 00000213 li tp,0 - 10210: 00004097 auipc ra,0x4 - 10214: 94008093 addi ra,ra,-1728 # 13b50 - 10218: 00108f03 lb t5,1(ra) - 1021c: 00000013 nop - 10220: 00000013 nop - 10224: 000f0313 mv t1,t5 - 10228: 00000e93 li t4,0 - 1022c: 01d30463 beq t1,t4,10234 - 10230: 0c90206f j 12af8 - 10234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10238: 00200293 li t0,2 - 1023c: fc521ae3 bne tp,t0,10210 - -00010240 : - 10240: 0fa00193 li gp,250 - 10244: 00000213 li tp,0 - 10248: 00004097 auipc ra,0x4 - 1024c: 90908093 addi ra,ra,-1783 # 13b51 - 10250: 00108f03 lb t5,1(ra) - 10254: ff000e93 li t4,-16 - 10258: 01df0463 beq t5,t4,10260 - 1025c: 09d0206f j 12af8 - 10260: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10264: 00200293 li t0,2 - 10268: fe5210e3 bne tp,t0,10248 - -0001026c : - 1026c: 0fb00193 li gp,251 - 10270: 00000213 li tp,0 - 10274: 00004097 auipc ra,0x4 - 10278: 8de08093 addi ra,ra,-1826 # 13b52 - 1027c: 00000013 nop - 10280: 00108f03 lb t5,1(ra) - 10284: 00f00e93 li t4,15 - 10288: 01df0463 beq t5,t4,10290 - 1028c: 06d0206f j 12af8 - 10290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10294: 00200293 li t0,2 - 10298: fc521ee3 bne tp,t0,10274 - -0001029c : - 1029c: 0fc00193 li gp,252 - 102a0: 00000213 li tp,0 - 102a4: 00004097 auipc ra,0x4 - 102a8: 8ac08093 addi ra,ra,-1876 # 13b50 - 102ac: 00000013 nop - 102b0: 00000013 nop - 102b4: 00108f03 lb t5,1(ra) - 102b8: 00000e93 li t4,0 - 102bc: 01df0463 beq t5,t4,102c4 - 102c0: 0390206f j 12af8 - 102c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102c8: 00200293 li t0,2 - 102cc: fc521ce3 bne tp,t0,102a4 - -000102d0 : - 102d0: 00004297 auipc t0,0x4 - 102d4: 88028293 addi t0,t0,-1920 # 13b50 - 102d8: 00028103 lb sp,0(t0) - 102dc: 00200113 li sp,2 - 102e0: 00200e93 li t4,2 - 102e4: 0fd00193 li gp,253 - 102e8: 01d10463 beq sp,t4,102f0 - 102ec: 00d0206f j 12af8 - -000102f0 : - 102f0: 00004297 auipc t0,0x4 - 102f4: 86028293 addi t0,t0,-1952 # 13b50 - 102f8: 00028103 lb sp,0(t0) - 102fc: 00000013 nop - 10300: 00200113 li sp,2 - 10304: 00200e93 li t4,2 - 10308: 0fe00193 li gp,254 - 1030c: 01d10463 beq sp,t4,10314 - 10310: 7e80206f j 12af8 - -00010314 : - 10314: 00004097 auipc ra,0x4 - 10318: 83c08093 addi ra,ra,-1988 # 13b50 - 1031c: 0000cf03 lbu t5,0(ra) - 10320: 0ff00e93 li t4,255 - 10324: 0ff00193 li gp,255 - 10328: 01df0463 beq t5,t4,10330 - 1032c: 7cc0206f j 12af8 - -00010330 : - 10330: 00004097 auipc ra,0x4 - 10334: 82008093 addi ra,ra,-2016 # 13b50 - 10338: 0010cf03 lbu t5,1(ra) - 1033c: 00000e93 li t4,0 - 10340: 10000193 li gp,256 - 10344: 01df0463 beq t5,t4,1034c - 10348: 7b00206f j 12af8 - -0001034c : - 1034c: 00004097 auipc ra,0x4 - 10350: 80408093 addi ra,ra,-2044 # 13b50 - 10354: 0020cf03 lbu t5,2(ra) - 10358: 0f000e93 li t4,240 - 1035c: 10100193 li gp,257 - 10360: 01df0463 beq t5,t4,10368 - 10364: 7940206f j 12af8 - -00010368 : - 10368: 00003097 auipc ra,0x3 - 1036c: 7e808093 addi ra,ra,2024 # 13b50 - 10370: 0030cf03 lbu t5,3(ra) - 10374: 00f00e93 li t4,15 - 10378: 10200193 li gp,258 - 1037c: 01df0463 beq t5,t4,10384 - 10380: 7780206f j 12af8 - -00010384 : - 10384: 00003097 auipc ra,0x3 - 10388: 7cf08093 addi ra,ra,1999 # 13b53 - 1038c: ffd0cf03 lbu t5,-3(ra) - 10390: 0ff00e93 li t4,255 - 10394: 10300193 li gp,259 - 10398: 01df0463 beq t5,t4,103a0 - 1039c: 75c0206f j 12af8 - -000103a0 : - 103a0: 00003097 auipc ra,0x3 - 103a4: 7b308093 addi ra,ra,1971 # 13b53 - 103a8: ffe0cf03 lbu t5,-2(ra) - 103ac: 00000e93 li t4,0 - 103b0: 10400193 li gp,260 - 103b4: 01df0463 beq t5,t4,103bc - 103b8: 7400206f j 12af8 - -000103bc : - 103bc: 00003097 auipc ra,0x3 - 103c0: 79708093 addi ra,ra,1943 # 13b53 - 103c4: fff0cf03 lbu t5,-1(ra) - 103c8: 0f000e93 li t4,240 - 103cc: 10500193 li gp,261 - 103d0: 01df0463 beq t5,t4,103d8 - 103d4: 7240206f j 12af8 - -000103d8 : - 103d8: 00003097 auipc ra,0x3 - 103dc: 77b08093 addi ra,ra,1915 # 13b53 - 103e0: 0000cf03 lbu t5,0(ra) - 103e4: 00f00e93 li t4,15 - 103e8: 10600193 li gp,262 - 103ec: 01df0463 beq t5,t4,103f4 - 103f0: 7080206f j 12af8 - -000103f4 : - 103f4: 00003097 auipc ra,0x3 - 103f8: 75c08093 addi ra,ra,1884 # 13b50 - 103fc: fe008093 addi ra,ra,-32 - 10400: 0200c283 lbu t0,32(ra) - 10404: 0ff00e93 li t4,255 - 10408: 10700193 li gp,263 - 1040c: 01d28463 beq t0,t4,10414 - 10410: 6e80206f j 12af8 - -00010414 : - 10414: 00003097 auipc ra,0x3 - 10418: 73c08093 addi ra,ra,1852 # 13b50 - 1041c: ffa08093 addi ra,ra,-6 - 10420: 0070c283 lbu t0,7(ra) - 10424: 00000e93 li t4,0 - 10428: 10800193 li gp,264 - 1042c: 01d28463 beq t0,t4,10434 - 10430: 6c80206f j 12af8 - -00010434 : - 10434: 10900193 li gp,265 - 10438: 00000213 li tp,0 - 1043c: 00003097 auipc ra,0x3 - 10440: 71508093 addi ra,ra,1813 # 13b51 - 10444: 0010cf03 lbu t5,1(ra) - 10448: 000f0313 mv t1,t5 - 1044c: 0f000e93 li t4,240 - 10450: 01d30463 beq t1,t4,10458 - 10454: 6a40206f j 12af8 - 10458: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1045c: 00200293 li t0,2 - 10460: fc521ee3 bne tp,t0,1043c - -00010464 : - 10464: 10a00193 li gp,266 - 10468: 00000213 li tp,0 - 1046c: 00003097 auipc ra,0x3 - 10470: 6e608093 addi ra,ra,1766 # 13b52 - 10474: 0010cf03 lbu t5,1(ra) - 10478: 00000013 nop - 1047c: 000f0313 mv t1,t5 - 10480: 00f00e93 li t4,15 - 10484: 01d30463 beq t1,t4,1048c - 10488: 6700206f j 12af8 - 1048c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10490: 00200293 li t0,2 - 10494: fc521ce3 bne tp,t0,1046c - -00010498 : - 10498: 10b00193 li gp,267 - 1049c: 00000213 li tp,0 - 104a0: 00003097 auipc ra,0x3 - 104a4: 6b008093 addi ra,ra,1712 # 13b50 - 104a8: 0010cf03 lbu t5,1(ra) - 104ac: 00000013 nop - 104b0: 00000013 nop - 104b4: 000f0313 mv t1,t5 - 104b8: 00000e93 li t4,0 - 104bc: 01d30463 beq t1,t4,104c4 - 104c0: 6380206f j 12af8 - 104c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104c8: 00200293 li t0,2 - 104cc: fc521ae3 bne tp,t0,104a0 - -000104d0 : - 104d0: 10c00193 li gp,268 - 104d4: 00000213 li tp,0 - 104d8: 00003097 auipc ra,0x3 - 104dc: 67908093 addi ra,ra,1657 # 13b51 - 104e0: 0010cf03 lbu t5,1(ra) - 104e4: 0f000e93 li t4,240 - 104e8: 01df0463 beq t5,t4,104f0 - 104ec: 60c0206f j 12af8 - 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104f4: 00200293 li t0,2 - 104f8: fe5210e3 bne tp,t0,104d8 - -000104fc : - 104fc: 10d00193 li gp,269 - 10500: 00000213 li tp,0 - 10504: 00003097 auipc ra,0x3 - 10508: 64e08093 addi ra,ra,1614 # 13b52 - 1050c: 00000013 nop - 10510: 0010cf03 lbu t5,1(ra) - 10514: 00f00e93 li t4,15 - 10518: 01df0463 beq t5,t4,10520 - 1051c: 5dc0206f j 12af8 - 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10524: 00200293 li t0,2 - 10528: fc521ee3 bne tp,t0,10504 - -0001052c : - 1052c: 10e00193 li gp,270 - 10530: 00000213 li tp,0 - 10534: 00003097 auipc ra,0x3 - 10538: 61c08093 addi ra,ra,1564 # 13b50 - 1053c: 00000013 nop - 10540: 00000013 nop - 10544: 0010cf03 lbu t5,1(ra) - 10548: 00000e93 li t4,0 - 1054c: 01df0463 beq t5,t4,10554 - 10550: 5a80206f j 12af8 - 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10558: 00200293 li t0,2 - 1055c: fc521ce3 bne tp,t0,10534 - -00010560 : - 10560: 00003297 auipc t0,0x3 - 10564: 5f028293 addi t0,t0,1520 # 13b50 - 10568: 0002c103 lbu sp,0(t0) - 1056c: 00200113 li sp,2 - 10570: 00200e93 li t4,2 - 10574: 10f00193 li gp,271 - 10578: 01d10463 beq sp,t4,10580 - 1057c: 57c0206f j 12af8 - -00010580 : - 10580: 00003297 auipc t0,0x3 - 10584: 5d028293 addi t0,t0,1488 # 13b50 - 10588: 0002c103 lbu sp,0(t0) - 1058c: 00000013 nop - 10590: 00200113 li sp,2 - 10594: 00200e93 li t4,2 - 10598: 11000193 li gp,272 - 1059c: 01d10463 beq sp,t4,105a4 - 105a0: 5580206f j 12af8 - -000105a4 : - 105a4: 00003097 auipc ra,0x3 - 105a8: 5bc08093 addi ra,ra,1468 # 13b60 - 105ac: 00009f03 lh t5,0(ra) - 105b0: 0ff00e93 li t4,255 - 105b4: 11100193 li gp,273 - 105b8: 01df0463 beq t5,t4,105c0 - 105bc: 53c0206f j 12af8 - -000105c0 : - 105c0: 00003097 auipc ra,0x3 - 105c4: 5a008093 addi ra,ra,1440 # 13b60 - 105c8: 00209f03 lh t5,2(ra) - 105cc: f0000e93 li t4,-256 - 105d0: 11200193 li gp,274 - 105d4: 01df0463 beq t5,t4,105dc - 105d8: 5200206f j 12af8 - -000105dc : - 105dc: 00003097 auipc ra,0x3 - 105e0: 58408093 addi ra,ra,1412 # 13b60 - 105e4: 00409f03 lh t5,4(ra) - 105e8: 00001eb7 lui t4,0x1 - 105ec: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 105f0: 11300193 li gp,275 - 105f4: 01df0463 beq t5,t4,105fc - 105f8: 5000206f j 12af8 - -000105fc : - 105fc: 00003097 auipc ra,0x3 - 10600: 56408093 addi ra,ra,1380 # 13b60 - 10604: 00609f03 lh t5,6(ra) - 10608: fffffeb7 lui t4,0xfffff - 1060c: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 10610: 11400193 li gp,276 - 10614: 01df0463 beq t5,t4,1061c - 10618: 4e00206f j 12af8 - -0001061c : - 1061c: 00003097 auipc ra,0x3 - 10620: 54a08093 addi ra,ra,1354 # 13b66 - 10624: ffa09f03 lh t5,-6(ra) - 10628: 0ff00e93 li t4,255 - 1062c: 11500193 li gp,277 - 10630: 01df0463 beq t5,t4,10638 - 10634: 4c40206f j 12af8 - -00010638 : - 10638: 00003097 auipc ra,0x3 - 1063c: 52e08093 addi ra,ra,1326 # 13b66 - 10640: ffc09f03 lh t5,-4(ra) - 10644: f0000e93 li t4,-256 - 10648: 11600193 li gp,278 - 1064c: 01df0463 beq t5,t4,10654 - 10650: 4a80206f j 12af8 - -00010654 : - 10654: 00003097 auipc ra,0x3 - 10658: 51208093 addi ra,ra,1298 # 13b66 - 1065c: ffe09f03 lh t5,-2(ra) - 10660: 00001eb7 lui t4,0x1 - 10664: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10668: 11700193 li gp,279 - 1066c: 01df0463 beq t5,t4,10674 - 10670: 4880206f j 12af8 - -00010674 : - 10674: 00003097 auipc ra,0x3 - 10678: 4f208093 addi ra,ra,1266 # 13b66 - 1067c: 00009f03 lh t5,0(ra) - 10680: fffffeb7 lui t4,0xfffff - 10684: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 10688: 11800193 li gp,280 - 1068c: 01df0463 beq t5,t4,10694 - 10690: 4680206f j 12af8 - -00010694 : - 10694: 00003097 auipc ra,0x3 - 10698: 4cc08093 addi ra,ra,1228 # 13b60 - 1069c: fe008093 addi ra,ra,-32 - 106a0: 02009283 lh t0,32(ra) - 106a4: 0ff00e93 li t4,255 - 106a8: 11900193 li gp,281 - 106ac: 01d28463 beq t0,t4,106b4 - 106b0: 4480206f j 12af8 - -000106b4 : - 106b4: 00003097 auipc ra,0x3 - 106b8: 4ac08093 addi ra,ra,1196 # 13b60 - 106bc: ffb08093 addi ra,ra,-5 - 106c0: 00709283 lh t0,7(ra) - 106c4: f0000e93 li t4,-256 - 106c8: 11a00193 li gp,282 - 106cc: 01d28463 beq t0,t4,106d4 - 106d0: 4280206f j 12af8 - -000106d4 : - 106d4: 11b00193 li gp,283 - 106d8: 00000213 li tp,0 - 106dc: 00003097 auipc ra,0x3 - 106e0: 48608093 addi ra,ra,1158 # 13b62 - 106e4: 00209f03 lh t5,2(ra) - 106e8: 000f0313 mv t1,t5 - 106ec: 00001eb7 lui t4,0x1 - 106f0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 106f4: 01d30463 beq t1,t4,106fc - 106f8: 4000206f j 12af8 - 106fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10700: 00200293 li t0,2 - 10704: fc521ce3 bne tp,t0,106dc - -00010708 : - 10708: 11c00193 li gp,284 - 1070c: 00000213 li tp,0 - 10710: 00003097 auipc ra,0x3 - 10714: 45408093 addi ra,ra,1108 # 13b64 - 10718: 00209f03 lh t5,2(ra) - 1071c: 00000013 nop - 10720: 000f0313 mv t1,t5 - 10724: fffffeb7 lui t4,0xfffff - 10728: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 1072c: 01d30463 beq t1,t4,10734 - 10730: 3c80206f j 12af8 - 10734: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10738: 00200293 li t0,2 - 1073c: fc521ae3 bne tp,t0,10710 - -00010740 : - 10740: 11d00193 li gp,285 - 10744: 00000213 li tp,0 - 10748: 00003097 auipc ra,0x3 - 1074c: 41808093 addi ra,ra,1048 # 13b60 - 10750: 00209f03 lh t5,2(ra) - 10754: 00000013 nop - 10758: 00000013 nop - 1075c: 000f0313 mv t1,t5 - 10760: f0000e93 li t4,-256 - 10764: 01d30463 beq t1,t4,1076c - 10768: 3900206f j 12af8 - 1076c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10770: 00200293 li t0,2 - 10774: fc521ae3 bne tp,t0,10748 - -00010778 : - 10778: 11e00193 li gp,286 - 1077c: 00000213 li tp,0 - 10780: 00003097 auipc ra,0x3 - 10784: 3e208093 addi ra,ra,994 # 13b62 - 10788: 00209f03 lh t5,2(ra) - 1078c: 00001eb7 lui t4,0x1 - 10790: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10794: 01df0463 beq t5,t4,1079c - 10798: 3600206f j 12af8 - 1079c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107a0: 00200293 li t0,2 - 107a4: fc521ee3 bne tp,t0,10780 - -000107a8 : - 107a8: 11f00193 li gp,287 - 107ac: 00000213 li tp,0 - 107b0: 00003097 auipc ra,0x3 - 107b4: 3b408093 addi ra,ra,948 # 13b64 - 107b8: 00000013 nop - 107bc: 00209f03 lh t5,2(ra) - 107c0: fffffeb7 lui t4,0xfffff - 107c4: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 107c8: 01df0463 beq t5,t4,107d0 - 107cc: 32c0206f j 12af8 - 107d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107d4: 00200293 li t0,2 - 107d8: fc521ce3 bne tp,t0,107b0 - -000107dc : - 107dc: 12000193 li gp,288 - 107e0: 00000213 li tp,0 - 107e4: 00003097 auipc ra,0x3 - 107e8: 37c08093 addi ra,ra,892 # 13b60 - 107ec: 00000013 nop - 107f0: 00000013 nop - 107f4: 00209f03 lh t5,2(ra) - 107f8: f0000e93 li t4,-256 - 107fc: 01df0463 beq t5,t4,10804 - 10800: 2f80206f j 12af8 - 10804: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10808: 00200293 li t0,2 - 1080c: fc521ce3 bne tp,t0,107e4 - -00010810 : - 10810: 00003297 auipc t0,0x3 - 10814: 35028293 addi t0,t0,848 # 13b60 - 10818: 00029103 lh sp,0(t0) - 1081c: 00200113 li sp,2 - 10820: 00200e93 li t4,2 - 10824: 12100193 li gp,289 - 10828: 01d10463 beq sp,t4,10830 - 1082c: 2cc0206f j 12af8 - -00010830 : - 10830: 00003297 auipc t0,0x3 - 10834: 33028293 addi t0,t0,816 # 13b60 - 10838: 00029103 lh sp,0(t0) - 1083c: 00000013 nop - 10840: 00200113 li sp,2 - 10844: 00200e93 li t4,2 - 10848: 12200193 li gp,290 - 1084c: 01d10463 beq sp,t4,10854 - 10850: 2a80206f j 12af8 - -00010854 : - 10854: 00003097 auipc ra,0x3 - 10858: 30c08093 addi ra,ra,780 # 13b60 - 1085c: 0000df03 lhu t5,0(ra) - 10860: 0ff00e93 li t4,255 - 10864: 12300193 li gp,291 - 10868: 01df0463 beq t5,t4,10870 - 1086c: 28c0206f j 12af8 - -00010870 : - 10870: 00003097 auipc ra,0x3 - 10874: 2f008093 addi ra,ra,752 # 13b60 - 10878: 0020df03 lhu t5,2(ra) - 1087c: 00010eb7 lui t4,0x10 - 10880: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10884: 12400193 li gp,292 - 10888: 01df0463 beq t5,t4,10890 - 1088c: 26c0206f j 12af8 - -00010890 : - 10890: 00003097 auipc ra,0x3 - 10894: 2d008093 addi ra,ra,720 # 13b60 - 10898: 0040df03 lhu t5,4(ra) - 1089c: 00001eb7 lui t4,0x1 - 108a0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 108a4: 12500193 li gp,293 - 108a8: 01df0463 beq t5,t4,108b0 - 108ac: 24c0206f j 12af8 - -000108b0 : - 108b0: 00003097 auipc ra,0x3 - 108b4: 2b008093 addi ra,ra,688 # 13b60 - 108b8: 0060df03 lhu t5,6(ra) - 108bc: 0000feb7 lui t4,0xf - 108c0: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 108c4: 12600193 li gp,294 - 108c8: 01df0463 beq t5,t4,108d0 - 108cc: 22c0206f j 12af8 - -000108d0 : - 108d0: 00003097 auipc ra,0x3 - 108d4: 29608093 addi ra,ra,662 # 13b66 - 108d8: ffa0df03 lhu t5,-6(ra) - 108dc: 0ff00e93 li t4,255 - 108e0: 12700193 li gp,295 - 108e4: 01df0463 beq t5,t4,108ec - 108e8: 2100206f j 12af8 - -000108ec : - 108ec: 00003097 auipc ra,0x3 - 108f0: 27a08093 addi ra,ra,634 # 13b66 - 108f4: ffc0df03 lhu t5,-4(ra) - 108f8: 00010eb7 lui t4,0x10 - 108fc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10900: 12800193 li gp,296 - 10904: 01df0463 beq t5,t4,1090c - 10908: 1f00206f j 12af8 - -0001090c : - 1090c: 00003097 auipc ra,0x3 - 10910: 25a08093 addi ra,ra,602 # 13b66 - 10914: ffe0df03 lhu t5,-2(ra) - 10918: 00001eb7 lui t4,0x1 - 1091c: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10920: 12900193 li gp,297 - 10924: 01df0463 beq t5,t4,1092c - 10928: 1d00206f j 12af8 - -0001092c : - 1092c: 00003097 auipc ra,0x3 - 10930: 23a08093 addi ra,ra,570 # 13b66 - 10934: 0000df03 lhu t5,0(ra) - 10938: 0000feb7 lui t4,0xf - 1093c: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 10940: 12a00193 li gp,298 - 10944: 01df0463 beq t5,t4,1094c - 10948: 1b00206f j 12af8 - -0001094c : - 1094c: 00003097 auipc ra,0x3 - 10950: 21408093 addi ra,ra,532 # 13b60 - 10954: fe008093 addi ra,ra,-32 - 10958: 0200d283 lhu t0,32(ra) - 1095c: 0ff00e93 li t4,255 - 10960: 12b00193 li gp,299 - 10964: 01d28463 beq t0,t4,1096c - 10968: 1900206f j 12af8 - -0001096c : - 1096c: 00003097 auipc ra,0x3 - 10970: 1f408093 addi ra,ra,500 # 13b60 - 10974: ffb08093 addi ra,ra,-5 - 10978: 0070d283 lhu t0,7(ra) - 1097c: 00010eb7 lui t4,0x10 - 10980: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10984: 12c00193 li gp,300 - 10988: 01d28463 beq t0,t4,10990 - 1098c: 16c0206f j 12af8 - -00010990 : - 10990: 12d00193 li gp,301 - 10994: 00000213 li tp,0 - 10998: 00003097 auipc ra,0x3 - 1099c: 1ca08093 addi ra,ra,458 # 13b62 - 109a0: 0020df03 lhu t5,2(ra) - 109a4: 000f0313 mv t1,t5 - 109a8: 00001eb7 lui t4,0x1 - 109ac: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 109b0: 01d30463 beq t1,t4,109b8 - 109b4: 1440206f j 12af8 - 109b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109bc: 00200293 li t0,2 - 109c0: fc521ce3 bne tp,t0,10998 - -000109c4 : - 109c4: 12e00193 li gp,302 - 109c8: 00000213 li tp,0 - 109cc: 00003097 auipc ra,0x3 - 109d0: 19808093 addi ra,ra,408 # 13b64 - 109d4: 0020df03 lhu t5,2(ra) - 109d8: 00000013 nop - 109dc: 000f0313 mv t1,t5 - 109e0: 0000feb7 lui t4,0xf - 109e4: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 109e8: 01d30463 beq t1,t4,109f0 - 109ec: 10c0206f j 12af8 - 109f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109f4: 00200293 li t0,2 - 109f8: fc521ae3 bne tp,t0,109cc - -000109fc : - 109fc: 12f00193 li gp,303 - 10a00: 00000213 li tp,0 - 10a04: 00003097 auipc ra,0x3 - 10a08: 15c08093 addi ra,ra,348 # 13b60 - 10a0c: 0020df03 lhu t5,2(ra) - 10a10: 00000013 nop - 10a14: 00000013 nop - 10a18: 000f0313 mv t1,t5 - 10a1c: 00010eb7 lui t4,0x10 - 10a20: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10a24: 01d30463 beq t1,t4,10a2c - 10a28: 0d00206f j 12af8 - 10a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a30: 00200293 li t0,2 - 10a34: fc5218e3 bne tp,t0,10a04 - -00010a38 : - 10a38: 13000193 li gp,304 - 10a3c: 00000213 li tp,0 - 10a40: 00003097 auipc ra,0x3 - 10a44: 12208093 addi ra,ra,290 # 13b62 - 10a48: 0020df03 lhu t5,2(ra) - 10a4c: 00001eb7 lui t4,0x1 - 10a50: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10a54: 01df0463 beq t5,t4,10a5c - 10a58: 0a00206f j 12af8 - 10a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a60: 00200293 li t0,2 - 10a64: fc521ee3 bne tp,t0,10a40 - -00010a68 : - 10a68: 13100193 li gp,305 - 10a6c: 00000213 li tp,0 - 10a70: 00003097 auipc ra,0x3 - 10a74: 0f408093 addi ra,ra,244 # 13b64 - 10a78: 00000013 nop - 10a7c: 0020df03 lhu t5,2(ra) - 10a80: 0000feb7 lui t4,0xf - 10a84: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 10a88: 01df0463 beq t5,t4,10a90 - 10a8c: 06c0206f j 12af8 - 10a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a94: 00200293 li t0,2 - 10a98: fc521ce3 bne tp,t0,10a70 - -00010a9c : - 10a9c: 13200193 li gp,306 - 10aa0: 00000213 li tp,0 - 10aa4: 00003097 auipc ra,0x3 - 10aa8: 0bc08093 addi ra,ra,188 # 13b60 - 10aac: 00000013 nop - 10ab0: 00000013 nop - 10ab4: 0020df03 lhu t5,2(ra) - 10ab8: 00010eb7 lui t4,0x10 - 10abc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10ac0: 01df0463 beq t5,t4,10ac8 - 10ac4: 0340206f j 12af8 - 10ac8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10acc: 00200293 li t0,2 - 10ad0: fc521ae3 bne tp,t0,10aa4 - -00010ad4 : - 10ad4: 00003297 auipc t0,0x3 - 10ad8: 08c28293 addi t0,t0,140 # 13b60 - 10adc: 0002d103 lhu sp,0(t0) - 10ae0: 00200113 li sp,2 - 10ae4: 00200e93 li t4,2 - 10ae8: 13300193 li gp,307 - 10aec: 01d10463 beq sp,t4,10af4 - 10af0: 0080206f j 12af8 - -00010af4 : - 10af4: 00003297 auipc t0,0x3 - 10af8: 06c28293 addi t0,t0,108 # 13b60 - 10afc: 0002d103 lhu sp,0(t0) - 10b00: 00000013 nop - 10b04: 00200113 li sp,2 - 10b08: 00200e93 li t4,2 - 10b0c: 13400193 li gp,308 - 10b10: 01d10463 beq sp,t4,10b18 - 10b14: 7e50106f j 12af8 - -00010b18 : - 10b18: 000000b7 lui ra,0x0 - 10b1c: 00000e93 li t4,0 - 10b20: 13500193 li gp,309 - 10b24: 01d08463 beq ra,t4,10b2c - 10b28: 7d10106f j 12af8 - -00010b2c : - 10b2c: fffff0b7 lui ra,0xfffff - 10b30: 4010d093 srai ra,ra,0x1 - 10b34: 80000e93 li t4,-2048 - 10b38: 13600193 li gp,310 - 10b3c: 01d08463 beq ra,t4,10b44 - 10b40: 7b90106f j 12af8 - -00010b44 : - 10b44: 7ffff0b7 lui ra,0x7ffff - 10b48: 4140d093 srai ra,ra,0x14 - 10b4c: 7ff00e93 li t4,2047 - 10b50: 13700193 li gp,311 - 10b54: 01d08463 beq ra,t4,10b5c - 10b58: 7a10106f j 12af8 - -00010b5c : - 10b5c: 800000b7 lui ra,0x80000 - 10b60: 4140d093 srai ra,ra,0x14 - 10b64: 80000e93 li t4,-2048 - 10b68: 13800193 li gp,312 - 10b6c: 01d08463 beq ra,t4,10b74 - 10b70: 7890106f j 12af8 - -00010b74 : - 10b74: 80000037 lui zero,0x80000 - 10b78: 00000e93 li t4,0 - 10b7c: 13900193 li gp,313 - 10b80: 01d00463 beq zero,t4,10b88 - 10b84: 7750106f j 12af8 - -00010b88 : - 10b88: 00003097 auipc ra,0x3 - 10b8c: ff808093 addi ra,ra,-8 # 13b80 - 10b90: 0000af03 lw t5,0(ra) - 10b94: 00ff0eb7 lui t4,0xff0 - 10b98: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10b9c: 13a00193 li gp,314 - 10ba0: 01df0463 beq t5,t4,10ba8 - 10ba4: 7550106f j 12af8 - -00010ba8 : - 10ba8: 00003097 auipc ra,0x3 - 10bac: fd808093 addi ra,ra,-40 # 13b80 - 10bb0: 0040af03 lw t5,4(ra) - 10bb4: ff010eb7 lui t4,0xff010 - 10bb8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10bbc: 13b00193 li gp,315 - 10bc0: 01df0463 beq t5,t4,10bc8 - 10bc4: 7350106f j 12af8 - -00010bc8 : - 10bc8: 00003097 auipc ra,0x3 - 10bcc: fb808093 addi ra,ra,-72 # 13b80 - 10bd0: 0080af03 lw t5,8(ra) - 10bd4: 0ff01eb7 lui t4,0xff01 - 10bd8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10bdc: 13c00193 li gp,316 - 10be0: 01df0463 beq t5,t4,10be8 - 10be4: 7150106f j 12af8 - -00010be8 : - 10be8: 00003097 auipc ra,0x3 - 10bec: f9808093 addi ra,ra,-104 # 13b80 - 10bf0: 00c0af03 lw t5,12(ra) - 10bf4: f00ffeb7 lui t4,0xf00ff - 10bf8: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10bfc: 13d00193 li gp,317 - 10c00: 01df0463 beq t5,t4,10c08 - 10c04: 6f50106f j 12af8 - -00010c08 : - 10c08: 00003097 auipc ra,0x3 - 10c0c: f8408093 addi ra,ra,-124 # 13b8c - 10c10: ff40af03 lw t5,-12(ra) - 10c14: 00ff0eb7 lui t4,0xff0 - 10c18: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10c1c: 13e00193 li gp,318 - 10c20: 01df0463 beq t5,t4,10c28 - 10c24: 6d50106f j 12af8 - -00010c28 : - 10c28: 00003097 auipc ra,0x3 - 10c2c: f6408093 addi ra,ra,-156 # 13b8c - 10c30: ff80af03 lw t5,-8(ra) - 10c34: ff010eb7 lui t4,0xff010 - 10c38: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10c3c: 13f00193 li gp,319 - 10c40: 01df0463 beq t5,t4,10c48 - 10c44: 6b50106f j 12af8 - -00010c48 : - 10c48: 00003097 auipc ra,0x3 - 10c4c: f4408093 addi ra,ra,-188 # 13b8c - 10c50: ffc0af03 lw t5,-4(ra) - 10c54: 0ff01eb7 lui t4,0xff01 - 10c58: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10c5c: 14000193 li gp,320 - 10c60: 01df0463 beq t5,t4,10c68 - 10c64: 6950106f j 12af8 - -00010c68 : - 10c68: 00003097 auipc ra,0x3 - 10c6c: f2408093 addi ra,ra,-220 # 13b8c - 10c70: 0000af03 lw t5,0(ra) - 10c74: f00ffeb7 lui t4,0xf00ff - 10c78: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10c7c: 14100193 li gp,321 - 10c80: 01df0463 beq t5,t4,10c88 - 10c84: 6750106f j 12af8 - -00010c88 : - 10c88: 00003097 auipc ra,0x3 - 10c8c: ef808093 addi ra,ra,-264 # 13b80 - 10c90: fe008093 addi ra,ra,-32 - 10c94: 0200a283 lw t0,32(ra) - 10c98: 00ff0eb7 lui t4,0xff0 - 10c9c: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10ca0: 14200193 li gp,322 - 10ca4: 01d28463 beq t0,t4,10cac - 10ca8: 6510106f j 12af8 - -00010cac : - 10cac: 00003097 auipc ra,0x3 - 10cb0: ed408093 addi ra,ra,-300 # 13b80 - 10cb4: ffd08093 addi ra,ra,-3 - 10cb8: 0070a283 lw t0,7(ra) - 10cbc: ff010eb7 lui t4,0xff010 - 10cc0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10cc4: 14300193 li gp,323 - 10cc8: 01d28463 beq t0,t4,10cd0 - 10ccc: 62d0106f j 12af8 - -00010cd0 : - 10cd0: 14400193 li gp,324 - 10cd4: 00000213 li tp,0 - 10cd8: 00003097 auipc ra,0x3 - 10cdc: eac08093 addi ra,ra,-340 # 13b84 - 10ce0: 0040af03 lw t5,4(ra) - 10ce4: 000f0313 mv t1,t5 - 10ce8: 0ff01eb7 lui t4,0xff01 - 10cec: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10cf0: 01d30463 beq t1,t4,10cf8 - 10cf4: 6050106f j 12af8 - 10cf8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10cfc: 00200293 li t0,2 - 10d00: fc521ce3 bne tp,t0,10cd8 - -00010d04 : - 10d04: 14500193 li gp,325 - 10d08: 00000213 li tp,0 - 10d0c: 00003097 auipc ra,0x3 - 10d10: e7c08093 addi ra,ra,-388 # 13b88 - 10d14: 0040af03 lw t5,4(ra) - 10d18: 00000013 nop - 10d1c: 000f0313 mv t1,t5 - 10d20: f00ffeb7 lui t4,0xf00ff - 10d24: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10d28: 01d30463 beq t1,t4,10d30 - 10d2c: 5cd0106f j 12af8 - 10d30: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d34: 00200293 li t0,2 - 10d38: fc521ae3 bne tp,t0,10d0c - -00010d3c : - 10d3c: 14600193 li gp,326 - 10d40: 00000213 li tp,0 - 10d44: 00003097 auipc ra,0x3 - 10d48: e3c08093 addi ra,ra,-452 # 13b80 - 10d4c: 0040af03 lw t5,4(ra) - 10d50: 00000013 nop - 10d54: 00000013 nop - 10d58: 000f0313 mv t1,t5 - 10d5c: ff010eb7 lui t4,0xff010 - 10d60: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10d64: 01d30463 beq t1,t4,10d6c - 10d68: 5910106f j 12af8 - 10d6c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d70: 00200293 li t0,2 - 10d74: fc5218e3 bne tp,t0,10d44 - -00010d78 : - 10d78: 14700193 li gp,327 - 10d7c: 00000213 li tp,0 - 10d80: 00003097 auipc ra,0x3 - 10d84: e0408093 addi ra,ra,-508 # 13b84 - 10d88: 0040af03 lw t5,4(ra) - 10d8c: 0ff01eb7 lui t4,0xff01 - 10d90: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10d94: 01df0463 beq t5,t4,10d9c - 10d98: 5610106f j 12af8 - 10d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10da0: 00200293 li t0,2 - 10da4: fc521ee3 bne tp,t0,10d80 - -00010da8 : - 10da8: 14800193 li gp,328 - 10dac: 00000213 li tp,0 - 10db0: 00003097 auipc ra,0x3 - 10db4: dd808093 addi ra,ra,-552 # 13b88 - 10db8: 00000013 nop - 10dbc: 0040af03 lw t5,4(ra) - 10dc0: f00ffeb7 lui t4,0xf00ff - 10dc4: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10dc8: 01df0463 beq t5,t4,10dd0 - 10dcc: 52d0106f j 12af8 - 10dd0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10dd4: 00200293 li t0,2 - 10dd8: fc521ce3 bne tp,t0,10db0 - -00010ddc : - 10ddc: 14900193 li gp,329 - 10de0: 00000213 li tp,0 - 10de4: 00003097 auipc ra,0x3 - 10de8: d9c08093 addi ra,ra,-612 # 13b80 - 10dec: 00000013 nop - 10df0: 00000013 nop - 10df4: 0040af03 lw t5,4(ra) - 10df8: ff010eb7 lui t4,0xff010 - 10dfc: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10e00: 01df0463 beq t5,t4,10e08 - 10e04: 4f50106f j 12af8 - 10e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10e0c: 00200293 li t0,2 - 10e10: fc521ae3 bne tp,t0,10de4 - -00010e14 : - 10e14: 00003297 auipc t0,0x3 - 10e18: d6c28293 addi t0,t0,-660 # 13b80 - 10e1c: 0002a103 lw sp,0(t0) - 10e20: 00200113 li sp,2 - 10e24: 00200e93 li t4,2 - 10e28: 14a00193 li gp,330 - 10e2c: 01d10463 beq sp,t4,10e34 - 10e30: 4c90106f j 12af8 - -00010e34 : - 10e34: 00003297 auipc t0,0x3 - 10e38: d4c28293 addi t0,t0,-692 # 13b80 - 10e3c: 0002a103 lw sp,0(t0) - 10e40: 00000013 nop - 10e44: 00200113 li sp,2 - 10e48: 00200e93 li t4,2 - 10e4c: 14b00193 li gp,331 - 10e50: 01d10463 beq sp,t4,10e58 - 10e54: 4a50106f j 12af8 - -00010e58 : - 10e58: ff0100b7 lui ra,0xff010 - 10e5c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10e60: 0f0f1137 lui sp,0xf0f1 - 10e64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10e68: 0020ef33 or t5,ra,sp - 10e6c: ff100eb7 lui t4,0xff100 - 10e70: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10e74: 14c00193 li gp,332 - 10e78: 01df0463 beq t5,t4,10e80 - 10e7c: 47d0106f j 12af8 - -00010e80 : - 10e80: 0ff010b7 lui ra,0xff01 - 10e84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10e88: f0f0f137 lui sp,0xf0f0f - 10e8c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 10e90: 0020ef33 or t5,ra,sp - 10e94: fff10eb7 lui t4,0xfff10 - 10e98: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 10e9c: 14d00193 li gp,333 - 10ea0: 01df0463 beq t5,t4,10ea8 - 10ea4: 4550106f j 12af8 - -00010ea8 : - 10ea8: 00ff00b7 lui ra,0xff0 - 10eac: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10eb0: 0f0f1137 lui sp,0xf0f1 - 10eb4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10eb8: 0020ef33 or t5,ra,sp - 10ebc: 0fff1eb7 lui t4,0xfff1 - 10ec0: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 10ec4: 14e00193 li gp,334 - 10ec8: 01df0463 beq t5,t4,10ed0 - 10ecc: 42d0106f j 12af8 - -00010ed0 : - 10ed0: f00ff0b7 lui ra,0xf00ff - 10ed4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10ed8: f0f0f137 lui sp,0xf0f0f - 10edc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 10ee0: 0020ef33 or t5,ra,sp - 10ee4: f0fffeb7 lui t4,0xf0fff - 10ee8: 0ffe8e93 addi t4,t4,255 # f0fff0ff <__global_pointer$+0xf0feacb7> - 10eec: 14f00193 li gp,335 - 10ef0: 01df0463 beq t5,t4,10ef8 - 10ef4: 4050106f j 12af8 - -00010ef8 : - 10ef8: ff0100b7 lui ra,0xff010 - 10efc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f00: 0f0f1137 lui sp,0xf0f1 - 10f04: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10f08: 0020e0b3 or ra,ra,sp - 10f0c: ff100eb7 lui t4,0xff100 - 10f10: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10f14: 15000193 li gp,336 - 10f18: 01d08463 beq ra,t4,10f20 - 10f1c: 3dd0106f j 12af8 - -00010f20 : - 10f20: ff0100b7 lui ra,0xff010 - 10f24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f28: 0f0f1137 lui sp,0xf0f1 - 10f2c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10f30: 0020e133 or sp,ra,sp - 10f34: ff100eb7 lui t4,0xff100 - 10f38: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10f3c: 15100193 li gp,337 - 10f40: 01d10463 beq sp,t4,10f48 - 10f44: 3b50106f j 12af8 - -00010f48 : - 10f48: ff0100b7 lui ra,0xff010 - 10f4c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f50: 0010e0b3 or ra,ra,ra - 10f54: ff010eb7 lui t4,0xff010 - 10f58: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f5c: 15200193 li gp,338 - 10f60: 01d08463 beq ra,t4,10f68 - 10f64: 3950106f j 12af8 - -00010f68 : - 10f68: 00000213 li tp,0 - 10f6c: ff0100b7 lui ra,0xff010 - 10f70: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f74: 0f0f1137 lui sp,0xf0f1 - 10f78: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10f7c: 0020ef33 or t5,ra,sp - 10f80: 000f0313 mv t1,t5 - 10f84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f88: 00200293 li t0,2 - 10f8c: fe5210e3 bne tp,t0,10f6c - 10f90: ff100eb7 lui t4,0xff100 - 10f94: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10f98: 15300193 li gp,339 - 10f9c: 01d30463 beq t1,t4,10fa4 - 10fa0: 3590106f j 12af8 - -00010fa4 : - 10fa4: 00000213 li tp,0 - 10fa8: 0ff010b7 lui ra,0xff01 - 10fac: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10fb0: f0f0f137 lui sp,0xf0f0f - 10fb4: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 10fb8: 0020ef33 or t5,ra,sp - 10fbc: 00000013 nop - 10fc0: 000f0313 mv t1,t5 - 10fc4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10fc8: 00200293 li t0,2 - 10fcc: fc521ee3 bne tp,t0,10fa8 - 10fd0: fff10eb7 lui t4,0xfff10 - 10fd4: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 10fd8: 15400193 li gp,340 - 10fdc: 01d30463 beq t1,t4,10fe4 - 10fe0: 3190106f j 12af8 - -00010fe4 : - 10fe4: 00000213 li tp,0 - 10fe8: 00ff00b7 lui ra,0xff0 - 10fec: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10ff0: 0f0f1137 lui sp,0xf0f1 - 10ff4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10ff8: 0020ef33 or t5,ra,sp - 10ffc: 00000013 nop - 11000: 00000013 nop - 11004: 000f0313 mv t1,t5 - 11008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1100c: 00200293 li t0,2 - 11010: fc521ce3 bne tp,t0,10fe8 - 11014: 0fff1eb7 lui t4,0xfff1 - 11018: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 1101c: 15500193 li gp,341 - 11020: 01d30463 beq t1,t4,11028 - 11024: 2d50106f j 12af8 - -00011028 : - 11028: 00000213 li tp,0 - 1102c: ff0100b7 lui ra,0xff010 - 11030: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11034: 0f0f1137 lui sp,0xf0f1 - 11038: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 1103c: 0020ef33 or t5,ra,sp - 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11044: 00200293 li t0,2 - 11048: fe5212e3 bne tp,t0,1102c - 1104c: ff100eb7 lui t4,0xff100 - 11050: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 11054: 15600193 li gp,342 - 11058: 01df0463 beq t5,t4,11060 - 1105c: 29d0106f j 12af8 - -00011060 : - 11060: 00000213 li tp,0 - 11064: 0ff010b7 lui ra,0xff01 - 11068: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 1106c: f0f0f137 lui sp,0xf0f0f - 11070: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 11074: 00000013 nop - 11078: 0020ef33 or t5,ra,sp - 1107c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11080: 00200293 li t0,2 - 11084: fe5210e3 bne tp,t0,11064 - 11088: fff10eb7 lui t4,0xfff10 - 1108c: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 11090: 15700193 li gp,343 - 11094: 01df0463 beq t5,t4,1109c - 11098: 2610106f j 12af8 - -0001109c : - 1109c: 00000213 li tp,0 - 110a0: 00ff00b7 lui ra,0xff0 - 110a4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 110a8: 0f0f1137 lui sp,0xf0f1 - 110ac: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 110b0: 00000013 nop - 110b4: 00000013 nop - 110b8: 0020ef33 or t5,ra,sp - 110bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110c0: 00200293 li t0,2 - 110c4: fc521ee3 bne tp,t0,110a0 - 110c8: 0fff1eb7 lui t4,0xfff1 - 110cc: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 110d0: 15800193 li gp,344 - 110d4: 01df0463 beq t5,t4,110dc - 110d8: 2210106f j 12af8 - -000110dc : - 110dc: 00000213 li tp,0 - 110e0: ff0100b7 lui ra,0xff010 - 110e4: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 110e8: 00000013 nop - 110ec: 0f0f1137 lui sp,0xf0f1 - 110f0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 110f4: 0020ef33 or t5,ra,sp - 110f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110fc: 00200293 li t0,2 - 11100: fe5210e3 bne tp,t0,110e0 - 11104: ff100eb7 lui t4,0xff100 - 11108: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 1110c: 15900193 li gp,345 - 11110: 01df0463 beq t5,t4,11118 - 11114: 1e50106f j 12af8 - -00011118 : - 11118: 00000213 li tp,0 - 1111c: 0ff010b7 lui ra,0xff01 - 11120: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 11124: 00000013 nop - 11128: f0f0f137 lui sp,0xf0f0f - 1112c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 11130: 00000013 nop - 11134: 0020ef33 or t5,ra,sp - 11138: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1113c: 00200293 li t0,2 - 11140: fc521ee3 bne tp,t0,1111c - 11144: fff10eb7 lui t4,0xfff10 - 11148: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 1114c: 15a00193 li gp,346 - 11150: 01df0463 beq t5,t4,11158 - 11154: 1a50106f j 12af8 - -00011158 : - 11158: 00000213 li tp,0 - 1115c: 00ff00b7 lui ra,0xff0 - 11160: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11164: 00000013 nop - 11168: 00000013 nop - 1116c: 0f0f1137 lui sp,0xf0f1 - 11170: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 11174: 0020ef33 or t5,ra,sp - 11178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1117c: 00200293 li t0,2 - 11180: fc521ee3 bne tp,t0,1115c - 11184: 0fff1eb7 lui t4,0xfff1 - 11188: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 1118c: 15b00193 li gp,347 - 11190: 01df0463 beq t5,t4,11198 - 11194: 1650106f j 12af8 - -00011198 : - 11198: 00000213 li tp,0 - 1119c: 0f0f1137 lui sp,0xf0f1 - 111a0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 111a4: ff0100b7 lui ra,0xff010 - 111a8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 111ac: 0020ef33 or t5,ra,sp - 111b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111b4: 00200293 li t0,2 - 111b8: fe5212e3 bne tp,t0,1119c - 111bc: ff100eb7 lui t4,0xff100 - 111c0: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 111c4: 15c00193 li gp,348 - 111c8: 01df0463 beq t5,t4,111d0 - 111cc: 12d0106f j 12af8 - -000111d0 : - 111d0: 00000213 li tp,0 - 111d4: f0f0f137 lui sp,0xf0f0f - 111d8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 111dc: 0ff010b7 lui ra,0xff01 - 111e0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 111e4: 00000013 nop - 111e8: 0020ef33 or t5,ra,sp - 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111f0: 00200293 li t0,2 - 111f4: fe5210e3 bne tp,t0,111d4 - 111f8: fff10eb7 lui t4,0xfff10 - 111fc: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 11200: 15d00193 li gp,349 - 11204: 01df0463 beq t5,t4,1120c - 11208: 0f10106f j 12af8 - -0001120c : - 1120c: 00000213 li tp,0 - 11210: 0f0f1137 lui sp,0xf0f1 - 11214: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 11218: 00ff00b7 lui ra,0xff0 - 1121c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11220: 00000013 nop - 11224: 00000013 nop - 11228: 0020ef33 or t5,ra,sp - 1122c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11230: 00200293 li t0,2 - 11234: fc521ee3 bne tp,t0,11210 - 11238: 0fff1eb7 lui t4,0xfff1 - 1123c: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 11240: 15e00193 li gp,350 - 11244: 01df0463 beq t5,t4,1124c - 11248: 0b10106f j 12af8 - -0001124c : - 1124c: 00000213 li tp,0 - 11250: 0f0f1137 lui sp,0xf0f1 - 11254: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 11258: 00000013 nop - 1125c: ff0100b7 lui ra,0xff010 - 11260: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11264: 0020ef33 or t5,ra,sp - 11268: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1126c: 00200293 li t0,2 - 11270: fe5210e3 bne tp,t0,11250 - 11274: ff100eb7 lui t4,0xff100 - 11278: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 1127c: 15f00193 li gp,351 - 11280: 01df0463 beq t5,t4,11288 - 11284: 0750106f j 12af8 - -00011288 : - 11288: 00000213 li tp,0 - 1128c: f0f0f137 lui sp,0xf0f0f - 11290: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 11294: 00000013 nop - 11298: 0ff010b7 lui ra,0xff01 - 1129c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 112a0: 00000013 nop - 112a4: 0020ef33 or t5,ra,sp - 112a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112ac: 00200293 li t0,2 - 112b0: fc521ee3 bne tp,t0,1128c - 112b4: fff10eb7 lui t4,0xfff10 - 112b8: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 112bc: 16000193 li gp,352 - 112c0: 01df0463 beq t5,t4,112c8 - 112c4: 0350106f j 12af8 - -000112c8 : - 112c8: 00000213 li tp,0 - 112cc: 0f0f1137 lui sp,0xf0f1 - 112d0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 112d4: 00000013 nop - 112d8: 00000013 nop - 112dc: 00ff00b7 lui ra,0xff0 - 112e0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 112e4: 0020ef33 or t5,ra,sp - 112e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112ec: 00200293 li t0,2 - 112f0: fc521ee3 bne tp,t0,112cc - 112f4: 0fff1eb7 lui t4,0xfff1 - 112f8: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 112fc: 16100193 li gp,353 - 11300: 01df0463 beq t5,t4,11308 - 11304: 7f40106f j 12af8 - -00011308 : - 11308: ff0100b7 lui ra,0xff010 - 1130c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11310: 00106133 or sp,zero,ra - 11314: ff010eb7 lui t4,0xff010 - 11318: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 1131c: 16200193 li gp,354 - 11320: 01d10463 beq sp,t4,11328 - 11324: 7d40106f j 12af8 - -00011328 : - 11328: 00ff00b7 lui ra,0xff0 - 1132c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11330: 0000e133 or sp,ra,zero - 11334: 00ff0eb7 lui t4,0xff0 - 11338: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 1133c: 16300193 li gp,355 - 11340: 01d10463 beq sp,t4,11348 - 11344: 7b40106f j 12af8 - -00011348 : - 11348: 000060b3 or ra,zero,zero - 1134c: 00000e93 li t4,0 - 11350: 16400193 li gp,356 - 11354: 01d08463 beq ra,t4,1135c - 11358: 7a00106f j 12af8 - -0001135c : - 1135c: 111110b7 lui ra,0x11111 - 11360: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fccc9> - 11364: 22222137 lui sp,0x22222 - 11368: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220ddda> - 1136c: 0020e033 or zero,ra,sp - 11370: 00000e93 li t4,0 - 11374: 16500193 li gp,357 - 11378: 01d00463 beq zero,t4,11380 - 1137c: 77c0106f j 12af8 - -00011380 : - 11380: ff0100b7 lui ra,0xff010 - 11384: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11388: f0f0ef13 ori t5,ra,-241 - 1138c: f0f00e93 li t4,-241 - 11390: 16600193 li gp,358 - 11394: 01df0463 beq t5,t4,1139c - 11398: 7600106f j 12af8 - -0001139c : - 1139c: 0ff010b7 lui ra,0xff01 - 113a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 113a4: 0f00ef13 ori t5,ra,240 - 113a8: 0ff01eb7 lui t4,0xff01 - 113ac: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 113b0: 16700193 li gp,359 - 113b4: 01df0463 beq t5,t4,113bc - 113b8: 7400106f j 12af8 - -000113bc : - 113bc: 00ff00b7 lui ra,0xff0 - 113c0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 113c4: 70f0ef13 ori t5,ra,1807 - 113c8: 00ff0eb7 lui t4,0xff0 - 113cc: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> - 113d0: 16800193 li gp,360 - 113d4: 01df0463 beq t5,t4,113dc - 113d8: 7200106f j 12af8 - -000113dc : - 113dc: f00ff0b7 lui ra,0xf00ff - 113e0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 113e4: 0f00ef13 ori t5,ra,240 - 113e8: f00ffeb7 lui t4,0xf00ff - 113ec: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> - 113f0: 16900193 li gp,361 - 113f4: 01df0463 beq t5,t4,113fc - 113f8: 7000106f j 12af8 - -000113fc : - 113fc: ff0100b7 lui ra,0xff010 - 11400: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11404: 0f00e093 ori ra,ra,240 - 11408: ff010eb7 lui t4,0xff010 - 1140c: ff0e8e93 addi t4,t4,-16 # ff00fff0 <__global_pointer$+0xfeffbba8> - 11410: 16a00193 li gp,362 - 11414: 01d08463 beq ra,t4,1141c - 11418: 6e00106f j 12af8 - -0001141c : - 1141c: 00000213 li tp,0 - 11420: 0ff010b7 lui ra,0xff01 - 11424: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 11428: 0f00ef13 ori t5,ra,240 - 1142c: 000f0313 mv t1,t5 - 11430: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11434: 00200293 li t0,2 - 11438: fe5214e3 bne tp,t0,11420 - 1143c: 0ff01eb7 lui t4,0xff01 - 11440: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 11444: 16b00193 li gp,363 - 11448: 01d30463 beq t1,t4,11450 - 1144c: 6ac0106f j 12af8 - -00011450 : - 11450: 00000213 li tp,0 - 11454: 00ff00b7 lui ra,0xff0 - 11458: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 1145c: 70f0ef13 ori t5,ra,1807 - 11460: 00000013 nop - 11464: 000f0313 mv t1,t5 - 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1146c: 00200293 li t0,2 - 11470: fe5212e3 bne tp,t0,11454 - 11474: 00ff0eb7 lui t4,0xff0 - 11478: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> - 1147c: 16c00193 li gp,364 - 11480: 01d30463 beq t1,t4,11488 - 11484: 6740106f j 12af8 - -00011488 : - 11488: 00000213 li tp,0 - 1148c: f00ff0b7 lui ra,0xf00ff - 11490: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 11494: 0f00ef13 ori t5,ra,240 - 11498: 00000013 nop - 1149c: 00000013 nop - 114a0: 000f0313 mv t1,t5 - 114a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114a8: 00200293 li t0,2 - 114ac: fe5210e3 bne tp,t0,1148c - 114b0: f00ffeb7 lui t4,0xf00ff - 114b4: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> - 114b8: 16d00193 li gp,365 - 114bc: 01d30463 beq t1,t4,114c4 - 114c0: 6380106f j 12af8 - -000114c4 : - 114c4: 00000213 li tp,0 - 114c8: 0ff010b7 lui ra,0xff01 - 114cc: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 114d0: 0f00ef13 ori t5,ra,240 - 114d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114d8: 00200293 li t0,2 - 114dc: fe5216e3 bne tp,t0,114c8 - 114e0: 0ff01eb7 lui t4,0xff01 - 114e4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 114e8: 16e00193 li gp,366 - 114ec: 01df0463 beq t5,t4,114f4 - 114f0: 6080106f j 12af8 - -000114f4 : - 114f4: 00000213 li tp,0 - 114f8: 00ff00b7 lui ra,0xff0 - 114fc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11500: 00000013 nop - 11504: f0f0ef13 ori t5,ra,-241 - 11508: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1150c: 00200293 li t0,2 - 11510: fe5214e3 bne tp,t0,114f8 - 11514: fff00e93 li t4,-1 - 11518: 16f00193 li gp,367 - 1151c: 01df0463 beq t5,t4,11524 - 11520: 5d80106f j 12af8 - -00011524 : - 11524: 00000213 li tp,0 - 11528: f00ff0b7 lui ra,0xf00ff - 1152c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 11530: 00000013 nop - 11534: 00000013 nop - 11538: 0f00ef13 ori t5,ra,240 - 1153c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11540: 00200293 li t0,2 - 11544: fe5212e3 bne tp,t0,11528 - 11548: f00ffeb7 lui t4,0xf00ff - 1154c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> - 11550: 17000193 li gp,368 - 11554: 01df0463 beq t5,t4,1155c - 11558: 5a00106f j 12af8 - -0001155c : - 1155c: 0f006093 ori ra,zero,240 - 11560: 0f000e93 li t4,240 - 11564: 17100193 li gp,369 - 11568: 01d08463 beq ra,t4,11570 - 1156c: 58c0106f j 12af8 - -00011570 : - 11570: 00ff00b7 lui ra,0xff0 - 11574: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11578: 70f0e013 ori zero,ra,1807 - 1157c: 00000e93 li t4,0 - 11580: 17200193 li gp,370 - 11584: 01d00463 beq zero,t4,1158c - 11588: 5700106f j 12af8 - -0001158c : - 1158c: 00002097 auipc ra,0x2 - 11590: 5c808093 addi ra,ra,1480 # 13b54 - 11594: faa00113 li sp,-86 - 11598: 00208023 sb sp,0(ra) - 1159c: 00008f03 lb t5,0(ra) - 115a0: faa00e93 li t4,-86 - 115a4: 17300193 li gp,371 - 115a8: 01df0463 beq t5,t4,115b0 - 115ac: 54c0106f j 12af8 - -000115b0 : - 115b0: 00002097 auipc ra,0x2 - 115b4: 5a408093 addi ra,ra,1444 # 13b54 - 115b8: 00000113 li sp,0 - 115bc: 002080a3 sb sp,1(ra) - 115c0: 00108f03 lb t5,1(ra) - 115c4: 00000e93 li t4,0 - 115c8: 17400193 li gp,372 - 115cc: 01df0463 beq t5,t4,115d4 - 115d0: 5280106f j 12af8 - -000115d4 : - 115d4: 00002097 auipc ra,0x2 - 115d8: 58008093 addi ra,ra,1408 # 13b54 - 115dc: fffff137 lui sp,0xfffff - 115e0: fa010113 addi sp,sp,-96 # ffffefa0 <__global_pointer$+0xfffeab58> - 115e4: 00208123 sb sp,2(ra) - 115e8: 00209f03 lh t5,2(ra) - 115ec: fffffeb7 lui t4,0xfffff - 115f0: fa0e8e93 addi t4,t4,-96 # ffffefa0 <__global_pointer$+0xfffeab58> - 115f4: 17500193 li gp,373 - 115f8: 01df0463 beq t5,t4,11600 - 115fc: 4fc0106f j 12af8 - -00011600 : - 11600: 00002097 auipc ra,0x2 - 11604: 55408093 addi ra,ra,1364 # 13b54 - 11608: 00a00113 li sp,10 - 1160c: 002081a3 sb sp,3(ra) - 11610: 00308f03 lb t5,3(ra) - 11614: 00a00e93 li t4,10 - 11618: 17600193 li gp,374 - 1161c: 01df0463 beq t5,t4,11624 - 11620: 4d80106f j 12af8 - -00011624 : - 11624: 00002097 auipc ra,0x2 - 11628: 53708093 addi ra,ra,1335 # 13b5b - 1162c: faa00113 li sp,-86 - 11630: fe208ea3 sb sp,-3(ra) - 11634: ffd08f03 lb t5,-3(ra) - 11638: faa00e93 li t4,-86 - 1163c: 17700193 li gp,375 - 11640: 01df0463 beq t5,t4,11648 - 11644: 4b40106f j 12af8 - -00011648 : - 11648: 00002097 auipc ra,0x2 - 1164c: 51308093 addi ra,ra,1299 # 13b5b - 11650: 00000113 li sp,0 - 11654: fe208f23 sb sp,-2(ra) - 11658: ffe08f03 lb t5,-2(ra) - 1165c: 00000e93 li t4,0 - 11660: 17800193 li gp,376 - 11664: 01df0463 beq t5,t4,1166c - 11668: 4900106f j 12af8 - -0001166c : - 1166c: 00002097 auipc ra,0x2 - 11670: 4ef08093 addi ra,ra,1263 # 13b5b - 11674: fa000113 li sp,-96 - 11678: fe208fa3 sb sp,-1(ra) - 1167c: fff08f03 lb t5,-1(ra) - 11680: fa000e93 li t4,-96 - 11684: 17900193 li gp,377 - 11688: 01df0463 beq t5,t4,11690 - 1168c: 46c0106f j 12af8 - -00011690 : - 11690: 00002097 auipc ra,0x2 - 11694: 4cb08093 addi ra,ra,1227 # 13b5b - 11698: 00a00113 li sp,10 - 1169c: 00208023 sb sp,0(ra) - 116a0: 00008f03 lb t5,0(ra) - 116a4: 00a00e93 li t4,10 - 116a8: 17a00193 li gp,378 - 116ac: 01df0463 beq t5,t4,116b4 - 116b0: 4480106f j 12af8 - -000116b4 : - 116b4: 00002097 auipc ra,0x2 - 116b8: 4a808093 addi ra,ra,1192 # 13b5c - 116bc: 12345137 lui sp,0x12345 - 116c0: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> - 116c4: fe008213 addi tp,ra,-32 - 116c8: 02220023 sb sp,32(tp) # 20 <_start-0x10060> - 116cc: 00008283 lb t0,0(ra) - 116d0: 07800e93 li t4,120 - 116d4: 17b00193 li gp,379 - 116d8: 01d28463 beq t0,t4,116e0 - 116dc: 41c0106f j 12af8 - -000116e0 : - 116e0: 00002097 auipc ra,0x2 - 116e4: 47c08093 addi ra,ra,1148 # 13b5c - 116e8: 00003137 lui sp,0x3 - 116ec: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> - 116f0: ffa08093 addi ra,ra,-6 - 116f4: 002083a3 sb sp,7(ra) - 116f8: 00002217 auipc tp,0x2 - 116fc: 46520213 addi tp,tp,1125 # 13b5d - 11700: 00020283 lb t0,0(tp) # 0 <_start-0x10080> - 11704: f9800e93 li t4,-104 - 11708: 17c00193 li gp,380 - 1170c: 01d28463 beq t0,t4,11714 - 11710: 3e80106f j 12af8 - -00011714 : - 11714: 17d00193 li gp,381 - 11718: 00000213 li tp,0 - 1171c: fdd00093 li ra,-35 - 11720: 00002117 auipc sp,0x2 - 11724: 43410113 addi sp,sp,1076 # 13b54 - 11728: 00110023 sb ra,0(sp) - 1172c: 00010f03 lb t5,0(sp) - 11730: fdd00e93 li t4,-35 - 11734: 01df0463 beq t5,t4,1173c - 11738: 3c00106f j 12af8 - 1173c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11740: 00200293 li t0,2 - 11744: fc521ce3 bne tp,t0,1171c - -00011748 : - 11748: 17e00193 li gp,382 - 1174c: 00000213 li tp,0 - 11750: fcd00093 li ra,-51 - 11754: 00002117 auipc sp,0x2 - 11758: 40010113 addi sp,sp,1024 # 13b54 - 1175c: 00000013 nop - 11760: 001100a3 sb ra,1(sp) - 11764: 00110f03 lb t5,1(sp) - 11768: fcd00e93 li t4,-51 - 1176c: 01df0463 beq t5,t4,11774 - 11770: 3880106f j 12af8 - 11774: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11778: 00200293 li t0,2 - 1177c: fc521ae3 bne tp,t0,11750 - -00011780 : - 11780: 17f00193 li gp,383 - 11784: 00000213 li tp,0 - 11788: fcc00093 li ra,-52 - 1178c: 00002117 auipc sp,0x2 - 11790: 3c810113 addi sp,sp,968 # 13b54 - 11794: 00000013 nop - 11798: 00000013 nop - 1179c: 00110123 sb ra,2(sp) - 117a0: 00210f03 lb t5,2(sp) - 117a4: fcc00e93 li t4,-52 - 117a8: 01df0463 beq t5,t4,117b0 - 117ac: 34c0106f j 12af8 - 117b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117b4: 00200293 li t0,2 - 117b8: fc5218e3 bne tp,t0,11788 - -000117bc : - 117bc: 18000193 li gp,384 - 117c0: 00000213 li tp,0 - 117c4: fbc00093 li ra,-68 - 117c8: 00000013 nop - 117cc: 00002117 auipc sp,0x2 - 117d0: 38810113 addi sp,sp,904 # 13b54 - 117d4: 001101a3 sb ra,3(sp) - 117d8: 00310f03 lb t5,3(sp) - 117dc: fbc00e93 li t4,-68 - 117e0: 01df0463 beq t5,t4,117e8 - 117e4: 3140106f j 12af8 - 117e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117ec: 00200293 li t0,2 - 117f0: fc521ae3 bne tp,t0,117c4 - -000117f4 : - 117f4: 18100193 li gp,385 - 117f8: 00000213 li tp,0 - 117fc: fbb00093 li ra,-69 - 11800: 00000013 nop - 11804: 00002117 auipc sp,0x2 - 11808: 35010113 addi sp,sp,848 # 13b54 - 1180c: 00000013 nop - 11810: 00110223 sb ra,4(sp) - 11814: 00410f03 lb t5,4(sp) - 11818: fbb00e93 li t4,-69 - 1181c: 01df0463 beq t5,t4,11824 - 11820: 2d80106f j 12af8 - 11824: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11828: 00200293 li t0,2 - 1182c: fc5218e3 bne tp,t0,117fc - -00011830 : - 11830: 18200193 li gp,386 - 11834: 00000213 li tp,0 - 11838: fab00093 li ra,-85 - 1183c: 00000013 nop - 11840: 00000013 nop - 11844: 00002117 auipc sp,0x2 - 11848: 31010113 addi sp,sp,784 # 13b54 - 1184c: 001102a3 sb ra,5(sp) - 11850: 00510f03 lb t5,5(sp) - 11854: fab00e93 li t4,-85 - 11858: 01df0463 beq t5,t4,11860 - 1185c: 29c0106f j 12af8 - 11860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11864: 00200293 li t0,2 - 11868: fc5218e3 bne tp,t0,11838 - -0001186c : - 1186c: 18300193 li gp,387 - 11870: 00000213 li tp,0 - 11874: 00002117 auipc sp,0x2 - 11878: 2e010113 addi sp,sp,736 # 13b54 - 1187c: 03300093 li ra,51 - 11880: 00110023 sb ra,0(sp) - 11884: 00010f03 lb t5,0(sp) - 11888: 03300e93 li t4,51 - 1188c: 01df0463 beq t5,t4,11894 - 11890: 2680106f j 12af8 - 11894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11898: 00200293 li t0,2 - 1189c: fc521ce3 bne tp,t0,11874 - -000118a0 : - 118a0: 18400193 li gp,388 - 118a4: 00000213 li tp,0 - 118a8: 00002117 auipc sp,0x2 - 118ac: 2ac10113 addi sp,sp,684 # 13b54 - 118b0: 02300093 li ra,35 - 118b4: 00000013 nop - 118b8: 001100a3 sb ra,1(sp) - 118bc: 00110f03 lb t5,1(sp) - 118c0: 02300e93 li t4,35 - 118c4: 01df0463 beq t5,t4,118cc - 118c8: 2300106f j 12af8 - 118cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118d0: 00200293 li t0,2 - 118d4: fc521ae3 bne tp,t0,118a8 - -000118d8 : - 118d8: 18500193 li gp,389 - 118dc: 00000213 li tp,0 - 118e0: 00002117 auipc sp,0x2 - 118e4: 27410113 addi sp,sp,628 # 13b54 - 118e8: 02200093 li ra,34 - 118ec: 00000013 nop - 118f0: 00000013 nop - 118f4: 00110123 sb ra,2(sp) - 118f8: 00210f03 lb t5,2(sp) - 118fc: 02200e93 li t4,34 - 11900: 01df0463 beq t5,t4,11908 - 11904: 1f40106f j 12af8 - 11908: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1190c: 00200293 li t0,2 - 11910: fc5218e3 bne tp,t0,118e0 - -00011914 : - 11914: 18600193 li gp,390 - 11918: 00000213 li tp,0 - 1191c: 00002117 auipc sp,0x2 - 11920: 23810113 addi sp,sp,568 # 13b54 - 11924: 00000013 nop - 11928: 01200093 li ra,18 - 1192c: 001101a3 sb ra,3(sp) - 11930: 00310f03 lb t5,3(sp) - 11934: 01200e93 li t4,18 - 11938: 01df0463 beq t5,t4,11940 - 1193c: 1bc0106f j 12af8 - 11940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11944: 00200293 li t0,2 - 11948: fc521ae3 bne tp,t0,1191c - -0001194c : - 1194c: 18700193 li gp,391 - 11950: 00000213 li tp,0 - 11954: 00002117 auipc sp,0x2 - 11958: 20010113 addi sp,sp,512 # 13b54 - 1195c: 00000013 nop - 11960: 01100093 li ra,17 - 11964: 00000013 nop - 11968: 00110223 sb ra,4(sp) - 1196c: 00410f03 lb t5,4(sp) - 11970: 01100e93 li t4,17 - 11974: 01df0463 beq t5,t4,1197c - 11978: 1800106f j 12af8 - 1197c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11980: 00200293 li t0,2 - 11984: fc5218e3 bne tp,t0,11954 - -00011988 : - 11988: 18800193 li gp,392 - 1198c: 00000213 li tp,0 - 11990: 00002117 auipc sp,0x2 - 11994: 1c410113 addi sp,sp,452 # 13b54 - 11998: 00000013 nop - 1199c: 00000013 nop - 119a0: 00100093 li ra,1 - 119a4: 001102a3 sb ra,5(sp) - 119a8: 00510f03 lb t5,5(sp) - 119ac: 00100e93 li t4,1 - 119b0: 01df0463 beq t5,t4,119b8 - 119b4: 1440106f j 12af8 - 119b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 119bc: 00200293 li t0,2 - 119c0: fc5218e3 bne tp,t0,11990 - 119c4: 0ef00513 li a0,239 - 119c8: 00002597 auipc a1,0x2 - 119cc: 18c58593 addi a1,a1,396 # 13b54 - 119d0: 00a581a3 sb a0,3(a1) - -000119d4 : - 119d4: 00002097 auipc ra,0x2 - 119d8: 19408093 addi ra,ra,404 # 13b68 - 119dc: 0aa00113 li sp,170 - 119e0: 00209023 sh sp,0(ra) - 119e4: 00009f03 lh t5,0(ra) - 119e8: 0aa00e93 li t4,170 - 119ec: 18900193 li gp,393 - 119f0: 01df0463 beq t5,t4,119f8 - 119f4: 1040106f j 12af8 - -000119f8 : - 119f8: 00002097 auipc ra,0x2 - 119fc: 17008093 addi ra,ra,368 # 13b68 - 11a00: ffffb137 lui sp,0xffffb - 11a04: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11a08: 00209123 sh sp,2(ra) - 11a0c: 00209f03 lh t5,2(ra) - 11a10: ffffbeb7 lui t4,0xffffb - 11a14: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11a18: 18a00193 li gp,394 - 11a1c: 01df0463 beq t5,t4,11a24 - 11a20: 0d80106f j 12af8 - -00011a24 : - 11a24: 00002097 auipc ra,0x2 - 11a28: 14408093 addi ra,ra,324 # 13b68 - 11a2c: beef1137 lui sp,0xbeef1 - 11a30: aa010113 addi sp,sp,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> - 11a34: 00209223 sh sp,4(ra) - 11a38: 0040af03 lw t5,4(ra) - 11a3c: beef1eb7 lui t4,0xbeef1 - 11a40: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> - 11a44: 18b00193 li gp,395 - 11a48: 01df0463 beq t5,t4,11a50 - 11a4c: 0ac0106f j 12af8 - -00011a50 : - 11a50: 00002097 auipc ra,0x2 - 11a54: 11808093 addi ra,ra,280 # 13b68 - 11a58: ffffa137 lui sp,0xffffa - 11a5c: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11a60: 00209323 sh sp,6(ra) - 11a64: 00609f03 lh t5,6(ra) - 11a68: ffffaeb7 lui t4,0xffffa - 11a6c: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11a70: 18c00193 li gp,396 - 11a74: 01df0463 beq t5,t4,11a7c - 11a78: 0800106f j 12af8 - -00011a7c : - 11a7c: 00002097 auipc ra,0x2 - 11a80: 0fa08093 addi ra,ra,250 # 13b76 - 11a84: 0aa00113 li sp,170 - 11a88: fe209d23 sh sp,-6(ra) - 11a8c: ffa09f03 lh t5,-6(ra) - 11a90: 0aa00e93 li t4,170 - 11a94: 18d00193 li gp,397 - 11a98: 01df0463 beq t5,t4,11aa0 - 11a9c: 05c0106f j 12af8 - -00011aa0 : - 11aa0: 00002097 auipc ra,0x2 - 11aa4: 0d608093 addi ra,ra,214 # 13b76 - 11aa8: ffffb137 lui sp,0xffffb - 11aac: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11ab0: fe209e23 sh sp,-4(ra) - 11ab4: ffc09f03 lh t5,-4(ra) - 11ab8: ffffbeb7 lui t4,0xffffb - 11abc: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11ac0: 18e00193 li gp,398 - 11ac4: 01df0463 beq t5,t4,11acc - 11ac8: 0300106f j 12af8 - -00011acc : - 11acc: 00002097 auipc ra,0x2 - 11ad0: 0aa08093 addi ra,ra,170 # 13b76 - 11ad4: 00001137 lui sp,0x1 - 11ad8: aa010113 addi sp,sp,-1376 # aa0 <_start-0xf5e0> - 11adc: fe209f23 sh sp,-2(ra) - 11ae0: ffe09f03 lh t5,-2(ra) - 11ae4: 00001eb7 lui t4,0x1 - 11ae8: aa0e8e93 addi t4,t4,-1376 # aa0 <_start-0xf5e0> - 11aec: 18f00193 li gp,399 - 11af0: 01df0463 beq t5,t4,11af8 - 11af4: 0040106f j 12af8 - -00011af8 : - 11af8: 00002097 auipc ra,0x2 - 11afc: 07e08093 addi ra,ra,126 # 13b76 - 11b00: ffffa137 lui sp,0xffffa - 11b04: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11b08: 00209023 sh sp,0(ra) - 11b0c: 00009f03 lh t5,0(ra) - 11b10: ffffaeb7 lui t4,0xffffa - 11b14: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11b18: 19000193 li gp,400 - 11b1c: 7ddf1ee3 bne t5,t4,12af8 - -00011b20 : - 11b20: 00002097 auipc ra,0x2 - 11b24: 05808093 addi ra,ra,88 # 13b78 - 11b28: 12345137 lui sp,0x12345 - 11b2c: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> - 11b30: fe008213 addi tp,ra,-32 - 11b34: 02221023 sh sp,32(tp) # 20 <_start-0x10060> - 11b38: 00009283 lh t0,0(ra) - 11b3c: 00005eb7 lui t4,0x5 - 11b40: 678e8e93 addi t4,t4,1656 # 5678 <_start-0xaa08> - 11b44: 19100193 li gp,401 - 11b48: 7bd298e3 bne t0,t4,12af8 - -00011b4c : - 11b4c: 00002097 auipc ra,0x2 - 11b50: 02c08093 addi ra,ra,44 # 13b78 - 11b54: 00003137 lui sp,0x3 - 11b58: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> - 11b5c: ffb08093 addi ra,ra,-5 - 11b60: 002093a3 sh sp,7(ra) - 11b64: 00002217 auipc tp,0x2 - 11b68: 01620213 addi tp,tp,22 # 13b7a - 11b6c: 00021283 lh t0,0(tp) # 0 <_start-0x10080> - 11b70: 00003eb7 lui t4,0x3 - 11b74: 098e8e93 addi t4,t4,152 # 3098 <_start-0xcfe8> - 11b78: 19200193 li gp,402 - 11b7c: 77d29ee3 bne t0,t4,12af8 - -00011b80 : - 11b80: 19300193 li gp,403 - 11b84: 00000213 li tp,0 - 11b88: ffffd0b7 lui ra,0xffffd - 11b8c: cdd08093 addi ra,ra,-803 # ffffccdd <__global_pointer$+0xfffe8895> - 11b90: 00002117 auipc sp,0x2 - 11b94: fd810113 addi sp,sp,-40 # 13b68 - 11b98: 00111023 sh ra,0(sp) - 11b9c: 00011f03 lh t5,0(sp) - 11ba0: ffffdeb7 lui t4,0xffffd - 11ba4: cdde8e93 addi t4,t4,-803 # ffffccdd <__global_pointer$+0xfffe8895> - 11ba8: 75df18e3 bne t5,t4,12af8 - 11bac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bb0: 00200293 li t0,2 - 11bb4: fc521ae3 bne tp,t0,11b88 - -00011bb8 : - 11bb8: 19400193 li gp,404 - 11bbc: 00000213 li tp,0 - 11bc0: ffffc0b7 lui ra,0xffffc - 11bc4: ccd08093 addi ra,ra,-819 # ffffbccd <__global_pointer$+0xfffe7885> - 11bc8: 00002117 auipc sp,0x2 - 11bcc: fa010113 addi sp,sp,-96 # 13b68 - 11bd0: 00000013 nop - 11bd4: 00111123 sh ra,2(sp) - 11bd8: 00211f03 lh t5,2(sp) - 11bdc: ffffceb7 lui t4,0xffffc - 11be0: ccde8e93 addi t4,t4,-819 # ffffbccd <__global_pointer$+0xfffe7885> - 11be4: 71df1ae3 bne t5,t4,12af8 - 11be8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bec: 00200293 li t0,2 - 11bf0: fc5218e3 bne tp,t0,11bc0 - -00011bf4 : - 11bf4: 19500193 li gp,405 - 11bf8: 00000213 li tp,0 - 11bfc: ffffc0b7 lui ra,0xffffc - 11c00: bcc08093 addi ra,ra,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> - 11c04: 00002117 auipc sp,0x2 - 11c08: f6410113 addi sp,sp,-156 # 13b68 - 11c0c: 00000013 nop - 11c10: 00000013 nop - 11c14: 00111223 sh ra,4(sp) - 11c18: 00411f03 lh t5,4(sp) - 11c1c: ffffceb7 lui t4,0xffffc - 11c20: bcce8e93 addi t4,t4,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> - 11c24: 6ddf1ae3 bne t5,t4,12af8 - 11c28: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c2c: 00200293 li t0,2 - 11c30: fc5216e3 bne tp,t0,11bfc - -00011c34 : - 11c34: 19600193 li gp,406 - 11c38: 00000213 li tp,0 - 11c3c: ffffb0b7 lui ra,0xffffb - 11c40: bbc08093 addi ra,ra,-1092 # ffffabbc <__global_pointer$+0xfffe6774> - 11c44: 00000013 nop - 11c48: 00002117 auipc sp,0x2 - 11c4c: f2010113 addi sp,sp,-224 # 13b68 - 11c50: 00111323 sh ra,6(sp) - 11c54: 00611f03 lh t5,6(sp) - 11c58: ffffbeb7 lui t4,0xffffb - 11c5c: bbce8e93 addi t4,t4,-1092 # ffffabbc <__global_pointer$+0xfffe6774> - 11c60: 69df1ce3 bne t5,t4,12af8 - 11c64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c68: 00200293 li t0,2 - 11c6c: fc5218e3 bne tp,t0,11c3c - -00011c70 : - 11c70: 19700193 li gp,407 - 11c74: 00000213 li tp,0 - 11c78: ffffb0b7 lui ra,0xffffb - 11c7c: abb08093 addi ra,ra,-1349 # ffffaabb <__global_pointer$+0xfffe6673> - 11c80: 00000013 nop - 11c84: 00002117 auipc sp,0x2 - 11c88: ee410113 addi sp,sp,-284 # 13b68 - 11c8c: 00000013 nop - 11c90: 00111423 sh ra,8(sp) - 11c94: 00811f03 lh t5,8(sp) - 11c98: ffffbeb7 lui t4,0xffffb - 11c9c: abbe8e93 addi t4,t4,-1349 # ffffaabb <__global_pointer$+0xfffe6673> - 11ca0: 65df1ce3 bne t5,t4,12af8 - 11ca4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ca8: 00200293 li t0,2 - 11cac: fc5216e3 bne tp,t0,11c78 - -00011cb0 : - 11cb0: 19800193 li gp,408 - 11cb4: 00000213 li tp,0 - 11cb8: ffffe0b7 lui ra,0xffffe - 11cbc: aab08093 addi ra,ra,-1365 # ffffdaab <__global_pointer$+0xfffe9663> - 11cc0: 00000013 nop - 11cc4: 00000013 nop - 11cc8: 00002117 auipc sp,0x2 - 11ccc: ea010113 addi sp,sp,-352 # 13b68 - 11cd0: 00111523 sh ra,10(sp) - 11cd4: 00a11f03 lh t5,10(sp) - 11cd8: ffffeeb7 lui t4,0xffffe - 11cdc: aabe8e93 addi t4,t4,-1365 # ffffdaab <__global_pointer$+0xfffe9663> - 11ce0: 61df1ce3 bne t5,t4,12af8 - 11ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ce8: 00200293 li t0,2 - 11cec: fc5216e3 bne tp,t0,11cb8 - -00011cf0 : - 11cf0: 19900193 li gp,409 - 11cf4: 00000213 li tp,0 - 11cf8: 00002117 auipc sp,0x2 - 11cfc: e7010113 addi sp,sp,-400 # 13b68 - 11d00: 000020b7 lui ra,0x2 - 11d04: 23308093 addi ra,ra,563 # 2233 <_start-0xde4d> - 11d08: 00111023 sh ra,0(sp) - 11d0c: 00011f03 lh t5,0(sp) - 11d10: 00002eb7 lui t4,0x2 - 11d14: 233e8e93 addi t4,t4,563 # 2233 <_start-0xde4d> - 11d18: 5fdf10e3 bne t5,t4,12af8 - 11d1c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d20: 00200293 li t0,2 - 11d24: fc521ae3 bne tp,t0,11cf8 - -00011d28 : - 11d28: 19a00193 li gp,410 - 11d2c: 00000213 li tp,0 - 11d30: 00002117 auipc sp,0x2 - 11d34: e3810113 addi sp,sp,-456 # 13b68 - 11d38: 000010b7 lui ra,0x1 - 11d3c: 22308093 addi ra,ra,547 # 1223 <_start-0xee5d> - 11d40: 00000013 nop - 11d44: 00111123 sh ra,2(sp) - 11d48: 00211f03 lh t5,2(sp) - 11d4c: 00001eb7 lui t4,0x1 - 11d50: 223e8e93 addi t4,t4,547 # 1223 <_start-0xee5d> - 11d54: 5bdf12e3 bne t5,t4,12af8 - 11d58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d5c: 00200293 li t0,2 - 11d60: fc5218e3 bne tp,t0,11d30 - -00011d64 : - 11d64: 19b00193 li gp,411 - 11d68: 00000213 li tp,0 - 11d6c: 00002117 auipc sp,0x2 - 11d70: dfc10113 addi sp,sp,-516 # 13b68 - 11d74: 000010b7 lui ra,0x1 - 11d78: 12208093 addi ra,ra,290 # 1122 <_start-0xef5e> - 11d7c: 00000013 nop - 11d80: 00000013 nop - 11d84: 00111223 sh ra,4(sp) - 11d88: 00411f03 lh t5,4(sp) - 11d8c: 00001eb7 lui t4,0x1 - 11d90: 122e8e93 addi t4,t4,290 # 1122 <_start-0xef5e> - 11d94: 57df12e3 bne t5,t4,12af8 - 11d98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d9c: 00200293 li t0,2 - 11da0: fc5216e3 bne tp,t0,11d6c - -00011da4 : - 11da4: 19c00193 li gp,412 - 11da8: 00000213 li tp,0 - 11dac: 00002117 auipc sp,0x2 - 11db0: dbc10113 addi sp,sp,-580 # 13b68 - 11db4: 00000013 nop - 11db8: 11200093 li ra,274 - 11dbc: 00111323 sh ra,6(sp) - 11dc0: 00611f03 lh t5,6(sp) - 11dc4: 11200e93 li t4,274 - 11dc8: 53df18e3 bne t5,t4,12af8 - 11dcc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11dd0: 00200293 li t0,2 - 11dd4: fc521ce3 bne tp,t0,11dac - -00011dd8 : - 11dd8: 19d00193 li gp,413 - 11ddc: 00000213 li tp,0 - 11de0: 00002117 auipc sp,0x2 - 11de4: d8810113 addi sp,sp,-632 # 13b68 - 11de8: 00000013 nop - 11dec: 01100093 li ra,17 - 11df0: 00000013 nop - 11df4: 00111423 sh ra,8(sp) - 11df8: 00811f03 lh t5,8(sp) - 11dfc: 01100e93 li t4,17 - 11e00: 4fdf1ce3 bne t5,t4,12af8 - 11e04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e08: 00200293 li t0,2 - 11e0c: fc521ae3 bne tp,t0,11de0 - -00011e10 : - 11e10: 19e00193 li gp,414 - 11e14: 00000213 li tp,0 - 11e18: 00002117 auipc sp,0x2 - 11e1c: d5010113 addi sp,sp,-688 # 13b68 - 11e20: 00000013 nop - 11e24: 00000013 nop - 11e28: 000030b7 lui ra,0x3 - 11e2c: 00108093 addi ra,ra,1 # 3001 <_start-0xd07f> - 11e30: 00111523 sh ra,10(sp) - 11e34: 00a11f03 lh t5,10(sp) - 11e38: 00003eb7 lui t4,0x3 - 11e3c: 001e8e93 addi t4,t4,1 # 3001 <_start-0xd07f> - 11e40: 4bdf1ce3 bne t5,t4,12af8 - 11e44: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e48: 00200293 li t0,2 - 11e4c: fc5216e3 bne tp,t0,11e18 - 11e50: 0000c537 lui a0,0xc - 11e54: eef50513 addi a0,a0,-273 # beef <_start-0x4191> - 11e58: 00002597 auipc a1,0x2 - 11e5c: d1058593 addi a1,a1,-752 # 13b68 - 11e60: 00a59323 sh a0,6(a1) - -00011e64 : - 11e64: 00100093 li ra,1 - 11e68: 00000113 li sp,0 - 11e6c: 00209f33 sll t5,ra,sp - 11e70: 00100e93 li t4,1 - 11e74: 19f00193 li gp,415 - 11e78: 49df10e3 bne t5,t4,12af8 - -00011e7c : - 11e7c: 00100093 li ra,1 - 11e80: 00100113 li sp,1 - 11e84: 00209f33 sll t5,ra,sp - 11e88: 00200e93 li t4,2 - 11e8c: 1a000193 li gp,416 - 11e90: 47df14e3 bne t5,t4,12af8 - -00011e94 : - 11e94: 00100093 li ra,1 - 11e98: 00700113 li sp,7 - 11e9c: 00209f33 sll t5,ra,sp - 11ea0: 08000e93 li t4,128 - 11ea4: 1a100193 li gp,417 - 11ea8: 45df18e3 bne t5,t4,12af8 - -00011eac : - 11eac: 00100093 li ra,1 - 11eb0: 00e00113 li sp,14 - 11eb4: 00209f33 sll t5,ra,sp - 11eb8: 00004eb7 lui t4,0x4 - 11ebc: 1a200193 li gp,418 - 11ec0: 43df1ce3 bne t5,t4,12af8 - -00011ec4 : - 11ec4: 00100093 li ra,1 - 11ec8: 01f00113 li sp,31 - 11ecc: 00209f33 sll t5,ra,sp - 11ed0: 80000eb7 lui t4,0x80000 - 11ed4: 1a300193 li gp,419 - 11ed8: 43df10e3 bne t5,t4,12af8 - -00011edc : - 11edc: fff00093 li ra,-1 - 11ee0: 00000113 li sp,0 - 11ee4: 00209f33 sll t5,ra,sp - 11ee8: fff00e93 li t4,-1 - 11eec: 1a400193 li gp,420 - 11ef0: 41df14e3 bne t5,t4,12af8 - -00011ef4 : - 11ef4: fff00093 li ra,-1 - 11ef8: 00100113 li sp,1 - 11efc: 00209f33 sll t5,ra,sp - 11f00: ffe00e93 li t4,-2 - 11f04: 1a500193 li gp,421 - 11f08: 3fdf18e3 bne t5,t4,12af8 - -00011f0c : - 11f0c: fff00093 li ra,-1 - 11f10: 00700113 li sp,7 - 11f14: 00209f33 sll t5,ra,sp - 11f18: f8000e93 li t4,-128 - 11f1c: 1a600193 li gp,422 - 11f20: 3ddf1ce3 bne t5,t4,12af8 - -00011f24 : - 11f24: fff00093 li ra,-1 - 11f28: 00e00113 li sp,14 - 11f2c: 00209f33 sll t5,ra,sp - 11f30: ffffceb7 lui t4,0xffffc - 11f34: 1a700193 li gp,423 - 11f38: 3ddf10e3 bne t5,t4,12af8 - -00011f3c : - 11f3c: fff00093 li ra,-1 - 11f40: 01f00113 li sp,31 - 11f44: 00209f33 sll t5,ra,sp - 11f48: 80000eb7 lui t4,0x80000 - 11f4c: 1a800193 li gp,424 - 11f50: 3bdf14e3 bne t5,t4,12af8 - -00011f54 : - 11f54: 212120b7 lui ra,0x21212 - 11f58: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f5c: 00000113 li sp,0 - 11f60: 00209f33 sll t5,ra,sp - 11f64: 21212eb7 lui t4,0x21212 - 11f68: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f6c: 1a900193 li gp,425 - 11f70: 39df14e3 bne t5,t4,12af8 - -00011f74 : - 11f74: 212120b7 lui ra,0x21212 - 11f78: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f7c: 00100113 li sp,1 - 11f80: 00209f33 sll t5,ra,sp - 11f84: 42424eb7 lui t4,0x42424 - 11f88: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> - 11f8c: 1aa00193 li gp,426 - 11f90: 37df14e3 bne t5,t4,12af8 - -00011f94 : - 11f94: 212120b7 lui ra,0x21212 - 11f98: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f9c: 00700113 li sp,7 - 11fa0: 00209f33 sll t5,ra,sp - 11fa4: 90909eb7 lui t4,0x90909 - 11fa8: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> - 11fac: 1ab00193 li gp,427 - 11fb0: 35df14e3 bne t5,t4,12af8 - -00011fb4 : - 11fb4: 212120b7 lui ra,0x21212 - 11fb8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11fbc: 00e00113 li sp,14 - 11fc0: 00209f33 sll t5,ra,sp - 11fc4: 48484eb7 lui t4,0x48484 - 11fc8: 1ac00193 li gp,428 - 11fcc: 33df16e3 bne t5,t4,12af8 - -00011fd0 : - 11fd0: 212120b7 lui ra,0x21212 - 11fd4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11fd8: 01f00113 li sp,31 - 11fdc: 00209f33 sll t5,ra,sp - 11fe0: 80000eb7 lui t4,0x80000 - 11fe4: 1ad00193 li gp,429 - 11fe8: 31df18e3 bne t5,t4,12af8 - -00011fec : - 11fec: 212120b7 lui ra,0x21212 - 11ff0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11ff4: fc000113 li sp,-64 - 11ff8: 00209f33 sll t5,ra,sp - 11ffc: 21212eb7 lui t4,0x21212 - 12000: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12004: 1ae00193 li gp,430 - 12008: 2fdf18e3 bne t5,t4,12af8 - -0001200c : - 1200c: 212120b7 lui ra,0x21212 - 12010: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12014: fc100113 li sp,-63 - 12018: 00209f33 sll t5,ra,sp - 1201c: 42424eb7 lui t4,0x42424 - 12020: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> - 12024: 1af00193 li gp,431 - 12028: 2ddf18e3 bne t5,t4,12af8 - -0001202c : - 1202c: 212120b7 lui ra,0x21212 - 12030: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12034: fc700113 li sp,-57 - 12038: 00209f33 sll t5,ra,sp - 1203c: 90909eb7 lui t4,0x90909 - 12040: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> - 12044: 1b000193 li gp,432 - 12048: 2bdf18e3 bne t5,t4,12af8 - -0001204c : - 1204c: 212120b7 lui ra,0x21212 - 12050: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12054: fce00113 li sp,-50 - 12058: 00209f33 sll t5,ra,sp - 1205c: 48484eb7 lui t4,0x48484 - 12060: 1b100193 li gp,433 - 12064: 29df1ae3 bne t5,t4,12af8 - -00012068 : - 12068: 00100093 li ra,1 - 1206c: 00700113 li sp,7 - 12070: 002090b3 sll ra,ra,sp - 12074: 08000e93 li t4,128 - 12078: 1b600193 li gp,438 - 1207c: 27d09ee3 bne ra,t4,12af8 - -00012080 : - 12080: 00100093 li ra,1 - 12084: 00e00113 li sp,14 - 12088: 00209133 sll sp,ra,sp - 1208c: 00004eb7 lui t4,0x4 - 12090: 1b700193 li gp,439 - 12094: 27d112e3 bne sp,t4,12af8 - -00012098 : - 12098: 00300093 li ra,3 - 1209c: 001090b3 sll ra,ra,ra - 120a0: 01800e93 li t4,24 - 120a4: 1b800193 li gp,440 - 120a8: 25d098e3 bne ra,t4,12af8 - -000120ac : - 120ac: 00000213 li tp,0 - 120b0: 00100093 li ra,1 - 120b4: 00700113 li sp,7 - 120b8: 00209f33 sll t5,ra,sp - 120bc: 000f0313 mv t1,t5 - 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120c4: 00200293 li t0,2 - 120c8: fe5214e3 bne tp,t0,120b0 - 120cc: 08000e93 li t4,128 - 120d0: 1b900193 li gp,441 - 120d4: 23d312e3 bne t1,t4,12af8 - -000120d8 : - 120d8: 00000213 li tp,0 - 120dc: 00100093 li ra,1 - 120e0: 00e00113 li sp,14 - 120e4: 00209f33 sll t5,ra,sp - 120e8: 00000013 nop - 120ec: 000f0313 mv t1,t5 - 120f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120f4: 00200293 li t0,2 - 120f8: fe5212e3 bne tp,t0,120dc - 120fc: 00004eb7 lui t4,0x4 - 12100: 1ba00193 li gp,442 - 12104: 1fd31ae3 bne t1,t4,12af8 - -00012108 : - 12108: 00000213 li tp,0 - 1210c: 00100093 li ra,1 - 12110: 01f00113 li sp,31 - 12114: 00209f33 sll t5,ra,sp - 12118: 00000013 nop - 1211c: 00000013 nop - 12120: 000f0313 mv t1,t5 - 12124: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12128: 00200293 li t0,2 - 1212c: fe5210e3 bne tp,t0,1210c - 12130: 80000eb7 lui t4,0x80000 - 12134: 1bb00193 li gp,443 - 12138: 1dd310e3 bne t1,t4,12af8 - -0001213c : - 1213c: 00000213 li tp,0 - 12140: 00100093 li ra,1 - 12144: 00700113 li sp,7 - 12148: 00209f33 sll t5,ra,sp - 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12150: 00200293 li t0,2 - 12154: fe5216e3 bne tp,t0,12140 - 12158: 08000e93 li t4,128 - 1215c: 1bc00193 li gp,444 - 12160: 19df1ce3 bne t5,t4,12af8 - -00012164 : - 12164: 00000213 li tp,0 - 12168: 00100093 li ra,1 - 1216c: 00e00113 li sp,14 - 12170: 00000013 nop - 12174: 00209f33 sll t5,ra,sp - 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1217c: 00200293 li t0,2 - 12180: fe5214e3 bne tp,t0,12168 - 12184: 00004eb7 lui t4,0x4 - 12188: 1bd00193 li gp,445 - 1218c: 17df16e3 bne t5,t4,12af8 - -00012190 : - 12190: 00000213 li tp,0 - 12194: 00100093 li ra,1 - 12198: 01f00113 li sp,31 - 1219c: 00000013 nop - 121a0: 00000013 nop - 121a4: 00209f33 sll t5,ra,sp - 121a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121ac: 00200293 li t0,2 - 121b0: fe5212e3 bne tp,t0,12194 - 121b4: 80000eb7 lui t4,0x80000 - 121b8: 1be00193 li gp,446 - 121bc: 13df1ee3 bne t5,t4,12af8 - -000121c0 : - 121c0: 00000213 li tp,0 - 121c4: 00100093 li ra,1 - 121c8: 00000013 nop - 121cc: 00700113 li sp,7 - 121d0: 00209f33 sll t5,ra,sp - 121d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121d8: 00200293 li t0,2 - 121dc: fe5214e3 bne tp,t0,121c4 - 121e0: 08000e93 li t4,128 - 121e4: 1bf00193 li gp,447 - 121e8: 11df18e3 bne t5,t4,12af8 - -000121ec : - 121ec: 00000213 li tp,0 - 121f0: 00100093 li ra,1 - 121f4: 00000013 nop - 121f8: 00e00113 li sp,14 - 121fc: 00000013 nop - 12200: 00209f33 sll t5,ra,sp - 12204: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12208: 00200293 li t0,2 - 1220c: fe5212e3 bne tp,t0,121f0 - 12210: 00004eb7 lui t4,0x4 - 12214: 1c000193 li gp,448 - 12218: 0fdf10e3 bne t5,t4,12af8 - -0001221c : - 1221c: 00000213 li tp,0 - 12220: 00100093 li ra,1 - 12224: 00000013 nop - 12228: 00000013 nop - 1222c: 01f00113 li sp,31 - 12230: 00209f33 sll t5,ra,sp - 12234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12238: 00200293 li t0,2 - 1223c: fe5212e3 bne tp,t0,12220 - 12240: 80000eb7 lui t4,0x80000 - 12244: 1c100193 li gp,449 - 12248: 0bdf18e3 bne t5,t4,12af8 - -0001224c : - 1224c: 00000213 li tp,0 - 12250: 00700113 li sp,7 - 12254: 00100093 li ra,1 - 12258: 00209f33 sll t5,ra,sp - 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12260: 00200293 li t0,2 - 12264: fe5216e3 bne tp,t0,12250 - 12268: 08000e93 li t4,128 - 1226c: 1c200193 li gp,450 - 12270: 09df14e3 bne t5,t4,12af8 - -00012274 : - 12274: 00000213 li tp,0 - 12278: 00e00113 li sp,14 - 1227c: 00100093 li ra,1 - 12280: 00000013 nop - 12284: 00209f33 sll t5,ra,sp - 12288: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1228c: 00200293 li t0,2 - 12290: fe5214e3 bne tp,t0,12278 - 12294: 00004eb7 lui t4,0x4 - 12298: 1c300193 li gp,451 - 1229c: 05df1ee3 bne t5,t4,12af8 - -000122a0 : - 122a0: 00000213 li tp,0 - 122a4: 01f00113 li sp,31 - 122a8: 00100093 li ra,1 - 122ac: 00000013 nop - 122b0: 00000013 nop - 122b4: 00209f33 sll t5,ra,sp - 122b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122bc: 00200293 li t0,2 - 122c0: fe5212e3 bne tp,t0,122a4 - 122c4: 80000eb7 lui t4,0x80000 - 122c8: 1c400193 li gp,452 - 122cc: 03df16e3 bne t5,t4,12af8 - -000122d0 : - 122d0: 00000213 li tp,0 - 122d4: 00700113 li sp,7 - 122d8: 00000013 nop - 122dc: 00100093 li ra,1 - 122e0: 00209f33 sll t5,ra,sp - 122e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122e8: 00200293 li t0,2 - 122ec: fe5214e3 bne tp,t0,122d4 - 122f0: 08000e93 li t4,128 - 122f4: 1c500193 li gp,453 - 122f8: 01df10e3 bne t5,t4,12af8 - -000122fc : - 122fc: 00000213 li tp,0 - 12300: 00e00113 li sp,14 - 12304: 00000013 nop - 12308: 00100093 li ra,1 - 1230c: 00000013 nop - 12310: 00209f33 sll t5,ra,sp - 12314: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12318: 00200293 li t0,2 - 1231c: fe5212e3 bne tp,t0,12300 - 12320: 00004eb7 lui t4,0x4 - 12324: 1c600193 li gp,454 - 12328: 7ddf1863 bne t5,t4,12af8 - -0001232c : - 1232c: 00000213 li tp,0 - 12330: 01f00113 li sp,31 - 12334: 00000013 nop - 12338: 00000013 nop - 1233c: 00100093 li ra,1 - 12340: 00209f33 sll t5,ra,sp - 12344: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12348: 00200293 li t0,2 - 1234c: fe5212e3 bne tp,t0,12330 - 12350: 80000eb7 lui t4,0x80000 - 12354: 1c700193 li gp,455 - 12358: 7bdf1063 bne t5,t4,12af8 - -0001235c : - 1235c: 00f00093 li ra,15 - 12360: 00101133 sll sp,zero,ra - 12364: 00000e93 li t4,0 - 12368: 1c800193 li gp,456 - 1236c: 79d11663 bne sp,t4,12af8 - -00012370 : - 12370: 02000093 li ra,32 - 12374: 00009133 sll sp,ra,zero - 12378: 02000e93 li t4,32 - 1237c: 1c900193 li gp,457 - 12380: 77d11c63 bne sp,t4,12af8 - -00012384 : - 12384: 000010b3 sll ra,zero,zero - 12388: 00000e93 li t4,0 - 1238c: 1ca00193 li gp,458 - 12390: 77d09463 bne ra,t4,12af8 - -00012394 : - 12394: 40000093 li ra,1024 - 12398: 00001137 lui sp,0x1 - 1239c: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> - 123a0: 00209033 sll zero,ra,sp - 123a4: 00000e93 li t4,0 - 123a8: 1cb00193 li gp,459 - 123ac: 75d01663 bne zero,t4,12af8 - -000123b0 : - 123b0: 00100093 li ra,1 - 123b4: 00009f13 slli t5,ra,0x0 - 123b8: 00100e93 li t4,1 - 123bc: 1cc00193 li gp,460 - 123c0: 73df1c63 bne t5,t4,12af8 - -000123c4 : - 123c4: 00100093 li ra,1 - 123c8: 00109f13 slli t5,ra,0x1 - 123cc: 00200e93 li t4,2 - 123d0: 1cd00193 li gp,461 - 123d4: 73df1263 bne t5,t4,12af8 - -000123d8 : - 123d8: 00100093 li ra,1 - 123dc: 00709f13 slli t5,ra,0x7 - 123e0: 08000e93 li t4,128 - 123e4: 1ce00193 li gp,462 - 123e8: 71df1863 bne t5,t4,12af8 - -000123ec : - 123ec: 00100093 li ra,1 - 123f0: 00e09f13 slli t5,ra,0xe - 123f4: 00004eb7 lui t4,0x4 - 123f8: 1cf00193 li gp,463 - 123fc: 6fdf1e63 bne t5,t4,12af8 - -00012400 : - 12400: 00100093 li ra,1 - 12404: 01f09f13 slli t5,ra,0x1f - 12408: 80000eb7 lui t4,0x80000 - 1240c: 1d000193 li gp,464 - 12410: 6fdf1463 bne t5,t4,12af8 - -00012414 : - 12414: fff00093 li ra,-1 - 12418: 00009f13 slli t5,ra,0x0 - 1241c: fff00e93 li t4,-1 - 12420: 1d100193 li gp,465 - 12424: 6ddf1a63 bne t5,t4,12af8 - -00012428 : - 12428: fff00093 li ra,-1 - 1242c: 00109f13 slli t5,ra,0x1 - 12430: ffe00e93 li t4,-2 - 12434: 1d200193 li gp,466 - 12438: 6ddf1063 bne t5,t4,12af8 - -0001243c : - 1243c: fff00093 li ra,-1 - 12440: 00709f13 slli t5,ra,0x7 - 12444: f8000e93 li t4,-128 - 12448: 1d300193 li gp,467 - 1244c: 6bdf1663 bne t5,t4,12af8 - -00012450 : - 12450: fff00093 li ra,-1 - 12454: 00e09f13 slli t5,ra,0xe - 12458: ffffceb7 lui t4,0xffffc - 1245c: 1d400193 li gp,468 - 12460: 69df1c63 bne t5,t4,12af8 - -00012464 : - 12464: fff00093 li ra,-1 - 12468: 01f09f13 slli t5,ra,0x1f - 1246c: 80000eb7 lui t4,0x80000 - 12470: 1d500193 li gp,469 - 12474: 69df1263 bne t5,t4,12af8 - -00012478 : - 12478: 212120b7 lui ra,0x21212 - 1247c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12480: 00009f13 slli t5,ra,0x0 - 12484: 21212eb7 lui t4,0x21212 - 12488: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> - 1248c: 1d600193 li gp,470 - 12490: 67df1463 bne t5,t4,12af8 - -00012494 : - 12494: 212120b7 lui ra,0x21212 - 12498: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 1249c: 00109f13 slli t5,ra,0x1 - 124a0: 42424eb7 lui t4,0x42424 - 124a4: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> - 124a8: 1d700193 li gp,471 - 124ac: 65df1663 bne t5,t4,12af8 - -000124b0 : - 124b0: 212120b7 lui ra,0x21212 - 124b4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 124b8: 00709f13 slli t5,ra,0x7 - 124bc: 90909eb7 lui t4,0x90909 - 124c0: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> - 124c4: 1d800193 li gp,472 - 124c8: 63df1863 bne t5,t4,12af8 - -000124cc : - 124cc: 212120b7 lui ra,0x21212 - 124d0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 124d4: 00e09f13 slli t5,ra,0xe - 124d8: 48484eb7 lui t4,0x48484 - 124dc: 1d900193 li gp,473 - 124e0: 61df1c63 bne t5,t4,12af8 - -000124e4 : - 124e4: 212120b7 lui ra,0x21212 - 124e8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 124ec: 01f09f13 slli t5,ra,0x1f - 124f0: 80000eb7 lui t4,0x80000 - 124f4: 1da00193 li gp,474 - 124f8: 61df1063 bne t5,t4,12af8 - -000124fc : - 124fc: 00100093 li ra,1 - 12500: 00709093 slli ra,ra,0x7 - 12504: 08000e93 li t4,128 - 12508: 1de00193 li gp,478 - 1250c: 5fd09663 bne ra,t4,12af8 - -00012510 : - 12510: 00000213 li tp,0 - 12514: 00100093 li ra,1 - 12518: 00709f13 slli t5,ra,0x7 - 1251c: 000f0313 mv t1,t5 - 12520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12524: 00200293 li t0,2 - 12528: fe5216e3 bne tp,t0,12514 - 1252c: 08000e93 li t4,128 - 12530: 1df00193 li gp,479 - 12534: 5dd31263 bne t1,t4,12af8 - -00012538 : - 12538: 00000213 li tp,0 - 1253c: 00100093 li ra,1 - 12540: 00e09f13 slli t5,ra,0xe - 12544: 00000013 nop - 12548: 000f0313 mv t1,t5 - 1254c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12550: 00200293 li t0,2 - 12554: fe5214e3 bne tp,t0,1253c - 12558: 00004eb7 lui t4,0x4 - 1255c: 1e000193 li gp,480 - 12560: 59d31c63 bne t1,t4,12af8 - -00012564 : - 12564: 00000213 li tp,0 - 12568: 00100093 li ra,1 - 1256c: 01f09f13 slli t5,ra,0x1f - 12570: 00000013 nop - 12574: 00000013 nop - 12578: 000f0313 mv t1,t5 - 1257c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12580: 00200293 li t0,2 - 12584: fe5212e3 bne tp,t0,12568 - 12588: 80000eb7 lui t4,0x80000 - 1258c: 1e100193 li gp,481 - 12590: 57d31463 bne t1,t4,12af8 - -00012594 : - 12594: 00000213 li tp,0 - 12598: 00100093 li ra,1 - 1259c: 00709f13 slli t5,ra,0x7 - 125a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125a4: 00200293 li t0,2 - 125a8: fe5218e3 bne tp,t0,12598 - 125ac: 08000e93 li t4,128 - 125b0: 1e200193 li gp,482 - 125b4: 55df1263 bne t5,t4,12af8 - -000125b8 : - 125b8: 00000213 li tp,0 - 125bc: 00100093 li ra,1 - 125c0: 00000013 nop - 125c4: 00e09f13 slli t5,ra,0xe - 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125cc: 00200293 li t0,2 - 125d0: fe5216e3 bne tp,t0,125bc - 125d4: 00004eb7 lui t4,0x4 - 125d8: 1e300193 li gp,483 - 125dc: 51df1e63 bne t5,t4,12af8 - -000125e0 : - 125e0: 00000213 li tp,0 - 125e4: 00100093 li ra,1 - 125e8: 00000013 nop - 125ec: 00000013 nop - 125f0: 01f09f13 slli t5,ra,0x1f - 125f4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125f8: 00200293 li t0,2 - 125fc: fe5214e3 bne tp,t0,125e4 - 12600: 80000eb7 lui t4,0x80000 - 12604: 1e400193 li gp,484 - 12608: 4fdf1863 bne t5,t4,12af8 - -0001260c : - 1260c: 01f01093 slli ra,zero,0x1f - 12610: 00000e93 li t4,0 - 12614: 1e500193 li gp,485 - 12618: 4fd09063 bne ra,t4,12af8 - -0001261c : - 1261c: 02100093 li ra,33 - 12620: 01409013 slli zero,ra,0x14 - 12624: 00000e93 li t4,0 - 12628: 1e600193 li gp,486 - 1262c: 4dd01663 bne zero,t4,12af8 - -00012630 : - 12630: 00000093 li ra,0 - 12634: 00000113 li sp,0 - 12638: 0020af33 slt t5,ra,sp - 1263c: 00000e93 li t4,0 - 12640: 1e700193 li gp,487 - 12644: 4bdf1a63 bne t5,t4,12af8 - -00012648 : - 12648: 00100093 li ra,1 - 1264c: 00100113 li sp,1 - 12650: 0020af33 slt t5,ra,sp - 12654: 00000e93 li t4,0 - 12658: 1e800193 li gp,488 - 1265c: 49df1e63 bne t5,t4,12af8 - -00012660 : - 12660: 00300093 li ra,3 - 12664: 00700113 li sp,7 - 12668: 0020af33 slt t5,ra,sp - 1266c: 00100e93 li t4,1 - 12670: 1e900193 li gp,489 - 12674: 49df1263 bne t5,t4,12af8 - -00012678 : - 12678: 00700093 li ra,7 - 1267c: 00300113 li sp,3 - 12680: 0020af33 slt t5,ra,sp - 12684: 00000e93 li t4,0 - 12688: 1ea00193 li gp,490 - 1268c: 47df1663 bne t5,t4,12af8 - -00012690 : - 12690: 00000093 li ra,0 - 12694: ffff8137 lui sp,0xffff8 - 12698: 0020af33 slt t5,ra,sp - 1269c: 00000e93 li t4,0 - 126a0: 1eb00193 li gp,491 - 126a4: 45df1a63 bne t5,t4,12af8 - -000126a8 : - 126a8: 800000b7 lui ra,0x80000 - 126ac: 00000113 li sp,0 - 126b0: 0020af33 slt t5,ra,sp - 126b4: 00100e93 li t4,1 - 126b8: 1ec00193 li gp,492 - 126bc: 43df1e63 bne t5,t4,12af8 - -000126c0 : - 126c0: 800000b7 lui ra,0x80000 - 126c4: ffff8137 lui sp,0xffff8 - 126c8: 0020af33 slt t5,ra,sp - 126cc: 00100e93 li t4,1 - 126d0: 1ed00193 li gp,493 - 126d4: 43df1263 bne t5,t4,12af8 - -000126d8 : - 126d8: 00000093 li ra,0 - 126dc: 00008137 lui sp,0x8 - 126e0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 126e4: 0020af33 slt t5,ra,sp - 126e8: 00100e93 li t4,1 - 126ec: 1ee00193 li gp,494 - 126f0: 41df1463 bne t5,t4,12af8 - -000126f4 : - 126f4: 800000b7 lui ra,0x80000 - 126f8: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> - 126fc: 00000113 li sp,0 - 12700: 0020af33 slt t5,ra,sp - 12704: 00000e93 li t4,0 - 12708: 1ef00193 li gp,495 - 1270c: 3fdf1663 bne t5,t4,12af8 - -00012710 : - 12710: 800000b7 lui ra,0x80000 - 12714: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> - 12718: 00008137 lui sp,0x8 - 1271c: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 12720: 0020af33 slt t5,ra,sp - 12724: 00000e93 li t4,0 - 12728: 1f000193 li gp,496 - 1272c: 3ddf1663 bne t5,t4,12af8 - -00012730 : - 12730: 800000b7 lui ra,0x80000 - 12734: 00008137 lui sp,0x8 - 12738: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 1273c: 0020af33 slt t5,ra,sp - 12740: 00100e93 li t4,1 - 12744: 1f100193 li gp,497 - 12748: 3bdf1863 bne t5,t4,12af8 - -0001274c : - 1274c: 800000b7 lui ra,0x80000 - 12750: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> - 12754: ffff8137 lui sp,0xffff8 - 12758: 0020af33 slt t5,ra,sp - 1275c: 00000e93 li t4,0 - 12760: 1f200193 li gp,498 - 12764: 39df1a63 bne t5,t4,12af8 - -00012768 : - 12768: 00000093 li ra,0 - 1276c: fff00113 li sp,-1 - 12770: 0020af33 slt t5,ra,sp - 12774: 00000e93 li t4,0 - 12778: 1f300193 li gp,499 - 1277c: 37df1e63 bne t5,t4,12af8 - -00012780 : - 12780: fff00093 li ra,-1 - 12784: 00100113 li sp,1 - 12788: 0020af33 slt t5,ra,sp - 1278c: 00100e93 li t4,1 - 12790: 1f400193 li gp,500 - 12794: 37df1263 bne t5,t4,12af8 - -00012798 : - 12798: fff00093 li ra,-1 - 1279c: fff00113 li sp,-1 - 127a0: 0020af33 slt t5,ra,sp - 127a4: 00000e93 li t4,0 - 127a8: 1f500193 li gp,501 - 127ac: 35df1663 bne t5,t4,12af8 - -000127b0 : - 127b0: 00e00093 li ra,14 - 127b4: 00d00113 li sp,13 - 127b8: 0020a0b3 slt ra,ra,sp - 127bc: 00000e93 li t4,0 - 127c0: 1f600193 li gp,502 - 127c4: 33d09a63 bne ra,t4,12af8 - -000127c8 : - 127c8: 00b00093 li ra,11 - 127cc: 00d00113 li sp,13 - 127d0: 0020a133 slt sp,ra,sp - 127d4: 00100e93 li t4,1 - 127d8: 1f700193 li gp,503 - 127dc: 31d11e63 bne sp,t4,12af8 - -000127e0 : - 127e0: 00d00093 li ra,13 - 127e4: 0010a0b3 slt ra,ra,ra - 127e8: 00000e93 li t4,0 - 127ec: 1f800193 li gp,504 - 127f0: 31d09463 bne ra,t4,12af8 - -000127f4 : - 127f4: 00000213 li tp,0 - 127f8: 00b00093 li ra,11 - 127fc: 00d00113 li sp,13 - 12800: 0020af33 slt t5,ra,sp - 12804: 000f0313 mv t1,t5 - 12808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1280c: 00200293 li t0,2 - 12810: fe5214e3 bne tp,t0,127f8 - 12814: 00100e93 li t4,1 - 12818: 1f900193 li gp,505 - 1281c: 2dd31e63 bne t1,t4,12af8 - -00012820 : - 12820: 00000213 li tp,0 - 12824: 00e00093 li ra,14 - 12828: 00d00113 li sp,13 - 1282c: 0020af33 slt t5,ra,sp - 12830: 00000013 nop - 12834: 000f0313 mv t1,t5 - 12838: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1283c: 00200293 li t0,2 - 12840: fe5212e3 bne tp,t0,12824 - 12844: 00000e93 li t4,0 - 12848: 1fa00193 li gp,506 - 1284c: 2bd31663 bne t1,t4,12af8 - -00012850 : - 12850: 00000213 li tp,0 - 12854: 00c00093 li ra,12 - 12858: 00d00113 li sp,13 - 1285c: 0020af33 slt t5,ra,sp - 12860: 00000013 nop - 12864: 00000013 nop - 12868: 000f0313 mv t1,t5 - 1286c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12870: 00200293 li t0,2 - 12874: fe5210e3 bne tp,t0,12854 - 12878: 00100e93 li t4,1 - 1287c: 1fb00193 li gp,507 - 12880: 27d31c63 bne t1,t4,12af8 - -00012884 : - 12884: 00000213 li tp,0 - 12888: 00e00093 li ra,14 - 1288c: 00d00113 li sp,13 - 12890: 0020af33 slt t5,ra,sp - 12894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12898: 00200293 li t0,2 - 1289c: fe5216e3 bne tp,t0,12888 - 128a0: 00000e93 li t4,0 - 128a4: 1fc00193 li gp,508 - 128a8: 25df1863 bne t5,t4,12af8 - -000128ac : - 128ac: 00000213 li tp,0 - 128b0: 00b00093 li ra,11 - 128b4: 00d00113 li sp,13 - 128b8: 00000013 nop - 128bc: 0020af33 slt t5,ra,sp - 128c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 128c4: 00200293 li t0,2 - 128c8: fe5214e3 bne tp,t0,128b0 - 128cc: 00100e93 li t4,1 - 128d0: 1fd00193 li gp,509 - 128d4: 23df1263 bne t5,t4,12af8 - -000128d8 : - 128d8: 00000213 li tp,0 - 128dc: 00f00093 li ra,15 - 128e0: 00d00113 li sp,13 - 128e4: 00000013 nop - 128e8: 00000013 nop - 128ec: 0020af33 slt t5,ra,sp - 128f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 128f4: 00200293 li t0,2 - 128f8: fe5212e3 bne tp,t0,128dc - 128fc: 00000e93 li t4,0 - 12900: 1fe00193 li gp,510 - 12904: 1fdf1a63 bne t5,t4,12af8 - -00012908 : - 12908: 00000213 li tp,0 - 1290c: 00a00093 li ra,10 - 12910: 00000013 nop - 12914: 00d00113 li sp,13 - 12918: 0020af33 slt t5,ra,sp - 1291c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12920: 00200293 li t0,2 - 12924: fe5214e3 bne tp,t0,1290c - 12928: 00100e93 li t4,1 - 1292c: 1ff00193 li gp,511 - 12930: 1ddf1463 bne t5,t4,12af8 - -00012934 : - 12934: 00000213 li tp,0 - 12938: 01000093 li ra,16 - 1293c: 00000013 nop - 12940: 00d00113 li sp,13 - 12944: 00000013 nop - 12948: 0020af33 slt t5,ra,sp - 1294c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12950: 00200293 li t0,2 - 12954: fe5212e3 bne tp,t0,12938 - 12958: 00000e93 li t4,0 - 1295c: 20000193 li gp,512 - 12960: 19df1c63 bne t5,t4,12af8 - -00012964 : - 12964: 00000213 li tp,0 - 12968: 00900093 li ra,9 - 1296c: 00000013 nop - 12970: 00000013 nop - 12974: 00d00113 li sp,13 - 12978: 0020af33 slt t5,ra,sp - 1297c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12980: 00200293 li t0,2 - 12984: fe5212e3 bne tp,t0,12968 - 12988: 00100e93 li t4,1 - 1298c: 20100193 li gp,513 - 12990: 17df1463 bne t5,t4,12af8 - -00012994 : - 12994: 00000213 li tp,0 - 12998: 00d00113 li sp,13 - 1299c: 01100093 li ra,17 - 129a0: 0020af33 slt t5,ra,sp - 129a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129a8: 00200293 li t0,2 - 129ac: fe5216e3 bne tp,t0,12998 - 129b0: 00000e93 li t4,0 - 129b4: 20200193 li gp,514 - 129b8: 15df1063 bne t5,t4,12af8 - -000129bc : - 129bc: 00000213 li tp,0 - 129c0: 00d00113 li sp,13 - 129c4: 00800093 li ra,8 - 129c8: 00000013 nop - 129cc: 0020af33 slt t5,ra,sp - 129d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129d4: 00200293 li t0,2 - 129d8: fe5214e3 bne tp,t0,129c0 - 129dc: 00100e93 li t4,1 - 129e0: 20300193 li gp,515 - 129e4: 11df1a63 bne t5,t4,12af8 - -000129e8 : - 129e8: 00000213 li tp,0 - 129ec: 00d00113 li sp,13 - 129f0: 01200093 li ra,18 - 129f4: 00000013 nop - 129f8: 00000013 nop - 129fc: 0020af33 slt t5,ra,sp - 12a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a04: 00200293 li t0,2 - 12a08: fe5212e3 bne tp,t0,129ec - 12a0c: 00000e93 li t4,0 - 12a10: 20400193 li gp,516 - 12a14: 0fdf1263 bne t5,t4,12af8 - -00012a18 : - 12a18: 00000213 li tp,0 - 12a1c: 00d00113 li sp,13 - 12a20: 00000013 nop - 12a24: 00700093 li ra,7 - 12a28: 0020af33 slt t5,ra,sp - 12a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a30: 00200293 li t0,2 - 12a34: fe5214e3 bne tp,t0,12a1c - 12a38: 00100e93 li t4,1 - 12a3c: 20500193 li gp,517 - 12a40: 0bdf1c63 bne t5,t4,12af8 - -00012a44 : - 12a44: 00000213 li tp,0 - 12a48: 00d00113 li sp,13 - 12a4c: 00000013 nop - 12a50: 01300093 li ra,19 - 12a54: 00000013 nop - 12a58: 0020af33 slt t5,ra,sp - 12a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a60: 00200293 li t0,2 - 12a64: fe5212e3 bne tp,t0,12a48 - 12a68: 00000e93 li t4,0 - 12a6c: 20600193 li gp,518 - 12a70: 09df1463 bne t5,t4,12af8 - -00012a74 : - 12a74: 00000213 li tp,0 - 12a78: 00d00113 li sp,13 - 12a7c: 00000013 nop - 12a80: 00000013 nop - 12a84: 00600093 li ra,6 - 12a88: 0020af33 slt t5,ra,sp - 12a8c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a90: 00200293 li t0,2 - 12a94: fe5212e3 bne tp,t0,12a78 - 12a98: 00100e93 li t4,1 - 12a9c: 20700193 li gp,519 - 12aa0: 05df1c63 bne t5,t4,12af8 - -00012aa4 : - 12aa4: fff00093 li ra,-1 - 12aa8: 00102133 sgtz sp,ra - 12aac: 00000e93 li t4,0 - 12ab0: 20800193 li gp,520 - 12ab4: 05d11263 bne sp,t4,12af8 - -00012ab8 : - 12ab8: fff00093 li ra,-1 - 12abc: 0000a133 sltz sp,ra - 12ac0: 00100e93 li t4,1 - 12ac4: 20900193 li gp,521 - 12ac8: 03d11863 bne sp,t4,12af8 - -00012acc : - 12acc: 000020b3 sltz ra,zero - 12ad0: 00000e93 li t4,0 - 12ad4: 20a00193 li gp,522 - 12ad8: 03d09063 bne ra,t4,12af8 - -00012adc : - 12adc: 01000093 li ra,16 - 12ae0: 01e00113 li sp,30 - 12ae4: 0020a033 slt zero,ra,sp - 12ae8: 00000e93 li t4,0 - 12aec: 20b00193 li gp,523 - 12af0: 01d01463 bne zero,t4,12af8 - 12af4: 00301463 bne zero,gp,12afc - -00012af8 : - 12af8: 00000a6f jal s4,12af8 - -00012afc : - 12afc: 00100193 li gp,1 - -00012b00 : - 12b00: 00000a6f jal s4,12b00 - 12b04: c0001073 unimp - ... +00010084 : + 10084: 00004097 auipc ra,0x4 + 10088: acc08093 addi ra,ra,-1332 # 13b50 + 1008c: 00008f03 lb t5,0(ra) + 10090: fff00e93 li t4,-1 + 10094: 0ed00193 li gp,237 + 10098: 01df0463 beq t5,t4,100a0 + 1009c: 25d0206f j 12af8 + +000100a0 : + 100a0: 00004097 auipc ra,0x4 + 100a4: ab008093 addi ra,ra,-1360 # 13b50 + 100a8: 00108f03 lb t5,1(ra) + 100ac: 00000e93 li t4,0 + 100b0: 0ee00193 li gp,238 + 100b4: 01df0463 beq t5,t4,100bc + 100b8: 2410206f j 12af8 + +000100bc : + 100bc: 00004097 auipc ra,0x4 + 100c0: a9408093 addi ra,ra,-1388 # 13b50 + 100c4: 00208f03 lb t5,2(ra) + 100c8: ff000e93 li t4,-16 + 100cc: 0ef00193 li gp,239 + 100d0: 01df0463 beq t5,t4,100d8 + 100d4: 2250206f j 12af8 + +000100d8 : + 100d8: 00004097 auipc ra,0x4 + 100dc: a7808093 addi ra,ra,-1416 # 13b50 + 100e0: 00308f03 lb t5,3(ra) + 100e4: 00f00e93 li t4,15 + 100e8: 0f000193 li gp,240 + 100ec: 01df0463 beq t5,t4,100f4 + 100f0: 2090206f j 12af8 + +000100f4 : + 100f4: 00004097 auipc ra,0x4 + 100f8: a5f08093 addi ra,ra,-1441 # 13b53 + 100fc: ffd08f03 lb t5,-3(ra) + 10100: fff00e93 li t4,-1 + 10104: 0f100193 li gp,241 + 10108: 01df0463 beq t5,t4,10110 + 1010c: 1ed0206f j 12af8 + +00010110 : + 10110: 00004097 auipc ra,0x4 + 10114: a4308093 addi ra,ra,-1469 # 13b53 + 10118: ffe08f03 lb t5,-2(ra) + 1011c: 00000e93 li t4,0 + 10120: 0f200193 li gp,242 + 10124: 01df0463 beq t5,t4,1012c + 10128: 1d10206f j 12af8 + +0001012c : + 1012c: 00004097 auipc ra,0x4 + 10130: a2708093 addi ra,ra,-1497 # 13b53 + 10134: fff08f03 lb t5,-1(ra) + 10138: ff000e93 li t4,-16 + 1013c: 0f300193 li gp,243 + 10140: 01df0463 beq t5,t4,10148 + 10144: 1b50206f j 12af8 + +00010148 : + 10148: 00004097 auipc ra,0x4 + 1014c: a0b08093 addi ra,ra,-1525 # 13b53 + 10150: 00008f03 lb t5,0(ra) + 10154: 00f00e93 li t4,15 + 10158: 0f400193 li gp,244 + 1015c: 01df0463 beq t5,t4,10164 + 10160: 1990206f j 12af8 + +00010164 : + 10164: 00004097 auipc ra,0x4 + 10168: 9ec08093 addi ra,ra,-1556 # 13b50 + 1016c: fe008093 addi ra,ra,-32 + 10170: 02008283 lb t0,32(ra) + 10174: fff00e93 li t4,-1 + 10178: 0f500193 li gp,245 + 1017c: 01d28463 beq t0,t4,10184 + 10180: 1790206f j 12af8 + +00010184 : + 10184: 00004097 auipc ra,0x4 + 10188: 9cc08093 addi ra,ra,-1588 # 13b50 + 1018c: ffa08093 addi ra,ra,-6 + 10190: 00708283 lb t0,7(ra) + 10194: 00000e93 li t4,0 + 10198: 0f600193 li gp,246 + 1019c: 01d28463 beq t0,t4,101a4 + 101a0: 1590206f j 12af8 + +000101a4 : + 101a4: 0f700193 li gp,247 + 101a8: 00000213 li tp,0 + 101ac: 00004097 auipc ra,0x4 + 101b0: 9a508093 addi ra,ra,-1627 # 13b51 + 101b4: 00108f03 lb t5,1(ra) + 101b8: 000f0313 mv t1,t5 + 101bc: ff000e93 li t4,-16 + 101c0: 01d30463 beq t1,t4,101c8 + 101c4: 1350206f j 12af8 + 101c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 101cc: 00200293 li t0,2 + 101d0: fc521ee3 bne tp,t0,101ac + +000101d4 : + 101d4: 0f800193 li gp,248 + 101d8: 00000213 li tp,0 + 101dc: 00004097 auipc ra,0x4 + 101e0: 97608093 addi ra,ra,-1674 # 13b52 + 101e4: 00108f03 lb t5,1(ra) + 101e8: 00000013 nop + 101ec: 000f0313 mv t1,t5 + 101f0: 00f00e93 li t4,15 + 101f4: 01d30463 beq t1,t4,101fc + 101f8: 1010206f j 12af8 + 101fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10200: 00200293 li t0,2 + 10204: fc521ce3 bne tp,t0,101dc + +00010208 : + 10208: 0f900193 li gp,249 + 1020c: 00000213 li tp,0 + 10210: 00004097 auipc ra,0x4 + 10214: 94008093 addi ra,ra,-1728 # 13b50 + 10218: 00108f03 lb t5,1(ra) + 1021c: 00000013 nop + 10220: 00000013 nop + 10224: 000f0313 mv t1,t5 + 10228: 00000e93 li t4,0 + 1022c: 01d30463 beq t1,t4,10234 + 10230: 0c90206f j 12af8 + 10234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10238: 00200293 li t0,2 + 1023c: fc521ae3 bne tp,t0,10210 + +00010240 : + 10240: 0fa00193 li gp,250 + 10244: 00000213 li tp,0 + 10248: 00004097 auipc ra,0x4 + 1024c: 90908093 addi ra,ra,-1783 # 13b51 + 10250: 00108f03 lb t5,1(ra) + 10254: ff000e93 li t4,-16 + 10258: 01df0463 beq t5,t4,10260 + 1025c: 09d0206f j 12af8 + 10260: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10264: 00200293 li t0,2 + 10268: fe5210e3 bne tp,t0,10248 + +0001026c : + 1026c: 0fb00193 li gp,251 + 10270: 00000213 li tp,0 + 10274: 00004097 auipc ra,0x4 + 10278: 8de08093 addi ra,ra,-1826 # 13b52 + 1027c: 00000013 nop + 10280: 00108f03 lb t5,1(ra) + 10284: 00f00e93 li t4,15 + 10288: 01df0463 beq t5,t4,10290 + 1028c: 06d0206f j 12af8 + 10290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10294: 00200293 li t0,2 + 10298: fc521ee3 bne tp,t0,10274 + +0001029c : + 1029c: 0fc00193 li gp,252 + 102a0: 00000213 li tp,0 + 102a4: 00004097 auipc ra,0x4 + 102a8: 8ac08093 addi ra,ra,-1876 # 13b50 + 102ac: 00000013 nop + 102b0: 00000013 nop + 102b4: 00108f03 lb t5,1(ra) + 102b8: 00000e93 li t4,0 + 102bc: 01df0463 beq t5,t4,102c4 + 102c0: 0390206f j 12af8 + 102c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102c8: 00200293 li t0,2 + 102cc: fc521ce3 bne tp,t0,102a4 + +000102d0 : + 102d0: 00004297 auipc t0,0x4 + 102d4: 88028293 addi t0,t0,-1920 # 13b50 + 102d8: 00028103 lb sp,0(t0) + 102dc: 00200113 li sp,2 + 102e0: 00200e93 li t4,2 + 102e4: 0fd00193 li gp,253 + 102e8: 01d10463 beq sp,t4,102f0 + 102ec: 00d0206f j 12af8 + +000102f0 : + 102f0: 00004297 auipc t0,0x4 + 102f4: 86028293 addi t0,t0,-1952 # 13b50 + 102f8: 00028103 lb sp,0(t0) + 102fc: 00000013 nop + 10300: 00200113 li sp,2 + 10304: 00200e93 li t4,2 + 10308: 0fe00193 li gp,254 + 1030c: 01d10463 beq sp,t4,10314 + 10310: 7e80206f j 12af8 + +00010314 : + 10314: 00004097 auipc ra,0x4 + 10318: 83c08093 addi ra,ra,-1988 # 13b50 + 1031c: 0000cf03 lbu t5,0(ra) + 10320: 0ff00e93 li t4,255 + 10324: 0ff00193 li gp,255 + 10328: 01df0463 beq t5,t4,10330 + 1032c: 7cc0206f j 12af8 + +00010330 : + 10330: 00004097 auipc ra,0x4 + 10334: 82008093 addi ra,ra,-2016 # 13b50 + 10338: 0010cf03 lbu t5,1(ra) + 1033c: 00000e93 li t4,0 + 10340: 10000193 li gp,256 + 10344: 01df0463 beq t5,t4,1034c + 10348: 7b00206f j 12af8 + +0001034c : + 1034c: 00004097 auipc ra,0x4 + 10350: 80408093 addi ra,ra,-2044 # 13b50 + 10354: 0020cf03 lbu t5,2(ra) + 10358: 0f000e93 li t4,240 + 1035c: 10100193 li gp,257 + 10360: 01df0463 beq t5,t4,10368 + 10364: 7940206f j 12af8 + +00010368 : + 10368: 00003097 auipc ra,0x3 + 1036c: 7e808093 addi ra,ra,2024 # 13b50 + 10370: 0030cf03 lbu t5,3(ra) + 10374: 00f00e93 li t4,15 + 10378: 10200193 li gp,258 + 1037c: 01df0463 beq t5,t4,10384 + 10380: 7780206f j 12af8 + +00010384 : + 10384: 00003097 auipc ra,0x3 + 10388: 7cf08093 addi ra,ra,1999 # 13b53 + 1038c: ffd0cf03 lbu t5,-3(ra) + 10390: 0ff00e93 li t4,255 + 10394: 10300193 li gp,259 + 10398: 01df0463 beq t5,t4,103a0 + 1039c: 75c0206f j 12af8 + +000103a0 : + 103a0: 00003097 auipc ra,0x3 + 103a4: 7b308093 addi ra,ra,1971 # 13b53 + 103a8: ffe0cf03 lbu t5,-2(ra) + 103ac: 00000e93 li t4,0 + 103b0: 10400193 li gp,260 + 103b4: 01df0463 beq t5,t4,103bc + 103b8: 7400206f j 12af8 + +000103bc : + 103bc: 00003097 auipc ra,0x3 + 103c0: 79708093 addi ra,ra,1943 # 13b53 + 103c4: fff0cf03 lbu t5,-1(ra) + 103c8: 0f000e93 li t4,240 + 103cc: 10500193 li gp,261 + 103d0: 01df0463 beq t5,t4,103d8 + 103d4: 7240206f j 12af8 + +000103d8 : + 103d8: 00003097 auipc ra,0x3 + 103dc: 77b08093 addi ra,ra,1915 # 13b53 + 103e0: 0000cf03 lbu t5,0(ra) + 103e4: 00f00e93 li t4,15 + 103e8: 10600193 li gp,262 + 103ec: 01df0463 beq t5,t4,103f4 + 103f0: 7080206f j 12af8 + +000103f4 : + 103f4: 00003097 auipc ra,0x3 + 103f8: 75c08093 addi ra,ra,1884 # 13b50 + 103fc: fe008093 addi ra,ra,-32 + 10400: 0200c283 lbu t0,32(ra) + 10404: 0ff00e93 li t4,255 + 10408: 10700193 li gp,263 + 1040c: 01d28463 beq t0,t4,10414 + 10410: 6e80206f j 12af8 + +00010414 : + 10414: 00003097 auipc ra,0x3 + 10418: 73c08093 addi ra,ra,1852 # 13b50 + 1041c: ffa08093 addi ra,ra,-6 + 10420: 0070c283 lbu t0,7(ra) + 10424: 00000e93 li t4,0 + 10428: 10800193 li gp,264 + 1042c: 01d28463 beq t0,t4,10434 + 10430: 6c80206f j 12af8 + +00010434 : + 10434: 10900193 li gp,265 + 10438: 00000213 li tp,0 + 1043c: 00003097 auipc ra,0x3 + 10440: 71508093 addi ra,ra,1813 # 13b51 + 10444: 0010cf03 lbu t5,1(ra) + 10448: 000f0313 mv t1,t5 + 1044c: 0f000e93 li t4,240 + 10450: 01d30463 beq t1,t4,10458 + 10454: 6a40206f j 12af8 + 10458: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1045c: 00200293 li t0,2 + 10460: fc521ee3 bne tp,t0,1043c + +00010464 : + 10464: 10a00193 li gp,266 + 10468: 00000213 li tp,0 + 1046c: 00003097 auipc ra,0x3 + 10470: 6e608093 addi ra,ra,1766 # 13b52 + 10474: 0010cf03 lbu t5,1(ra) + 10478: 00000013 nop + 1047c: 000f0313 mv t1,t5 + 10480: 00f00e93 li t4,15 + 10484: 01d30463 beq t1,t4,1048c + 10488: 6700206f j 12af8 + 1048c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10490: 00200293 li t0,2 + 10494: fc521ce3 bne tp,t0,1046c + +00010498 : + 10498: 10b00193 li gp,267 + 1049c: 00000213 li tp,0 + 104a0: 00003097 auipc ra,0x3 + 104a4: 6b008093 addi ra,ra,1712 # 13b50 + 104a8: 0010cf03 lbu t5,1(ra) + 104ac: 00000013 nop + 104b0: 00000013 nop + 104b4: 000f0313 mv t1,t5 + 104b8: 00000e93 li t4,0 + 104bc: 01d30463 beq t1,t4,104c4 + 104c0: 6380206f j 12af8 + 104c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104c8: 00200293 li t0,2 + 104cc: fc521ae3 bne tp,t0,104a0 + +000104d0 : + 104d0: 10c00193 li gp,268 + 104d4: 00000213 li tp,0 + 104d8: 00003097 auipc ra,0x3 + 104dc: 67908093 addi ra,ra,1657 # 13b51 + 104e0: 0010cf03 lbu t5,1(ra) + 104e4: 0f000e93 li t4,240 + 104e8: 01df0463 beq t5,t4,104f0 + 104ec: 60c0206f j 12af8 + 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104f4: 00200293 li t0,2 + 104f8: fe5210e3 bne tp,t0,104d8 + +000104fc : + 104fc: 10d00193 li gp,269 + 10500: 00000213 li tp,0 + 10504: 00003097 auipc ra,0x3 + 10508: 64e08093 addi ra,ra,1614 # 13b52 + 1050c: 00000013 nop + 10510: 0010cf03 lbu t5,1(ra) + 10514: 00f00e93 li t4,15 + 10518: 01df0463 beq t5,t4,10520 + 1051c: 5dc0206f j 12af8 + 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10524: 00200293 li t0,2 + 10528: fc521ee3 bne tp,t0,10504 + +0001052c : + 1052c: 10e00193 li gp,270 + 10530: 00000213 li tp,0 + 10534: 00003097 auipc ra,0x3 + 10538: 61c08093 addi ra,ra,1564 # 13b50 + 1053c: 00000013 nop + 10540: 00000013 nop + 10544: 0010cf03 lbu t5,1(ra) + 10548: 00000e93 li t4,0 + 1054c: 01df0463 beq t5,t4,10554 + 10550: 5a80206f j 12af8 + 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10558: 00200293 li t0,2 + 1055c: fc521ce3 bne tp,t0,10534 + +00010560 : + 10560: 00003297 auipc t0,0x3 + 10564: 5f028293 addi t0,t0,1520 # 13b50 + 10568: 0002c103 lbu sp,0(t0) + 1056c: 00200113 li sp,2 + 10570: 00200e93 li t4,2 + 10574: 10f00193 li gp,271 + 10578: 01d10463 beq sp,t4,10580 + 1057c: 57c0206f j 12af8 + +00010580 : + 10580: 00003297 auipc t0,0x3 + 10584: 5d028293 addi t0,t0,1488 # 13b50 + 10588: 0002c103 lbu sp,0(t0) + 1058c: 00000013 nop + 10590: 00200113 li sp,2 + 10594: 00200e93 li t4,2 + 10598: 11000193 li gp,272 + 1059c: 01d10463 beq sp,t4,105a4 + 105a0: 5580206f j 12af8 + +000105a4 : + 105a4: 00003097 auipc ra,0x3 + 105a8: 5bc08093 addi ra,ra,1468 # 13b60 + 105ac: 00009f03 lh t5,0(ra) + 105b0: 0ff00e93 li t4,255 + 105b4: 11100193 li gp,273 + 105b8: 01df0463 beq t5,t4,105c0 + 105bc: 53c0206f j 12af8 + +000105c0 : + 105c0: 00003097 auipc ra,0x3 + 105c4: 5a008093 addi ra,ra,1440 # 13b60 + 105c8: 00209f03 lh t5,2(ra) + 105cc: f0000e93 li t4,-256 + 105d0: 11200193 li gp,274 + 105d4: 01df0463 beq t5,t4,105dc + 105d8: 5200206f j 12af8 + +000105dc : + 105dc: 00003097 auipc ra,0x3 + 105e0: 58408093 addi ra,ra,1412 # 13b60 + 105e4: 00409f03 lh t5,4(ra) + 105e8: 00001eb7 lui t4,0x1 + 105ec: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 105f0: 11300193 li gp,275 + 105f4: 01df0463 beq t5,t4,105fc + 105f8: 5000206f j 12af8 + +000105fc : + 105fc: 00003097 auipc ra,0x3 + 10600: 56408093 addi ra,ra,1380 # 13b60 + 10604: 00609f03 lh t5,6(ra) + 10608: fffffeb7 lui t4,0xfffff + 1060c: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 10610: 11400193 li gp,276 + 10614: 01df0463 beq t5,t4,1061c + 10618: 4e00206f j 12af8 + +0001061c : + 1061c: 00003097 auipc ra,0x3 + 10620: 54a08093 addi ra,ra,1354 # 13b66 + 10624: ffa09f03 lh t5,-6(ra) + 10628: 0ff00e93 li t4,255 + 1062c: 11500193 li gp,277 + 10630: 01df0463 beq t5,t4,10638 + 10634: 4c40206f j 12af8 + +00010638 : + 10638: 00003097 auipc ra,0x3 + 1063c: 52e08093 addi ra,ra,1326 # 13b66 + 10640: ffc09f03 lh t5,-4(ra) + 10644: f0000e93 li t4,-256 + 10648: 11600193 li gp,278 + 1064c: 01df0463 beq t5,t4,10654 + 10650: 4a80206f j 12af8 + +00010654 : + 10654: 00003097 auipc ra,0x3 + 10658: 51208093 addi ra,ra,1298 # 13b66 + 1065c: ffe09f03 lh t5,-2(ra) + 10660: 00001eb7 lui t4,0x1 + 10664: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10668: 11700193 li gp,279 + 1066c: 01df0463 beq t5,t4,10674 + 10670: 4880206f j 12af8 + +00010674 : + 10674: 00003097 auipc ra,0x3 + 10678: 4f208093 addi ra,ra,1266 # 13b66 + 1067c: 00009f03 lh t5,0(ra) + 10680: fffffeb7 lui t4,0xfffff + 10684: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 10688: 11800193 li gp,280 + 1068c: 01df0463 beq t5,t4,10694 + 10690: 4680206f j 12af8 + +00010694 : + 10694: 00003097 auipc ra,0x3 + 10698: 4cc08093 addi ra,ra,1228 # 13b60 + 1069c: fe008093 addi ra,ra,-32 + 106a0: 02009283 lh t0,32(ra) + 106a4: 0ff00e93 li t4,255 + 106a8: 11900193 li gp,281 + 106ac: 01d28463 beq t0,t4,106b4 + 106b0: 4480206f j 12af8 + +000106b4 : + 106b4: 00003097 auipc ra,0x3 + 106b8: 4ac08093 addi ra,ra,1196 # 13b60 + 106bc: ffb08093 addi ra,ra,-5 + 106c0: 00709283 lh t0,7(ra) + 106c4: f0000e93 li t4,-256 + 106c8: 11a00193 li gp,282 + 106cc: 01d28463 beq t0,t4,106d4 + 106d0: 4280206f j 12af8 + +000106d4 : + 106d4: 11b00193 li gp,283 + 106d8: 00000213 li tp,0 + 106dc: 00003097 auipc ra,0x3 + 106e0: 48608093 addi ra,ra,1158 # 13b62 + 106e4: 00209f03 lh t5,2(ra) + 106e8: 000f0313 mv t1,t5 + 106ec: 00001eb7 lui t4,0x1 + 106f0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 106f4: 01d30463 beq t1,t4,106fc + 106f8: 4000206f j 12af8 + 106fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10700: 00200293 li t0,2 + 10704: fc521ce3 bne tp,t0,106dc + +00010708 : + 10708: 11c00193 li gp,284 + 1070c: 00000213 li tp,0 + 10710: 00003097 auipc ra,0x3 + 10714: 45408093 addi ra,ra,1108 # 13b64 + 10718: 00209f03 lh t5,2(ra) + 1071c: 00000013 nop + 10720: 000f0313 mv t1,t5 + 10724: fffffeb7 lui t4,0xfffff + 10728: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 1072c: 01d30463 beq t1,t4,10734 + 10730: 3c80206f j 12af8 + 10734: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10738: 00200293 li t0,2 + 1073c: fc521ae3 bne tp,t0,10710 + +00010740 : + 10740: 11d00193 li gp,285 + 10744: 00000213 li tp,0 + 10748: 00003097 auipc ra,0x3 + 1074c: 41808093 addi ra,ra,1048 # 13b60 + 10750: 00209f03 lh t5,2(ra) + 10754: 00000013 nop + 10758: 00000013 nop + 1075c: 000f0313 mv t1,t5 + 10760: f0000e93 li t4,-256 + 10764: 01d30463 beq t1,t4,1076c + 10768: 3900206f j 12af8 + 1076c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10770: 00200293 li t0,2 + 10774: fc521ae3 bne tp,t0,10748 + +00010778 : + 10778: 11e00193 li gp,286 + 1077c: 00000213 li tp,0 + 10780: 00003097 auipc ra,0x3 + 10784: 3e208093 addi ra,ra,994 # 13b62 + 10788: 00209f03 lh t5,2(ra) + 1078c: 00001eb7 lui t4,0x1 + 10790: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10794: 01df0463 beq t5,t4,1079c + 10798: 3600206f j 12af8 + 1079c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107a0: 00200293 li t0,2 + 107a4: fc521ee3 bne tp,t0,10780 + +000107a8 : + 107a8: 11f00193 li gp,287 + 107ac: 00000213 li tp,0 + 107b0: 00003097 auipc ra,0x3 + 107b4: 3b408093 addi ra,ra,948 # 13b64 + 107b8: 00000013 nop + 107bc: 00209f03 lh t5,2(ra) + 107c0: fffffeb7 lui t4,0xfffff + 107c4: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 107c8: 01df0463 beq t5,t4,107d0 + 107cc: 32c0206f j 12af8 + 107d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107d4: 00200293 li t0,2 + 107d8: fc521ce3 bne tp,t0,107b0 + +000107dc : + 107dc: 12000193 li gp,288 + 107e0: 00000213 li tp,0 + 107e4: 00003097 auipc ra,0x3 + 107e8: 37c08093 addi ra,ra,892 # 13b60 + 107ec: 00000013 nop + 107f0: 00000013 nop + 107f4: 00209f03 lh t5,2(ra) + 107f8: f0000e93 li t4,-256 + 107fc: 01df0463 beq t5,t4,10804 + 10800: 2f80206f j 12af8 + 10804: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10808: 00200293 li t0,2 + 1080c: fc521ce3 bne tp,t0,107e4 + +00010810 : + 10810: 00003297 auipc t0,0x3 + 10814: 35028293 addi t0,t0,848 # 13b60 + 10818: 00029103 lh sp,0(t0) + 1081c: 00200113 li sp,2 + 10820: 00200e93 li t4,2 + 10824: 12100193 li gp,289 + 10828: 01d10463 beq sp,t4,10830 + 1082c: 2cc0206f j 12af8 + +00010830 : + 10830: 00003297 auipc t0,0x3 + 10834: 33028293 addi t0,t0,816 # 13b60 + 10838: 00029103 lh sp,0(t0) + 1083c: 00000013 nop + 10840: 00200113 li sp,2 + 10844: 00200e93 li t4,2 + 10848: 12200193 li gp,290 + 1084c: 01d10463 beq sp,t4,10854 + 10850: 2a80206f j 12af8 + +00010854 : + 10854: 00003097 auipc ra,0x3 + 10858: 30c08093 addi ra,ra,780 # 13b60 + 1085c: 0000df03 lhu t5,0(ra) + 10860: 0ff00e93 li t4,255 + 10864: 12300193 li gp,291 + 10868: 01df0463 beq t5,t4,10870 + 1086c: 28c0206f j 12af8 + +00010870 : + 10870: 00003097 auipc ra,0x3 + 10874: 2f008093 addi ra,ra,752 # 13b60 + 10878: 0020df03 lhu t5,2(ra) + 1087c: 00010eb7 lui t4,0x10 + 10880: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10884: 12400193 li gp,292 + 10888: 01df0463 beq t5,t4,10890 + 1088c: 26c0206f j 12af8 + +00010890 : + 10890: 00003097 auipc ra,0x3 + 10894: 2d008093 addi ra,ra,720 # 13b60 + 10898: 0040df03 lhu t5,4(ra) + 1089c: 00001eb7 lui t4,0x1 + 108a0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 108a4: 12500193 li gp,293 + 108a8: 01df0463 beq t5,t4,108b0 + 108ac: 24c0206f j 12af8 + +000108b0 : + 108b0: 00003097 auipc ra,0x3 + 108b4: 2b008093 addi ra,ra,688 # 13b60 + 108b8: 0060df03 lhu t5,6(ra) + 108bc: 0000feb7 lui t4,0xf + 108c0: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 108c4: 12600193 li gp,294 + 108c8: 01df0463 beq t5,t4,108d0 + 108cc: 22c0206f j 12af8 + +000108d0 : + 108d0: 00003097 auipc ra,0x3 + 108d4: 29608093 addi ra,ra,662 # 13b66 + 108d8: ffa0df03 lhu t5,-6(ra) + 108dc: 0ff00e93 li t4,255 + 108e0: 12700193 li gp,295 + 108e4: 01df0463 beq t5,t4,108ec + 108e8: 2100206f j 12af8 + +000108ec : + 108ec: 00003097 auipc ra,0x3 + 108f0: 27a08093 addi ra,ra,634 # 13b66 + 108f4: ffc0df03 lhu t5,-4(ra) + 108f8: 00010eb7 lui t4,0x10 + 108fc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10900: 12800193 li gp,296 + 10904: 01df0463 beq t5,t4,1090c + 10908: 1f00206f j 12af8 + +0001090c : + 1090c: 00003097 auipc ra,0x3 + 10910: 25a08093 addi ra,ra,602 # 13b66 + 10914: ffe0df03 lhu t5,-2(ra) + 10918: 00001eb7 lui t4,0x1 + 1091c: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10920: 12900193 li gp,297 + 10924: 01df0463 beq t5,t4,1092c + 10928: 1d00206f j 12af8 + +0001092c : + 1092c: 00003097 auipc ra,0x3 + 10930: 23a08093 addi ra,ra,570 # 13b66 + 10934: 0000df03 lhu t5,0(ra) + 10938: 0000feb7 lui t4,0xf + 1093c: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 10940: 12a00193 li gp,298 + 10944: 01df0463 beq t5,t4,1094c + 10948: 1b00206f j 12af8 + +0001094c : + 1094c: 00003097 auipc ra,0x3 + 10950: 21408093 addi ra,ra,532 # 13b60 + 10954: fe008093 addi ra,ra,-32 + 10958: 0200d283 lhu t0,32(ra) + 1095c: 0ff00e93 li t4,255 + 10960: 12b00193 li gp,299 + 10964: 01d28463 beq t0,t4,1096c + 10968: 1900206f j 12af8 + +0001096c : + 1096c: 00003097 auipc ra,0x3 + 10970: 1f408093 addi ra,ra,500 # 13b60 + 10974: ffb08093 addi ra,ra,-5 + 10978: 0070d283 lhu t0,7(ra) + 1097c: 00010eb7 lui t4,0x10 + 10980: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10984: 12c00193 li gp,300 + 10988: 01d28463 beq t0,t4,10990 + 1098c: 16c0206f j 12af8 + +00010990 : + 10990: 12d00193 li gp,301 + 10994: 00000213 li tp,0 + 10998: 00003097 auipc ra,0x3 + 1099c: 1ca08093 addi ra,ra,458 # 13b62 + 109a0: 0020df03 lhu t5,2(ra) + 109a4: 000f0313 mv t1,t5 + 109a8: 00001eb7 lui t4,0x1 + 109ac: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 109b0: 01d30463 beq t1,t4,109b8 + 109b4: 1440206f j 12af8 + 109b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109bc: 00200293 li t0,2 + 109c0: fc521ce3 bne tp,t0,10998 + +000109c4 : + 109c4: 12e00193 li gp,302 + 109c8: 00000213 li tp,0 + 109cc: 00003097 auipc ra,0x3 + 109d0: 19808093 addi ra,ra,408 # 13b64 + 109d4: 0020df03 lhu t5,2(ra) + 109d8: 00000013 nop + 109dc: 000f0313 mv t1,t5 + 109e0: 0000feb7 lui t4,0xf + 109e4: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 109e8: 01d30463 beq t1,t4,109f0 + 109ec: 10c0206f j 12af8 + 109f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109f4: 00200293 li t0,2 + 109f8: fc521ae3 bne tp,t0,109cc + +000109fc : + 109fc: 12f00193 li gp,303 + 10a00: 00000213 li tp,0 + 10a04: 00003097 auipc ra,0x3 + 10a08: 15c08093 addi ra,ra,348 # 13b60 + 10a0c: 0020df03 lhu t5,2(ra) + 10a10: 00000013 nop + 10a14: 00000013 nop + 10a18: 000f0313 mv t1,t5 + 10a1c: 00010eb7 lui t4,0x10 + 10a20: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10a24: 01d30463 beq t1,t4,10a2c + 10a28: 0d00206f j 12af8 + 10a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a30: 00200293 li t0,2 + 10a34: fc5218e3 bne tp,t0,10a04 + +00010a38 : + 10a38: 13000193 li gp,304 + 10a3c: 00000213 li tp,0 + 10a40: 00003097 auipc ra,0x3 + 10a44: 12208093 addi ra,ra,290 # 13b62 + 10a48: 0020df03 lhu t5,2(ra) + 10a4c: 00001eb7 lui t4,0x1 + 10a50: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10a54: 01df0463 beq t5,t4,10a5c + 10a58: 0a00206f j 12af8 + 10a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a60: 00200293 li t0,2 + 10a64: fc521ee3 bne tp,t0,10a40 + +00010a68 : + 10a68: 13100193 li gp,305 + 10a6c: 00000213 li tp,0 + 10a70: 00003097 auipc ra,0x3 + 10a74: 0f408093 addi ra,ra,244 # 13b64 + 10a78: 00000013 nop + 10a7c: 0020df03 lhu t5,2(ra) + 10a80: 0000feb7 lui t4,0xf + 10a84: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 10a88: 01df0463 beq t5,t4,10a90 + 10a8c: 06c0206f j 12af8 + 10a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a94: 00200293 li t0,2 + 10a98: fc521ce3 bne tp,t0,10a70 + +00010a9c : + 10a9c: 13200193 li gp,306 + 10aa0: 00000213 li tp,0 + 10aa4: 00003097 auipc ra,0x3 + 10aa8: 0bc08093 addi ra,ra,188 # 13b60 + 10aac: 00000013 nop + 10ab0: 00000013 nop + 10ab4: 0020df03 lhu t5,2(ra) + 10ab8: 00010eb7 lui t4,0x10 + 10abc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10ac0: 01df0463 beq t5,t4,10ac8 + 10ac4: 0340206f j 12af8 + 10ac8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10acc: 00200293 li t0,2 + 10ad0: fc521ae3 bne tp,t0,10aa4 + +00010ad4 : + 10ad4: 00003297 auipc t0,0x3 + 10ad8: 08c28293 addi t0,t0,140 # 13b60 + 10adc: 0002d103 lhu sp,0(t0) + 10ae0: 00200113 li sp,2 + 10ae4: 00200e93 li t4,2 + 10ae8: 13300193 li gp,307 + 10aec: 01d10463 beq sp,t4,10af4 + 10af0: 0080206f j 12af8 + +00010af4 : + 10af4: 00003297 auipc t0,0x3 + 10af8: 06c28293 addi t0,t0,108 # 13b60 + 10afc: 0002d103 lhu sp,0(t0) + 10b00: 00000013 nop + 10b04: 00200113 li sp,2 + 10b08: 00200e93 li t4,2 + 10b0c: 13400193 li gp,308 + 10b10: 01d10463 beq sp,t4,10b18 + 10b14: 7e50106f j 12af8 + +00010b18 : + 10b18: 000000b7 lui ra,0x0 + 10b1c: 00000e93 li t4,0 + 10b20: 13500193 li gp,309 + 10b24: 01d08463 beq ra,t4,10b2c + 10b28: 7d10106f j 12af8 + +00010b2c : + 10b2c: fffff0b7 lui ra,0xfffff + 10b30: 4010d093 srai ra,ra,0x1 + 10b34: 80000e93 li t4,-2048 + 10b38: 13600193 li gp,310 + 10b3c: 01d08463 beq ra,t4,10b44 + 10b40: 7b90106f j 12af8 + +00010b44 : + 10b44: 7ffff0b7 lui ra,0x7ffff + 10b48: 4140d093 srai ra,ra,0x14 + 10b4c: 7ff00e93 li t4,2047 + 10b50: 13700193 li gp,311 + 10b54: 01d08463 beq ra,t4,10b5c + 10b58: 7a10106f j 12af8 + +00010b5c : + 10b5c: 800000b7 lui ra,0x80000 + 10b60: 4140d093 srai ra,ra,0x14 + 10b64: 80000e93 li t4,-2048 + 10b68: 13800193 li gp,312 + 10b6c: 01d08463 beq ra,t4,10b74 + 10b70: 7890106f j 12af8 + +00010b74 : + 10b74: 80000037 lui zero,0x80000 + 10b78: 00000e93 li t4,0 + 10b7c: 13900193 li gp,313 + 10b80: 01d00463 beq zero,t4,10b88 + 10b84: 7750106f j 12af8 + +00010b88 : + 10b88: 00003097 auipc ra,0x3 + 10b8c: ff808093 addi ra,ra,-8 # 13b80 + 10b90: 0000af03 lw t5,0(ra) + 10b94: 00ff0eb7 lui t4,0xff0 + 10b98: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10b9c: 13a00193 li gp,314 + 10ba0: 01df0463 beq t5,t4,10ba8 + 10ba4: 7550106f j 12af8 + +00010ba8 : + 10ba8: 00003097 auipc ra,0x3 + 10bac: fd808093 addi ra,ra,-40 # 13b80 + 10bb0: 0040af03 lw t5,4(ra) + 10bb4: ff010eb7 lui t4,0xff010 + 10bb8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10bbc: 13b00193 li gp,315 + 10bc0: 01df0463 beq t5,t4,10bc8 + 10bc4: 7350106f j 12af8 + +00010bc8 : + 10bc8: 00003097 auipc ra,0x3 + 10bcc: fb808093 addi ra,ra,-72 # 13b80 + 10bd0: 0080af03 lw t5,8(ra) + 10bd4: 0ff01eb7 lui t4,0xff01 + 10bd8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10bdc: 13c00193 li gp,316 + 10be0: 01df0463 beq t5,t4,10be8 + 10be4: 7150106f j 12af8 + +00010be8 : + 10be8: 00003097 auipc ra,0x3 + 10bec: f9808093 addi ra,ra,-104 # 13b80 + 10bf0: 00c0af03 lw t5,12(ra) + 10bf4: f00ffeb7 lui t4,0xf00ff + 10bf8: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10bfc: 13d00193 li gp,317 + 10c00: 01df0463 beq t5,t4,10c08 + 10c04: 6f50106f j 12af8 + +00010c08 : + 10c08: 00003097 auipc ra,0x3 + 10c0c: f8408093 addi ra,ra,-124 # 13b8c + 10c10: ff40af03 lw t5,-12(ra) + 10c14: 00ff0eb7 lui t4,0xff0 + 10c18: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10c1c: 13e00193 li gp,318 + 10c20: 01df0463 beq t5,t4,10c28 + 10c24: 6d50106f j 12af8 + +00010c28 : + 10c28: 00003097 auipc ra,0x3 + 10c2c: f6408093 addi ra,ra,-156 # 13b8c + 10c30: ff80af03 lw t5,-8(ra) + 10c34: ff010eb7 lui t4,0xff010 + 10c38: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10c3c: 13f00193 li gp,319 + 10c40: 01df0463 beq t5,t4,10c48 + 10c44: 6b50106f j 12af8 + +00010c48 : + 10c48: 00003097 auipc ra,0x3 + 10c4c: f4408093 addi ra,ra,-188 # 13b8c + 10c50: ffc0af03 lw t5,-4(ra) + 10c54: 0ff01eb7 lui t4,0xff01 + 10c58: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10c5c: 14000193 li gp,320 + 10c60: 01df0463 beq t5,t4,10c68 + 10c64: 6950106f j 12af8 + +00010c68 : + 10c68: 00003097 auipc ra,0x3 + 10c6c: f2408093 addi ra,ra,-220 # 13b8c + 10c70: 0000af03 lw t5,0(ra) + 10c74: f00ffeb7 lui t4,0xf00ff + 10c78: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10c7c: 14100193 li gp,321 + 10c80: 01df0463 beq t5,t4,10c88 + 10c84: 6750106f j 12af8 + +00010c88 : + 10c88: 00003097 auipc ra,0x3 + 10c8c: ef808093 addi ra,ra,-264 # 13b80 + 10c90: fe008093 addi ra,ra,-32 + 10c94: 0200a283 lw t0,32(ra) + 10c98: 00ff0eb7 lui t4,0xff0 + 10c9c: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10ca0: 14200193 li gp,322 + 10ca4: 01d28463 beq t0,t4,10cac + 10ca8: 6510106f j 12af8 + +00010cac : + 10cac: 00003097 auipc ra,0x3 + 10cb0: ed408093 addi ra,ra,-300 # 13b80 + 10cb4: ffd08093 addi ra,ra,-3 + 10cb8: 0070a283 lw t0,7(ra) + 10cbc: ff010eb7 lui t4,0xff010 + 10cc0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10cc4: 14300193 li gp,323 + 10cc8: 01d28463 beq t0,t4,10cd0 + 10ccc: 62d0106f j 12af8 + +00010cd0 : + 10cd0: 14400193 li gp,324 + 10cd4: 00000213 li tp,0 + 10cd8: 00003097 auipc ra,0x3 + 10cdc: eac08093 addi ra,ra,-340 # 13b84 + 10ce0: 0040af03 lw t5,4(ra) + 10ce4: 000f0313 mv t1,t5 + 10ce8: 0ff01eb7 lui t4,0xff01 + 10cec: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10cf0: 01d30463 beq t1,t4,10cf8 + 10cf4: 6050106f j 12af8 + 10cf8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10cfc: 00200293 li t0,2 + 10d00: fc521ce3 bne tp,t0,10cd8 + +00010d04 : + 10d04: 14500193 li gp,325 + 10d08: 00000213 li tp,0 + 10d0c: 00003097 auipc ra,0x3 + 10d10: e7c08093 addi ra,ra,-388 # 13b88 + 10d14: 0040af03 lw t5,4(ra) + 10d18: 00000013 nop + 10d1c: 000f0313 mv t1,t5 + 10d20: f00ffeb7 lui t4,0xf00ff + 10d24: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10d28: 01d30463 beq t1,t4,10d30 + 10d2c: 5cd0106f j 12af8 + 10d30: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d34: 00200293 li t0,2 + 10d38: fc521ae3 bne tp,t0,10d0c + +00010d3c : + 10d3c: 14600193 li gp,326 + 10d40: 00000213 li tp,0 + 10d44: 00003097 auipc ra,0x3 + 10d48: e3c08093 addi ra,ra,-452 # 13b80 + 10d4c: 0040af03 lw t5,4(ra) + 10d50: 00000013 nop + 10d54: 00000013 nop + 10d58: 000f0313 mv t1,t5 + 10d5c: ff010eb7 lui t4,0xff010 + 10d60: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10d64: 01d30463 beq t1,t4,10d6c + 10d68: 5910106f j 12af8 + 10d6c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d70: 00200293 li t0,2 + 10d74: fc5218e3 bne tp,t0,10d44 + +00010d78 : + 10d78: 14700193 li gp,327 + 10d7c: 00000213 li tp,0 + 10d80: 00003097 auipc ra,0x3 + 10d84: e0408093 addi ra,ra,-508 # 13b84 + 10d88: 0040af03 lw t5,4(ra) + 10d8c: 0ff01eb7 lui t4,0xff01 + 10d90: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10d94: 01df0463 beq t5,t4,10d9c + 10d98: 5610106f j 12af8 + 10d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10da0: 00200293 li t0,2 + 10da4: fc521ee3 bne tp,t0,10d80 + +00010da8 : + 10da8: 14800193 li gp,328 + 10dac: 00000213 li tp,0 + 10db0: 00003097 auipc ra,0x3 + 10db4: dd808093 addi ra,ra,-552 # 13b88 + 10db8: 00000013 nop + 10dbc: 0040af03 lw t5,4(ra) + 10dc0: f00ffeb7 lui t4,0xf00ff + 10dc4: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10dc8: 01df0463 beq t5,t4,10dd0 + 10dcc: 52d0106f j 12af8 + 10dd0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10dd4: 00200293 li t0,2 + 10dd8: fc521ce3 bne tp,t0,10db0 + +00010ddc : + 10ddc: 14900193 li gp,329 + 10de0: 00000213 li tp,0 + 10de4: 00003097 auipc ra,0x3 + 10de8: d9c08093 addi ra,ra,-612 # 13b80 + 10dec: 00000013 nop + 10df0: 00000013 nop + 10df4: 0040af03 lw t5,4(ra) + 10df8: ff010eb7 lui t4,0xff010 + 10dfc: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10e00: 01df0463 beq t5,t4,10e08 + 10e04: 4f50106f j 12af8 + 10e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10e0c: 00200293 li t0,2 + 10e10: fc521ae3 bne tp,t0,10de4 + +00010e14 : + 10e14: 00003297 auipc t0,0x3 + 10e18: d6c28293 addi t0,t0,-660 # 13b80 + 10e1c: 0002a103 lw sp,0(t0) + 10e20: 00200113 li sp,2 + 10e24: 00200e93 li t4,2 + 10e28: 14a00193 li gp,330 + 10e2c: 01d10463 beq sp,t4,10e34 + 10e30: 4c90106f j 12af8 + +00010e34 : + 10e34: 00003297 auipc t0,0x3 + 10e38: d4c28293 addi t0,t0,-692 # 13b80 + 10e3c: 0002a103 lw sp,0(t0) + 10e40: 00000013 nop + 10e44: 00200113 li sp,2 + 10e48: 00200e93 li t4,2 + 10e4c: 14b00193 li gp,331 + 10e50: 01d10463 beq sp,t4,10e58 + 10e54: 4a50106f j 12af8 + +00010e58 : + 10e58: ff0100b7 lui ra,0xff010 + 10e5c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10e60: 0f0f1137 lui sp,0xf0f1 + 10e64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10e68: 0020ef33 or t5,ra,sp + 10e6c: ff100eb7 lui t4,0xff100 + 10e70: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10e74: 14c00193 li gp,332 + 10e78: 01df0463 beq t5,t4,10e80 + 10e7c: 47d0106f j 12af8 + +00010e80 : + 10e80: 0ff010b7 lui ra,0xff01 + 10e84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10e88: f0f0f137 lui sp,0xf0f0f + 10e8c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 10e90: 0020ef33 or t5,ra,sp + 10e94: fff10eb7 lui t4,0xfff10 + 10e98: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 10e9c: 14d00193 li gp,333 + 10ea0: 01df0463 beq t5,t4,10ea8 + 10ea4: 4550106f j 12af8 + +00010ea8 : + 10ea8: 00ff00b7 lui ra,0xff0 + 10eac: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10eb0: 0f0f1137 lui sp,0xf0f1 + 10eb4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10eb8: 0020ef33 or t5,ra,sp + 10ebc: 0fff1eb7 lui t4,0xfff1 + 10ec0: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 10ec4: 14e00193 li gp,334 + 10ec8: 01df0463 beq t5,t4,10ed0 + 10ecc: 42d0106f j 12af8 + +00010ed0 : + 10ed0: f00ff0b7 lui ra,0xf00ff + 10ed4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10ed8: f0f0f137 lui sp,0xf0f0f + 10edc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 10ee0: 0020ef33 or t5,ra,sp + 10ee4: f0fffeb7 lui t4,0xf0fff + 10ee8: 0ffe8e93 addi t4,t4,255 # f0fff0ff <__global_pointer$+0xf0feacb7> + 10eec: 14f00193 li gp,335 + 10ef0: 01df0463 beq t5,t4,10ef8 + 10ef4: 4050106f j 12af8 + +00010ef8 : + 10ef8: ff0100b7 lui ra,0xff010 + 10efc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f00: 0f0f1137 lui sp,0xf0f1 + 10f04: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10f08: 0020e0b3 or ra,ra,sp + 10f0c: ff100eb7 lui t4,0xff100 + 10f10: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10f14: 15000193 li gp,336 + 10f18: 01d08463 beq ra,t4,10f20 + 10f1c: 3dd0106f j 12af8 + +00010f20 : + 10f20: ff0100b7 lui ra,0xff010 + 10f24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f28: 0f0f1137 lui sp,0xf0f1 + 10f2c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10f30: 0020e133 or sp,ra,sp + 10f34: ff100eb7 lui t4,0xff100 + 10f38: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10f3c: 15100193 li gp,337 + 10f40: 01d10463 beq sp,t4,10f48 + 10f44: 3b50106f j 12af8 + +00010f48 : + 10f48: ff0100b7 lui ra,0xff010 + 10f4c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f50: 0010e0b3 or ra,ra,ra + 10f54: ff010eb7 lui t4,0xff010 + 10f58: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f5c: 15200193 li gp,338 + 10f60: 01d08463 beq ra,t4,10f68 + 10f64: 3950106f j 12af8 + +00010f68 : + 10f68: 00000213 li tp,0 + 10f6c: ff0100b7 lui ra,0xff010 + 10f70: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f74: 0f0f1137 lui sp,0xf0f1 + 10f78: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10f7c: 0020ef33 or t5,ra,sp + 10f80: 000f0313 mv t1,t5 + 10f84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f88: 00200293 li t0,2 + 10f8c: fe5210e3 bne tp,t0,10f6c + 10f90: ff100eb7 lui t4,0xff100 + 10f94: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10f98: 15300193 li gp,339 + 10f9c: 01d30463 beq t1,t4,10fa4 + 10fa0: 3590106f j 12af8 + +00010fa4 : + 10fa4: 00000213 li tp,0 + 10fa8: 0ff010b7 lui ra,0xff01 + 10fac: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10fb0: f0f0f137 lui sp,0xf0f0f + 10fb4: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 10fb8: 0020ef33 or t5,ra,sp + 10fbc: 00000013 nop + 10fc0: 000f0313 mv t1,t5 + 10fc4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10fc8: 00200293 li t0,2 + 10fcc: fc521ee3 bne tp,t0,10fa8 + 10fd0: fff10eb7 lui t4,0xfff10 + 10fd4: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 10fd8: 15400193 li gp,340 + 10fdc: 01d30463 beq t1,t4,10fe4 + 10fe0: 3190106f j 12af8 + +00010fe4 : + 10fe4: 00000213 li tp,0 + 10fe8: 00ff00b7 lui ra,0xff0 + 10fec: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10ff0: 0f0f1137 lui sp,0xf0f1 + 10ff4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10ff8: 0020ef33 or t5,ra,sp + 10ffc: 00000013 nop + 11000: 00000013 nop + 11004: 000f0313 mv t1,t5 + 11008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1100c: 00200293 li t0,2 + 11010: fc521ce3 bne tp,t0,10fe8 + 11014: 0fff1eb7 lui t4,0xfff1 + 11018: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 1101c: 15500193 li gp,341 + 11020: 01d30463 beq t1,t4,11028 + 11024: 2d50106f j 12af8 + +00011028 : + 11028: 00000213 li tp,0 + 1102c: ff0100b7 lui ra,0xff010 + 11030: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11034: 0f0f1137 lui sp,0xf0f1 + 11038: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 1103c: 0020ef33 or t5,ra,sp + 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11044: 00200293 li t0,2 + 11048: fe5212e3 bne tp,t0,1102c + 1104c: ff100eb7 lui t4,0xff100 + 11050: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 11054: 15600193 li gp,342 + 11058: 01df0463 beq t5,t4,11060 + 1105c: 29d0106f j 12af8 + +00011060 : + 11060: 00000213 li tp,0 + 11064: 0ff010b7 lui ra,0xff01 + 11068: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 1106c: f0f0f137 lui sp,0xf0f0f + 11070: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 11074: 00000013 nop + 11078: 0020ef33 or t5,ra,sp + 1107c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11080: 00200293 li t0,2 + 11084: fe5210e3 bne tp,t0,11064 + 11088: fff10eb7 lui t4,0xfff10 + 1108c: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 11090: 15700193 li gp,343 + 11094: 01df0463 beq t5,t4,1109c + 11098: 2610106f j 12af8 + +0001109c : + 1109c: 00000213 li tp,0 + 110a0: 00ff00b7 lui ra,0xff0 + 110a4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 110a8: 0f0f1137 lui sp,0xf0f1 + 110ac: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 110b0: 00000013 nop + 110b4: 00000013 nop + 110b8: 0020ef33 or t5,ra,sp + 110bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110c0: 00200293 li t0,2 + 110c4: fc521ee3 bne tp,t0,110a0 + 110c8: 0fff1eb7 lui t4,0xfff1 + 110cc: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 110d0: 15800193 li gp,344 + 110d4: 01df0463 beq t5,t4,110dc + 110d8: 2210106f j 12af8 + +000110dc : + 110dc: 00000213 li tp,0 + 110e0: ff0100b7 lui ra,0xff010 + 110e4: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 110e8: 00000013 nop + 110ec: 0f0f1137 lui sp,0xf0f1 + 110f0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 110f4: 0020ef33 or t5,ra,sp + 110f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110fc: 00200293 li t0,2 + 11100: fe5210e3 bne tp,t0,110e0 + 11104: ff100eb7 lui t4,0xff100 + 11108: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 1110c: 15900193 li gp,345 + 11110: 01df0463 beq t5,t4,11118 + 11114: 1e50106f j 12af8 + +00011118 : + 11118: 00000213 li tp,0 + 1111c: 0ff010b7 lui ra,0xff01 + 11120: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 11124: 00000013 nop + 11128: f0f0f137 lui sp,0xf0f0f + 1112c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 11130: 00000013 nop + 11134: 0020ef33 or t5,ra,sp + 11138: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1113c: 00200293 li t0,2 + 11140: fc521ee3 bne tp,t0,1111c + 11144: fff10eb7 lui t4,0xfff10 + 11148: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 1114c: 15a00193 li gp,346 + 11150: 01df0463 beq t5,t4,11158 + 11154: 1a50106f j 12af8 + +00011158 : + 11158: 00000213 li tp,0 + 1115c: 00ff00b7 lui ra,0xff0 + 11160: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11164: 00000013 nop + 11168: 00000013 nop + 1116c: 0f0f1137 lui sp,0xf0f1 + 11170: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 11174: 0020ef33 or t5,ra,sp + 11178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1117c: 00200293 li t0,2 + 11180: fc521ee3 bne tp,t0,1115c + 11184: 0fff1eb7 lui t4,0xfff1 + 11188: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 1118c: 15b00193 li gp,347 + 11190: 01df0463 beq t5,t4,11198 + 11194: 1650106f j 12af8 + +00011198 : + 11198: 00000213 li tp,0 + 1119c: 0f0f1137 lui sp,0xf0f1 + 111a0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 111a4: ff0100b7 lui ra,0xff010 + 111a8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 111ac: 0020ef33 or t5,ra,sp + 111b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111b4: 00200293 li t0,2 + 111b8: fe5212e3 bne tp,t0,1119c + 111bc: ff100eb7 lui t4,0xff100 + 111c0: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 111c4: 15c00193 li gp,348 + 111c8: 01df0463 beq t5,t4,111d0 + 111cc: 12d0106f j 12af8 + +000111d0 : + 111d0: 00000213 li tp,0 + 111d4: f0f0f137 lui sp,0xf0f0f + 111d8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 111dc: 0ff010b7 lui ra,0xff01 + 111e0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 111e4: 00000013 nop + 111e8: 0020ef33 or t5,ra,sp + 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111f0: 00200293 li t0,2 + 111f4: fe5210e3 bne tp,t0,111d4 + 111f8: fff10eb7 lui t4,0xfff10 + 111fc: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 11200: 15d00193 li gp,349 + 11204: 01df0463 beq t5,t4,1120c + 11208: 0f10106f j 12af8 + +0001120c : + 1120c: 00000213 li tp,0 + 11210: 0f0f1137 lui sp,0xf0f1 + 11214: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 11218: 00ff00b7 lui ra,0xff0 + 1121c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11220: 00000013 nop + 11224: 00000013 nop + 11228: 0020ef33 or t5,ra,sp + 1122c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11230: 00200293 li t0,2 + 11234: fc521ee3 bne tp,t0,11210 + 11238: 0fff1eb7 lui t4,0xfff1 + 1123c: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 11240: 15e00193 li gp,350 + 11244: 01df0463 beq t5,t4,1124c + 11248: 0b10106f j 12af8 + +0001124c : + 1124c: 00000213 li tp,0 + 11250: 0f0f1137 lui sp,0xf0f1 + 11254: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 11258: 00000013 nop + 1125c: ff0100b7 lui ra,0xff010 + 11260: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11264: 0020ef33 or t5,ra,sp + 11268: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1126c: 00200293 li t0,2 + 11270: fe5210e3 bne tp,t0,11250 + 11274: ff100eb7 lui t4,0xff100 + 11278: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 1127c: 15f00193 li gp,351 + 11280: 01df0463 beq t5,t4,11288 + 11284: 0750106f j 12af8 + +00011288 : + 11288: 00000213 li tp,0 + 1128c: f0f0f137 lui sp,0xf0f0f + 11290: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 11294: 00000013 nop + 11298: 0ff010b7 lui ra,0xff01 + 1129c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 112a0: 00000013 nop + 112a4: 0020ef33 or t5,ra,sp + 112a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112ac: 00200293 li t0,2 + 112b0: fc521ee3 bne tp,t0,1128c + 112b4: fff10eb7 lui t4,0xfff10 + 112b8: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 112bc: 16000193 li gp,352 + 112c0: 01df0463 beq t5,t4,112c8 + 112c4: 0350106f j 12af8 + +000112c8 : + 112c8: 00000213 li tp,0 + 112cc: 0f0f1137 lui sp,0xf0f1 + 112d0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 112d4: 00000013 nop + 112d8: 00000013 nop + 112dc: 00ff00b7 lui ra,0xff0 + 112e0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 112e4: 0020ef33 or t5,ra,sp + 112e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112ec: 00200293 li t0,2 + 112f0: fc521ee3 bne tp,t0,112cc + 112f4: 0fff1eb7 lui t4,0xfff1 + 112f8: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 112fc: 16100193 li gp,353 + 11300: 01df0463 beq t5,t4,11308 + 11304: 7f40106f j 12af8 + +00011308 : + 11308: ff0100b7 lui ra,0xff010 + 1130c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11310: 00106133 or sp,zero,ra + 11314: ff010eb7 lui t4,0xff010 + 11318: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 1131c: 16200193 li gp,354 + 11320: 01d10463 beq sp,t4,11328 + 11324: 7d40106f j 12af8 + +00011328 : + 11328: 00ff00b7 lui ra,0xff0 + 1132c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11330: 0000e133 or sp,ra,zero + 11334: 00ff0eb7 lui t4,0xff0 + 11338: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 1133c: 16300193 li gp,355 + 11340: 01d10463 beq sp,t4,11348 + 11344: 7b40106f j 12af8 + +00011348 : + 11348: 000060b3 or ra,zero,zero + 1134c: 00000e93 li t4,0 + 11350: 16400193 li gp,356 + 11354: 01d08463 beq ra,t4,1135c + 11358: 7a00106f j 12af8 + +0001135c : + 1135c: 111110b7 lui ra,0x11111 + 11360: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fccc9> + 11364: 22222137 lui sp,0x22222 + 11368: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220ddda> + 1136c: 0020e033 or zero,ra,sp + 11370: 00000e93 li t4,0 + 11374: 16500193 li gp,357 + 11378: 01d00463 beq zero,t4,11380 + 1137c: 77c0106f j 12af8 + +00011380 : + 11380: ff0100b7 lui ra,0xff010 + 11384: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11388: f0f0ef13 ori t5,ra,-241 + 1138c: f0f00e93 li t4,-241 + 11390: 16600193 li gp,358 + 11394: 01df0463 beq t5,t4,1139c + 11398: 7600106f j 12af8 + +0001139c : + 1139c: 0ff010b7 lui ra,0xff01 + 113a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 113a4: 0f00ef13 ori t5,ra,240 + 113a8: 0ff01eb7 lui t4,0xff01 + 113ac: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 113b0: 16700193 li gp,359 + 113b4: 01df0463 beq t5,t4,113bc + 113b8: 7400106f j 12af8 + +000113bc : + 113bc: 00ff00b7 lui ra,0xff0 + 113c0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 113c4: 70f0ef13 ori t5,ra,1807 + 113c8: 00ff0eb7 lui t4,0xff0 + 113cc: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> + 113d0: 16800193 li gp,360 + 113d4: 01df0463 beq t5,t4,113dc + 113d8: 7200106f j 12af8 + +000113dc : + 113dc: f00ff0b7 lui ra,0xf00ff + 113e0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 113e4: 0f00ef13 ori t5,ra,240 + 113e8: f00ffeb7 lui t4,0xf00ff + 113ec: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> + 113f0: 16900193 li gp,361 + 113f4: 01df0463 beq t5,t4,113fc + 113f8: 7000106f j 12af8 + +000113fc : + 113fc: ff0100b7 lui ra,0xff010 + 11400: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11404: 0f00e093 ori ra,ra,240 + 11408: ff010eb7 lui t4,0xff010 + 1140c: ff0e8e93 addi t4,t4,-16 # ff00fff0 <__global_pointer$+0xfeffbba8> + 11410: 16a00193 li gp,362 + 11414: 01d08463 beq ra,t4,1141c + 11418: 6e00106f j 12af8 + +0001141c : + 1141c: 00000213 li tp,0 + 11420: 0ff010b7 lui ra,0xff01 + 11424: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 11428: 0f00ef13 ori t5,ra,240 + 1142c: 000f0313 mv t1,t5 + 11430: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11434: 00200293 li t0,2 + 11438: fe5214e3 bne tp,t0,11420 + 1143c: 0ff01eb7 lui t4,0xff01 + 11440: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 11444: 16b00193 li gp,363 + 11448: 01d30463 beq t1,t4,11450 + 1144c: 6ac0106f j 12af8 + +00011450 : + 11450: 00000213 li tp,0 + 11454: 00ff00b7 lui ra,0xff0 + 11458: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 1145c: 70f0ef13 ori t5,ra,1807 + 11460: 00000013 nop + 11464: 000f0313 mv t1,t5 + 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1146c: 00200293 li t0,2 + 11470: fe5212e3 bne tp,t0,11454 + 11474: 00ff0eb7 lui t4,0xff0 + 11478: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> + 1147c: 16c00193 li gp,364 + 11480: 01d30463 beq t1,t4,11488 + 11484: 6740106f j 12af8 + +00011488 : + 11488: 00000213 li tp,0 + 1148c: f00ff0b7 lui ra,0xf00ff + 11490: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 11494: 0f00ef13 ori t5,ra,240 + 11498: 00000013 nop + 1149c: 00000013 nop + 114a0: 000f0313 mv t1,t5 + 114a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114a8: 00200293 li t0,2 + 114ac: fe5210e3 bne tp,t0,1148c + 114b0: f00ffeb7 lui t4,0xf00ff + 114b4: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> + 114b8: 16d00193 li gp,365 + 114bc: 01d30463 beq t1,t4,114c4 + 114c0: 6380106f j 12af8 + +000114c4 : + 114c4: 00000213 li tp,0 + 114c8: 0ff010b7 lui ra,0xff01 + 114cc: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 114d0: 0f00ef13 ori t5,ra,240 + 114d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114d8: 00200293 li t0,2 + 114dc: fe5216e3 bne tp,t0,114c8 + 114e0: 0ff01eb7 lui t4,0xff01 + 114e4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 114e8: 16e00193 li gp,366 + 114ec: 01df0463 beq t5,t4,114f4 + 114f0: 6080106f j 12af8 + +000114f4 : + 114f4: 00000213 li tp,0 + 114f8: 00ff00b7 lui ra,0xff0 + 114fc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11500: 00000013 nop + 11504: f0f0ef13 ori t5,ra,-241 + 11508: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1150c: 00200293 li t0,2 + 11510: fe5214e3 bne tp,t0,114f8 + 11514: fff00e93 li t4,-1 + 11518: 16f00193 li gp,367 + 1151c: 01df0463 beq t5,t4,11524 + 11520: 5d80106f j 12af8 + +00011524 : + 11524: 00000213 li tp,0 + 11528: f00ff0b7 lui ra,0xf00ff + 1152c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 11530: 00000013 nop + 11534: 00000013 nop + 11538: 0f00ef13 ori t5,ra,240 + 1153c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11540: 00200293 li t0,2 + 11544: fe5212e3 bne tp,t0,11528 + 11548: f00ffeb7 lui t4,0xf00ff + 1154c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> + 11550: 17000193 li gp,368 + 11554: 01df0463 beq t5,t4,1155c + 11558: 5a00106f j 12af8 + +0001155c : + 1155c: 0f006093 ori ra,zero,240 + 11560: 0f000e93 li t4,240 + 11564: 17100193 li gp,369 + 11568: 01d08463 beq ra,t4,11570 + 1156c: 58c0106f j 12af8 + +00011570 : + 11570: 00ff00b7 lui ra,0xff0 + 11574: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11578: 70f0e013 ori zero,ra,1807 + 1157c: 00000e93 li t4,0 + 11580: 17200193 li gp,370 + 11584: 01d00463 beq zero,t4,1158c + 11588: 5700106f j 12af8 + +0001158c : + 1158c: 00002097 auipc ra,0x2 + 11590: 5c808093 addi ra,ra,1480 # 13b54 + 11594: faa00113 li sp,-86 + 11598: 00208023 sb sp,0(ra) + 1159c: 00008f03 lb t5,0(ra) + 115a0: faa00e93 li t4,-86 + 115a4: 17300193 li gp,371 + 115a8: 01df0463 beq t5,t4,115b0 + 115ac: 54c0106f j 12af8 + +000115b0 : + 115b0: 00002097 auipc ra,0x2 + 115b4: 5a408093 addi ra,ra,1444 # 13b54 + 115b8: 00000113 li sp,0 + 115bc: 002080a3 sb sp,1(ra) + 115c0: 00108f03 lb t5,1(ra) + 115c4: 00000e93 li t4,0 + 115c8: 17400193 li gp,372 + 115cc: 01df0463 beq t5,t4,115d4 + 115d0: 5280106f j 12af8 + +000115d4 : + 115d4: 00002097 auipc ra,0x2 + 115d8: 58008093 addi ra,ra,1408 # 13b54 + 115dc: fffff137 lui sp,0xfffff + 115e0: fa010113 addi sp,sp,-96 # ffffefa0 <__global_pointer$+0xfffeab58> + 115e4: 00208123 sb sp,2(ra) + 115e8: 00209f03 lh t5,2(ra) + 115ec: fffffeb7 lui t4,0xfffff + 115f0: fa0e8e93 addi t4,t4,-96 # ffffefa0 <__global_pointer$+0xfffeab58> + 115f4: 17500193 li gp,373 + 115f8: 01df0463 beq t5,t4,11600 + 115fc: 4fc0106f j 12af8 + +00011600 : + 11600: 00002097 auipc ra,0x2 + 11604: 55408093 addi ra,ra,1364 # 13b54 + 11608: 00a00113 li sp,10 + 1160c: 002081a3 sb sp,3(ra) + 11610: 00308f03 lb t5,3(ra) + 11614: 00a00e93 li t4,10 + 11618: 17600193 li gp,374 + 1161c: 01df0463 beq t5,t4,11624 + 11620: 4d80106f j 12af8 + +00011624 : + 11624: 00002097 auipc ra,0x2 + 11628: 53708093 addi ra,ra,1335 # 13b5b + 1162c: faa00113 li sp,-86 + 11630: fe208ea3 sb sp,-3(ra) + 11634: ffd08f03 lb t5,-3(ra) + 11638: faa00e93 li t4,-86 + 1163c: 17700193 li gp,375 + 11640: 01df0463 beq t5,t4,11648 + 11644: 4b40106f j 12af8 + +00011648 : + 11648: 00002097 auipc ra,0x2 + 1164c: 51308093 addi ra,ra,1299 # 13b5b + 11650: 00000113 li sp,0 + 11654: fe208f23 sb sp,-2(ra) + 11658: ffe08f03 lb t5,-2(ra) + 1165c: 00000e93 li t4,0 + 11660: 17800193 li gp,376 + 11664: 01df0463 beq t5,t4,1166c + 11668: 4900106f j 12af8 + +0001166c : + 1166c: 00002097 auipc ra,0x2 + 11670: 4ef08093 addi ra,ra,1263 # 13b5b + 11674: fa000113 li sp,-96 + 11678: fe208fa3 sb sp,-1(ra) + 1167c: fff08f03 lb t5,-1(ra) + 11680: fa000e93 li t4,-96 + 11684: 17900193 li gp,377 + 11688: 01df0463 beq t5,t4,11690 + 1168c: 46c0106f j 12af8 + +00011690 : + 11690: 00002097 auipc ra,0x2 + 11694: 4cb08093 addi ra,ra,1227 # 13b5b + 11698: 00a00113 li sp,10 + 1169c: 00208023 sb sp,0(ra) + 116a0: 00008f03 lb t5,0(ra) + 116a4: 00a00e93 li t4,10 + 116a8: 17a00193 li gp,378 + 116ac: 01df0463 beq t5,t4,116b4 + 116b0: 4480106f j 12af8 + +000116b4 : + 116b4: 00002097 auipc ra,0x2 + 116b8: 4a808093 addi ra,ra,1192 # 13b5c + 116bc: 12345137 lui sp,0x12345 + 116c0: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> + 116c4: fe008213 addi tp,ra,-32 + 116c8: 02220023 sb sp,32(tp) # 20 <_start-0x10060> + 116cc: 00008283 lb t0,0(ra) + 116d0: 07800e93 li t4,120 + 116d4: 17b00193 li gp,379 + 116d8: 01d28463 beq t0,t4,116e0 + 116dc: 41c0106f j 12af8 + +000116e0 : + 116e0: 00002097 auipc ra,0x2 + 116e4: 47c08093 addi ra,ra,1148 # 13b5c + 116e8: 00003137 lui sp,0x3 + 116ec: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> + 116f0: ffa08093 addi ra,ra,-6 + 116f4: 002083a3 sb sp,7(ra) + 116f8: 00002217 auipc tp,0x2 + 116fc: 46520213 addi tp,tp,1125 # 13b5d + 11700: 00020283 lb t0,0(tp) # 0 <_start-0x10080> + 11704: f9800e93 li t4,-104 + 11708: 17c00193 li gp,380 + 1170c: 01d28463 beq t0,t4,11714 + 11710: 3e80106f j 12af8 + +00011714 : + 11714: 17d00193 li gp,381 + 11718: 00000213 li tp,0 + 1171c: fdd00093 li ra,-35 + 11720: 00002117 auipc sp,0x2 + 11724: 43410113 addi sp,sp,1076 # 13b54 + 11728: 00110023 sb ra,0(sp) + 1172c: 00010f03 lb t5,0(sp) + 11730: fdd00e93 li t4,-35 + 11734: 01df0463 beq t5,t4,1173c + 11738: 3c00106f j 12af8 + 1173c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11740: 00200293 li t0,2 + 11744: fc521ce3 bne tp,t0,1171c + +00011748 : + 11748: 17e00193 li gp,382 + 1174c: 00000213 li tp,0 + 11750: fcd00093 li ra,-51 + 11754: 00002117 auipc sp,0x2 + 11758: 40010113 addi sp,sp,1024 # 13b54 + 1175c: 00000013 nop + 11760: 001100a3 sb ra,1(sp) + 11764: 00110f03 lb t5,1(sp) + 11768: fcd00e93 li t4,-51 + 1176c: 01df0463 beq t5,t4,11774 + 11770: 3880106f j 12af8 + 11774: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11778: 00200293 li t0,2 + 1177c: fc521ae3 bne tp,t0,11750 + +00011780 : + 11780: 17f00193 li gp,383 + 11784: 00000213 li tp,0 + 11788: fcc00093 li ra,-52 + 1178c: 00002117 auipc sp,0x2 + 11790: 3c810113 addi sp,sp,968 # 13b54 + 11794: 00000013 nop + 11798: 00000013 nop + 1179c: 00110123 sb ra,2(sp) + 117a0: 00210f03 lb t5,2(sp) + 117a4: fcc00e93 li t4,-52 + 117a8: 01df0463 beq t5,t4,117b0 + 117ac: 34c0106f j 12af8 + 117b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117b4: 00200293 li t0,2 + 117b8: fc5218e3 bne tp,t0,11788 + +000117bc : + 117bc: 18000193 li gp,384 + 117c0: 00000213 li tp,0 + 117c4: fbc00093 li ra,-68 + 117c8: 00000013 nop + 117cc: 00002117 auipc sp,0x2 + 117d0: 38810113 addi sp,sp,904 # 13b54 + 117d4: 001101a3 sb ra,3(sp) + 117d8: 00310f03 lb t5,3(sp) + 117dc: fbc00e93 li t4,-68 + 117e0: 01df0463 beq t5,t4,117e8 + 117e4: 3140106f j 12af8 + 117e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117ec: 00200293 li t0,2 + 117f0: fc521ae3 bne tp,t0,117c4 + +000117f4 : + 117f4: 18100193 li gp,385 + 117f8: 00000213 li tp,0 + 117fc: fbb00093 li ra,-69 + 11800: 00000013 nop + 11804: 00002117 auipc sp,0x2 + 11808: 35010113 addi sp,sp,848 # 13b54 + 1180c: 00000013 nop + 11810: 00110223 sb ra,4(sp) + 11814: 00410f03 lb t5,4(sp) + 11818: fbb00e93 li t4,-69 + 1181c: 01df0463 beq t5,t4,11824 + 11820: 2d80106f j 12af8 + 11824: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11828: 00200293 li t0,2 + 1182c: fc5218e3 bne tp,t0,117fc + +00011830 : + 11830: 18200193 li gp,386 + 11834: 00000213 li tp,0 + 11838: fab00093 li ra,-85 + 1183c: 00000013 nop + 11840: 00000013 nop + 11844: 00002117 auipc sp,0x2 + 11848: 31010113 addi sp,sp,784 # 13b54 + 1184c: 001102a3 sb ra,5(sp) + 11850: 00510f03 lb t5,5(sp) + 11854: fab00e93 li t4,-85 + 11858: 01df0463 beq t5,t4,11860 + 1185c: 29c0106f j 12af8 + 11860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11864: 00200293 li t0,2 + 11868: fc5218e3 bne tp,t0,11838 + +0001186c : + 1186c: 18300193 li gp,387 + 11870: 00000213 li tp,0 + 11874: 00002117 auipc sp,0x2 + 11878: 2e010113 addi sp,sp,736 # 13b54 + 1187c: 03300093 li ra,51 + 11880: 00110023 sb ra,0(sp) + 11884: 00010f03 lb t5,0(sp) + 11888: 03300e93 li t4,51 + 1188c: 01df0463 beq t5,t4,11894 + 11890: 2680106f j 12af8 + 11894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11898: 00200293 li t0,2 + 1189c: fc521ce3 bne tp,t0,11874 + +000118a0 : + 118a0: 18400193 li gp,388 + 118a4: 00000213 li tp,0 + 118a8: 00002117 auipc sp,0x2 + 118ac: 2ac10113 addi sp,sp,684 # 13b54 + 118b0: 02300093 li ra,35 + 118b4: 00000013 nop + 118b8: 001100a3 sb ra,1(sp) + 118bc: 00110f03 lb t5,1(sp) + 118c0: 02300e93 li t4,35 + 118c4: 01df0463 beq t5,t4,118cc + 118c8: 2300106f j 12af8 + 118cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118d0: 00200293 li t0,2 + 118d4: fc521ae3 bne tp,t0,118a8 + +000118d8 : + 118d8: 18500193 li gp,389 + 118dc: 00000213 li tp,0 + 118e0: 00002117 auipc sp,0x2 + 118e4: 27410113 addi sp,sp,628 # 13b54 + 118e8: 02200093 li ra,34 + 118ec: 00000013 nop + 118f0: 00000013 nop + 118f4: 00110123 sb ra,2(sp) + 118f8: 00210f03 lb t5,2(sp) + 118fc: 02200e93 li t4,34 + 11900: 01df0463 beq t5,t4,11908 + 11904: 1f40106f j 12af8 + 11908: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1190c: 00200293 li t0,2 + 11910: fc5218e3 bne tp,t0,118e0 + +00011914 : + 11914: 18600193 li gp,390 + 11918: 00000213 li tp,0 + 1191c: 00002117 auipc sp,0x2 + 11920: 23810113 addi sp,sp,568 # 13b54 + 11924: 00000013 nop + 11928: 01200093 li ra,18 + 1192c: 001101a3 sb ra,3(sp) + 11930: 00310f03 lb t5,3(sp) + 11934: 01200e93 li t4,18 + 11938: 01df0463 beq t5,t4,11940 + 1193c: 1bc0106f j 12af8 + 11940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11944: 00200293 li t0,2 + 11948: fc521ae3 bne tp,t0,1191c + +0001194c : + 1194c: 18700193 li gp,391 + 11950: 00000213 li tp,0 + 11954: 00002117 auipc sp,0x2 + 11958: 20010113 addi sp,sp,512 # 13b54 + 1195c: 00000013 nop + 11960: 01100093 li ra,17 + 11964: 00000013 nop + 11968: 00110223 sb ra,4(sp) + 1196c: 00410f03 lb t5,4(sp) + 11970: 01100e93 li t4,17 + 11974: 01df0463 beq t5,t4,1197c + 11978: 1800106f j 12af8 + 1197c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11980: 00200293 li t0,2 + 11984: fc5218e3 bne tp,t0,11954 + +00011988 : + 11988: 18800193 li gp,392 + 1198c: 00000213 li tp,0 + 11990: 00002117 auipc sp,0x2 + 11994: 1c410113 addi sp,sp,452 # 13b54 + 11998: 00000013 nop + 1199c: 00000013 nop + 119a0: 00100093 li ra,1 + 119a4: 001102a3 sb ra,5(sp) + 119a8: 00510f03 lb t5,5(sp) + 119ac: 00100e93 li t4,1 + 119b0: 01df0463 beq t5,t4,119b8 + 119b4: 1440106f j 12af8 + 119b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 119bc: 00200293 li t0,2 + 119c0: fc5218e3 bne tp,t0,11990 + 119c4: 0ef00513 li a0,239 + 119c8: 00002597 auipc a1,0x2 + 119cc: 18c58593 addi a1,a1,396 # 13b54 + 119d0: 00a581a3 sb a0,3(a1) + +000119d4 : + 119d4: 00002097 auipc ra,0x2 + 119d8: 19408093 addi ra,ra,404 # 13b68 + 119dc: 0aa00113 li sp,170 + 119e0: 00209023 sh sp,0(ra) + 119e4: 00009f03 lh t5,0(ra) + 119e8: 0aa00e93 li t4,170 + 119ec: 18900193 li gp,393 + 119f0: 01df0463 beq t5,t4,119f8 + 119f4: 1040106f j 12af8 + +000119f8 : + 119f8: 00002097 auipc ra,0x2 + 119fc: 17008093 addi ra,ra,368 # 13b68 + 11a00: ffffb137 lui sp,0xffffb + 11a04: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11a08: 00209123 sh sp,2(ra) + 11a0c: 00209f03 lh t5,2(ra) + 11a10: ffffbeb7 lui t4,0xffffb + 11a14: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11a18: 18a00193 li gp,394 + 11a1c: 01df0463 beq t5,t4,11a24 + 11a20: 0d80106f j 12af8 + +00011a24 : + 11a24: 00002097 auipc ra,0x2 + 11a28: 14408093 addi ra,ra,324 # 13b68 + 11a2c: beef1137 lui sp,0xbeef1 + 11a30: aa010113 addi sp,sp,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> + 11a34: 00209223 sh sp,4(ra) + 11a38: 0040af03 lw t5,4(ra) + 11a3c: beef1eb7 lui t4,0xbeef1 + 11a40: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> + 11a44: 18b00193 li gp,395 + 11a48: 01df0463 beq t5,t4,11a50 + 11a4c: 0ac0106f j 12af8 + +00011a50 : + 11a50: 00002097 auipc ra,0x2 + 11a54: 11808093 addi ra,ra,280 # 13b68 + 11a58: ffffa137 lui sp,0xffffa + 11a5c: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11a60: 00209323 sh sp,6(ra) + 11a64: 00609f03 lh t5,6(ra) + 11a68: ffffaeb7 lui t4,0xffffa + 11a6c: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11a70: 18c00193 li gp,396 + 11a74: 01df0463 beq t5,t4,11a7c + 11a78: 0800106f j 12af8 + +00011a7c : + 11a7c: 00002097 auipc ra,0x2 + 11a80: 0fa08093 addi ra,ra,250 # 13b76 + 11a84: 0aa00113 li sp,170 + 11a88: fe209d23 sh sp,-6(ra) + 11a8c: ffa09f03 lh t5,-6(ra) + 11a90: 0aa00e93 li t4,170 + 11a94: 18d00193 li gp,397 + 11a98: 01df0463 beq t5,t4,11aa0 + 11a9c: 05c0106f j 12af8 + +00011aa0 : + 11aa0: 00002097 auipc ra,0x2 + 11aa4: 0d608093 addi ra,ra,214 # 13b76 + 11aa8: ffffb137 lui sp,0xffffb + 11aac: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11ab0: fe209e23 sh sp,-4(ra) + 11ab4: ffc09f03 lh t5,-4(ra) + 11ab8: ffffbeb7 lui t4,0xffffb + 11abc: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11ac0: 18e00193 li gp,398 + 11ac4: 01df0463 beq t5,t4,11acc + 11ac8: 0300106f j 12af8 + +00011acc : + 11acc: 00002097 auipc ra,0x2 + 11ad0: 0aa08093 addi ra,ra,170 # 13b76 + 11ad4: 00001137 lui sp,0x1 + 11ad8: aa010113 addi sp,sp,-1376 # aa0 <_start-0xf5e0> + 11adc: fe209f23 sh sp,-2(ra) + 11ae0: ffe09f03 lh t5,-2(ra) + 11ae4: 00001eb7 lui t4,0x1 + 11ae8: aa0e8e93 addi t4,t4,-1376 # aa0 <_start-0xf5e0> + 11aec: 18f00193 li gp,399 + 11af0: 01df0463 beq t5,t4,11af8 + 11af4: 0040106f j 12af8 + +00011af8 : + 11af8: 00002097 auipc ra,0x2 + 11afc: 07e08093 addi ra,ra,126 # 13b76 + 11b00: ffffa137 lui sp,0xffffa + 11b04: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11b08: 00209023 sh sp,0(ra) + 11b0c: 00009f03 lh t5,0(ra) + 11b10: ffffaeb7 lui t4,0xffffa + 11b14: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11b18: 19000193 li gp,400 + 11b1c: 7ddf1ee3 bne t5,t4,12af8 + +00011b20 : + 11b20: 00002097 auipc ra,0x2 + 11b24: 05808093 addi ra,ra,88 # 13b78 + 11b28: 12345137 lui sp,0x12345 + 11b2c: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> + 11b30: fe008213 addi tp,ra,-32 + 11b34: 02221023 sh sp,32(tp) # 20 <_start-0x10060> + 11b38: 00009283 lh t0,0(ra) + 11b3c: 00005eb7 lui t4,0x5 + 11b40: 678e8e93 addi t4,t4,1656 # 5678 <_start-0xaa08> + 11b44: 19100193 li gp,401 + 11b48: 7bd298e3 bne t0,t4,12af8 + +00011b4c : + 11b4c: 00002097 auipc ra,0x2 + 11b50: 02c08093 addi ra,ra,44 # 13b78 + 11b54: 00003137 lui sp,0x3 + 11b58: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> + 11b5c: ffb08093 addi ra,ra,-5 + 11b60: 002093a3 sh sp,7(ra) + 11b64: 00002217 auipc tp,0x2 + 11b68: 01620213 addi tp,tp,22 # 13b7a + 11b6c: 00021283 lh t0,0(tp) # 0 <_start-0x10080> + 11b70: 00003eb7 lui t4,0x3 + 11b74: 098e8e93 addi t4,t4,152 # 3098 <_start-0xcfe8> + 11b78: 19200193 li gp,402 + 11b7c: 77d29ee3 bne t0,t4,12af8 + +00011b80 : + 11b80: 19300193 li gp,403 + 11b84: 00000213 li tp,0 + 11b88: ffffd0b7 lui ra,0xffffd + 11b8c: cdd08093 addi ra,ra,-803 # ffffccdd <__global_pointer$+0xfffe8895> + 11b90: 00002117 auipc sp,0x2 + 11b94: fd810113 addi sp,sp,-40 # 13b68 + 11b98: 00111023 sh ra,0(sp) + 11b9c: 00011f03 lh t5,0(sp) + 11ba0: ffffdeb7 lui t4,0xffffd + 11ba4: cdde8e93 addi t4,t4,-803 # ffffccdd <__global_pointer$+0xfffe8895> + 11ba8: 75df18e3 bne t5,t4,12af8 + 11bac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bb0: 00200293 li t0,2 + 11bb4: fc521ae3 bne tp,t0,11b88 + +00011bb8 : + 11bb8: 19400193 li gp,404 + 11bbc: 00000213 li tp,0 + 11bc0: ffffc0b7 lui ra,0xffffc + 11bc4: ccd08093 addi ra,ra,-819 # ffffbccd <__global_pointer$+0xfffe7885> + 11bc8: 00002117 auipc sp,0x2 + 11bcc: fa010113 addi sp,sp,-96 # 13b68 + 11bd0: 00000013 nop + 11bd4: 00111123 sh ra,2(sp) + 11bd8: 00211f03 lh t5,2(sp) + 11bdc: ffffceb7 lui t4,0xffffc + 11be0: ccde8e93 addi t4,t4,-819 # ffffbccd <__global_pointer$+0xfffe7885> + 11be4: 71df1ae3 bne t5,t4,12af8 + 11be8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bec: 00200293 li t0,2 + 11bf0: fc5218e3 bne tp,t0,11bc0 + +00011bf4 : + 11bf4: 19500193 li gp,405 + 11bf8: 00000213 li tp,0 + 11bfc: ffffc0b7 lui ra,0xffffc + 11c00: bcc08093 addi ra,ra,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> + 11c04: 00002117 auipc sp,0x2 + 11c08: f6410113 addi sp,sp,-156 # 13b68 + 11c0c: 00000013 nop + 11c10: 00000013 nop + 11c14: 00111223 sh ra,4(sp) + 11c18: 00411f03 lh t5,4(sp) + 11c1c: ffffceb7 lui t4,0xffffc + 11c20: bcce8e93 addi t4,t4,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> + 11c24: 6ddf1ae3 bne t5,t4,12af8 + 11c28: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c2c: 00200293 li t0,2 + 11c30: fc5216e3 bne tp,t0,11bfc + +00011c34 : + 11c34: 19600193 li gp,406 + 11c38: 00000213 li tp,0 + 11c3c: ffffb0b7 lui ra,0xffffb + 11c40: bbc08093 addi ra,ra,-1092 # ffffabbc <__global_pointer$+0xfffe6774> + 11c44: 00000013 nop + 11c48: 00002117 auipc sp,0x2 + 11c4c: f2010113 addi sp,sp,-224 # 13b68 + 11c50: 00111323 sh ra,6(sp) + 11c54: 00611f03 lh t5,6(sp) + 11c58: ffffbeb7 lui t4,0xffffb + 11c5c: bbce8e93 addi t4,t4,-1092 # ffffabbc <__global_pointer$+0xfffe6774> + 11c60: 69df1ce3 bne t5,t4,12af8 + 11c64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c68: 00200293 li t0,2 + 11c6c: fc5218e3 bne tp,t0,11c3c + +00011c70 : + 11c70: 19700193 li gp,407 + 11c74: 00000213 li tp,0 + 11c78: ffffb0b7 lui ra,0xffffb + 11c7c: abb08093 addi ra,ra,-1349 # ffffaabb <__global_pointer$+0xfffe6673> + 11c80: 00000013 nop + 11c84: 00002117 auipc sp,0x2 + 11c88: ee410113 addi sp,sp,-284 # 13b68 + 11c8c: 00000013 nop + 11c90: 00111423 sh ra,8(sp) + 11c94: 00811f03 lh t5,8(sp) + 11c98: ffffbeb7 lui t4,0xffffb + 11c9c: abbe8e93 addi t4,t4,-1349 # ffffaabb <__global_pointer$+0xfffe6673> + 11ca0: 65df1ce3 bne t5,t4,12af8 + 11ca4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ca8: 00200293 li t0,2 + 11cac: fc5216e3 bne tp,t0,11c78 + +00011cb0 : + 11cb0: 19800193 li gp,408 + 11cb4: 00000213 li tp,0 + 11cb8: ffffe0b7 lui ra,0xffffe + 11cbc: aab08093 addi ra,ra,-1365 # ffffdaab <__global_pointer$+0xfffe9663> + 11cc0: 00000013 nop + 11cc4: 00000013 nop + 11cc8: 00002117 auipc sp,0x2 + 11ccc: ea010113 addi sp,sp,-352 # 13b68 + 11cd0: 00111523 sh ra,10(sp) + 11cd4: 00a11f03 lh t5,10(sp) + 11cd8: ffffeeb7 lui t4,0xffffe + 11cdc: aabe8e93 addi t4,t4,-1365 # ffffdaab <__global_pointer$+0xfffe9663> + 11ce0: 61df1ce3 bne t5,t4,12af8 + 11ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ce8: 00200293 li t0,2 + 11cec: fc5216e3 bne tp,t0,11cb8 + +00011cf0 : + 11cf0: 19900193 li gp,409 + 11cf4: 00000213 li tp,0 + 11cf8: 00002117 auipc sp,0x2 + 11cfc: e7010113 addi sp,sp,-400 # 13b68 + 11d00: 000020b7 lui ra,0x2 + 11d04: 23308093 addi ra,ra,563 # 2233 <_start-0xde4d> + 11d08: 00111023 sh ra,0(sp) + 11d0c: 00011f03 lh t5,0(sp) + 11d10: 00002eb7 lui t4,0x2 + 11d14: 233e8e93 addi t4,t4,563 # 2233 <_start-0xde4d> + 11d18: 5fdf10e3 bne t5,t4,12af8 + 11d1c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d20: 00200293 li t0,2 + 11d24: fc521ae3 bne tp,t0,11cf8 + +00011d28 : + 11d28: 19a00193 li gp,410 + 11d2c: 00000213 li tp,0 + 11d30: 00002117 auipc sp,0x2 + 11d34: e3810113 addi sp,sp,-456 # 13b68 + 11d38: 000010b7 lui ra,0x1 + 11d3c: 22308093 addi ra,ra,547 # 1223 <_start-0xee5d> + 11d40: 00000013 nop + 11d44: 00111123 sh ra,2(sp) + 11d48: 00211f03 lh t5,2(sp) + 11d4c: 00001eb7 lui t4,0x1 + 11d50: 223e8e93 addi t4,t4,547 # 1223 <_start-0xee5d> + 11d54: 5bdf12e3 bne t5,t4,12af8 + 11d58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d5c: 00200293 li t0,2 + 11d60: fc5218e3 bne tp,t0,11d30 + +00011d64 : + 11d64: 19b00193 li gp,411 + 11d68: 00000213 li tp,0 + 11d6c: 00002117 auipc sp,0x2 + 11d70: dfc10113 addi sp,sp,-516 # 13b68 + 11d74: 000010b7 lui ra,0x1 + 11d78: 12208093 addi ra,ra,290 # 1122 <_start-0xef5e> + 11d7c: 00000013 nop + 11d80: 00000013 nop + 11d84: 00111223 sh ra,4(sp) + 11d88: 00411f03 lh t5,4(sp) + 11d8c: 00001eb7 lui t4,0x1 + 11d90: 122e8e93 addi t4,t4,290 # 1122 <_start-0xef5e> + 11d94: 57df12e3 bne t5,t4,12af8 + 11d98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d9c: 00200293 li t0,2 + 11da0: fc5216e3 bne tp,t0,11d6c + +00011da4 : + 11da4: 19c00193 li gp,412 + 11da8: 00000213 li tp,0 + 11dac: 00002117 auipc sp,0x2 + 11db0: dbc10113 addi sp,sp,-580 # 13b68 + 11db4: 00000013 nop + 11db8: 11200093 li ra,274 + 11dbc: 00111323 sh ra,6(sp) + 11dc0: 00611f03 lh t5,6(sp) + 11dc4: 11200e93 li t4,274 + 11dc8: 53df18e3 bne t5,t4,12af8 + 11dcc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11dd0: 00200293 li t0,2 + 11dd4: fc521ce3 bne tp,t0,11dac + +00011dd8 : + 11dd8: 19d00193 li gp,413 + 11ddc: 00000213 li tp,0 + 11de0: 00002117 auipc sp,0x2 + 11de4: d8810113 addi sp,sp,-632 # 13b68 + 11de8: 00000013 nop + 11dec: 01100093 li ra,17 + 11df0: 00000013 nop + 11df4: 00111423 sh ra,8(sp) + 11df8: 00811f03 lh t5,8(sp) + 11dfc: 01100e93 li t4,17 + 11e00: 4fdf1ce3 bne t5,t4,12af8 + 11e04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e08: 00200293 li t0,2 + 11e0c: fc521ae3 bne tp,t0,11de0 + +00011e10 : + 11e10: 19e00193 li gp,414 + 11e14: 00000213 li tp,0 + 11e18: 00002117 auipc sp,0x2 + 11e1c: d5010113 addi sp,sp,-688 # 13b68 + 11e20: 00000013 nop + 11e24: 00000013 nop + 11e28: 000030b7 lui ra,0x3 + 11e2c: 00108093 addi ra,ra,1 # 3001 <_start-0xd07f> + 11e30: 00111523 sh ra,10(sp) + 11e34: 00a11f03 lh t5,10(sp) + 11e38: 00003eb7 lui t4,0x3 + 11e3c: 001e8e93 addi t4,t4,1 # 3001 <_start-0xd07f> + 11e40: 4bdf1ce3 bne t5,t4,12af8 + 11e44: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e48: 00200293 li t0,2 + 11e4c: fc5216e3 bne tp,t0,11e18 + 11e50: 0000c537 lui a0,0xc + 11e54: eef50513 addi a0,a0,-273 # beef <_start-0x4191> + 11e58: 00002597 auipc a1,0x2 + 11e5c: d1058593 addi a1,a1,-752 # 13b68 + 11e60: 00a59323 sh a0,6(a1) + +00011e64 : + 11e64: 00100093 li ra,1 + 11e68: 00000113 li sp,0 + 11e6c: 00209f33 sll t5,ra,sp + 11e70: 00100e93 li t4,1 + 11e74: 19f00193 li gp,415 + 11e78: 49df10e3 bne t5,t4,12af8 + +00011e7c : + 11e7c: 00100093 li ra,1 + 11e80: 00100113 li sp,1 + 11e84: 00209f33 sll t5,ra,sp + 11e88: 00200e93 li t4,2 + 11e8c: 1a000193 li gp,416 + 11e90: 47df14e3 bne t5,t4,12af8 + +00011e94 : + 11e94: 00100093 li ra,1 + 11e98: 00700113 li sp,7 + 11e9c: 00209f33 sll t5,ra,sp + 11ea0: 08000e93 li t4,128 + 11ea4: 1a100193 li gp,417 + 11ea8: 45df18e3 bne t5,t4,12af8 + +00011eac : + 11eac: 00100093 li ra,1 + 11eb0: 00e00113 li sp,14 + 11eb4: 00209f33 sll t5,ra,sp + 11eb8: 00004eb7 lui t4,0x4 + 11ebc: 1a200193 li gp,418 + 11ec0: 43df1ce3 bne t5,t4,12af8 + +00011ec4 : + 11ec4: 00100093 li ra,1 + 11ec8: 01f00113 li sp,31 + 11ecc: 00209f33 sll t5,ra,sp + 11ed0: 80000eb7 lui t4,0x80000 + 11ed4: 1a300193 li gp,419 + 11ed8: 43df10e3 bne t5,t4,12af8 + +00011edc : + 11edc: fff00093 li ra,-1 + 11ee0: 00000113 li sp,0 + 11ee4: 00209f33 sll t5,ra,sp + 11ee8: fff00e93 li t4,-1 + 11eec: 1a400193 li gp,420 + 11ef0: 41df14e3 bne t5,t4,12af8 + +00011ef4 : + 11ef4: fff00093 li ra,-1 + 11ef8: 00100113 li sp,1 + 11efc: 00209f33 sll t5,ra,sp + 11f00: ffe00e93 li t4,-2 + 11f04: 1a500193 li gp,421 + 11f08: 3fdf18e3 bne t5,t4,12af8 + +00011f0c : + 11f0c: fff00093 li ra,-1 + 11f10: 00700113 li sp,7 + 11f14: 00209f33 sll t5,ra,sp + 11f18: f8000e93 li t4,-128 + 11f1c: 1a600193 li gp,422 + 11f20: 3ddf1ce3 bne t5,t4,12af8 + +00011f24 : + 11f24: fff00093 li ra,-1 + 11f28: 00e00113 li sp,14 + 11f2c: 00209f33 sll t5,ra,sp + 11f30: ffffceb7 lui t4,0xffffc + 11f34: 1a700193 li gp,423 + 11f38: 3ddf10e3 bne t5,t4,12af8 + +00011f3c : + 11f3c: fff00093 li ra,-1 + 11f40: 01f00113 li sp,31 + 11f44: 00209f33 sll t5,ra,sp + 11f48: 80000eb7 lui t4,0x80000 + 11f4c: 1a800193 li gp,424 + 11f50: 3bdf14e3 bne t5,t4,12af8 + +00011f54 : + 11f54: 212120b7 lui ra,0x21212 + 11f58: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f5c: 00000113 li sp,0 + 11f60: 00209f33 sll t5,ra,sp + 11f64: 21212eb7 lui t4,0x21212 + 11f68: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f6c: 1a900193 li gp,425 + 11f70: 39df14e3 bne t5,t4,12af8 + +00011f74 : + 11f74: 212120b7 lui ra,0x21212 + 11f78: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f7c: 00100113 li sp,1 + 11f80: 00209f33 sll t5,ra,sp + 11f84: 42424eb7 lui t4,0x42424 + 11f88: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> + 11f8c: 1aa00193 li gp,426 + 11f90: 37df14e3 bne t5,t4,12af8 + +00011f94 : + 11f94: 212120b7 lui ra,0x21212 + 11f98: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f9c: 00700113 li sp,7 + 11fa0: 00209f33 sll t5,ra,sp + 11fa4: 90909eb7 lui t4,0x90909 + 11fa8: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> + 11fac: 1ab00193 li gp,427 + 11fb0: 35df14e3 bne t5,t4,12af8 + +00011fb4 : + 11fb4: 212120b7 lui ra,0x21212 + 11fb8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11fbc: 00e00113 li sp,14 + 11fc0: 00209f33 sll t5,ra,sp + 11fc4: 48484eb7 lui t4,0x48484 + 11fc8: 1ac00193 li gp,428 + 11fcc: 33df16e3 bne t5,t4,12af8 + +00011fd0 : + 11fd0: 212120b7 lui ra,0x21212 + 11fd4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11fd8: 01f00113 li sp,31 + 11fdc: 00209f33 sll t5,ra,sp + 11fe0: 80000eb7 lui t4,0x80000 + 11fe4: 1ad00193 li gp,429 + 11fe8: 31df18e3 bne t5,t4,12af8 + +00011fec : + 11fec: 212120b7 lui ra,0x21212 + 11ff0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11ff4: fc000113 li sp,-64 + 11ff8: 00209f33 sll t5,ra,sp + 11ffc: 21212eb7 lui t4,0x21212 + 12000: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12004: 1ae00193 li gp,430 + 12008: 2fdf18e3 bne t5,t4,12af8 + +0001200c : + 1200c: 212120b7 lui ra,0x21212 + 12010: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12014: fc100113 li sp,-63 + 12018: 00209f33 sll t5,ra,sp + 1201c: 42424eb7 lui t4,0x42424 + 12020: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> + 12024: 1af00193 li gp,431 + 12028: 2ddf18e3 bne t5,t4,12af8 + +0001202c : + 1202c: 212120b7 lui ra,0x21212 + 12030: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12034: fc700113 li sp,-57 + 12038: 00209f33 sll t5,ra,sp + 1203c: 90909eb7 lui t4,0x90909 + 12040: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> + 12044: 1b000193 li gp,432 + 12048: 2bdf18e3 bne t5,t4,12af8 + +0001204c : + 1204c: 212120b7 lui ra,0x21212 + 12050: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12054: fce00113 li sp,-50 + 12058: 00209f33 sll t5,ra,sp + 1205c: 48484eb7 lui t4,0x48484 + 12060: 1b100193 li gp,433 + 12064: 29df1ae3 bne t5,t4,12af8 + +00012068 : + 12068: 00100093 li ra,1 + 1206c: 00700113 li sp,7 + 12070: 002090b3 sll ra,ra,sp + 12074: 08000e93 li t4,128 + 12078: 1b600193 li gp,438 + 1207c: 27d09ee3 bne ra,t4,12af8 + +00012080 : + 12080: 00100093 li ra,1 + 12084: 00e00113 li sp,14 + 12088: 00209133 sll sp,ra,sp + 1208c: 00004eb7 lui t4,0x4 + 12090: 1b700193 li gp,439 + 12094: 27d112e3 bne sp,t4,12af8 + +00012098 : + 12098: 00300093 li ra,3 + 1209c: 001090b3 sll ra,ra,ra + 120a0: 01800e93 li t4,24 + 120a4: 1b800193 li gp,440 + 120a8: 25d098e3 bne ra,t4,12af8 + +000120ac : + 120ac: 00000213 li tp,0 + 120b0: 00100093 li ra,1 + 120b4: 00700113 li sp,7 + 120b8: 00209f33 sll t5,ra,sp + 120bc: 000f0313 mv t1,t5 + 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120c4: 00200293 li t0,2 + 120c8: fe5214e3 bne tp,t0,120b0 + 120cc: 08000e93 li t4,128 + 120d0: 1b900193 li gp,441 + 120d4: 23d312e3 bne t1,t4,12af8 + +000120d8 : + 120d8: 00000213 li tp,0 + 120dc: 00100093 li ra,1 + 120e0: 00e00113 li sp,14 + 120e4: 00209f33 sll t5,ra,sp + 120e8: 00000013 nop + 120ec: 000f0313 mv t1,t5 + 120f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120f4: 00200293 li t0,2 + 120f8: fe5212e3 bne tp,t0,120dc + 120fc: 00004eb7 lui t4,0x4 + 12100: 1ba00193 li gp,442 + 12104: 1fd31ae3 bne t1,t4,12af8 + +00012108 : + 12108: 00000213 li tp,0 + 1210c: 00100093 li ra,1 + 12110: 01f00113 li sp,31 + 12114: 00209f33 sll t5,ra,sp + 12118: 00000013 nop + 1211c: 00000013 nop + 12120: 000f0313 mv t1,t5 + 12124: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12128: 00200293 li t0,2 + 1212c: fe5210e3 bne tp,t0,1210c + 12130: 80000eb7 lui t4,0x80000 + 12134: 1bb00193 li gp,443 + 12138: 1dd310e3 bne t1,t4,12af8 + +0001213c : + 1213c: 00000213 li tp,0 + 12140: 00100093 li ra,1 + 12144: 00700113 li sp,7 + 12148: 00209f33 sll t5,ra,sp + 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12150: 00200293 li t0,2 + 12154: fe5216e3 bne tp,t0,12140 + 12158: 08000e93 li t4,128 + 1215c: 1bc00193 li gp,444 + 12160: 19df1ce3 bne t5,t4,12af8 + +00012164 : + 12164: 00000213 li tp,0 + 12168: 00100093 li ra,1 + 1216c: 00e00113 li sp,14 + 12170: 00000013 nop + 12174: 00209f33 sll t5,ra,sp + 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1217c: 00200293 li t0,2 + 12180: fe5214e3 bne tp,t0,12168 + 12184: 00004eb7 lui t4,0x4 + 12188: 1bd00193 li gp,445 + 1218c: 17df16e3 bne t5,t4,12af8 + +00012190 : + 12190: 00000213 li tp,0 + 12194: 00100093 li ra,1 + 12198: 01f00113 li sp,31 + 1219c: 00000013 nop + 121a0: 00000013 nop + 121a4: 00209f33 sll t5,ra,sp + 121a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121ac: 00200293 li t0,2 + 121b0: fe5212e3 bne tp,t0,12194 + 121b4: 80000eb7 lui t4,0x80000 + 121b8: 1be00193 li gp,446 + 121bc: 13df1ee3 bne t5,t4,12af8 + +000121c0 : + 121c0: 00000213 li tp,0 + 121c4: 00100093 li ra,1 + 121c8: 00000013 nop + 121cc: 00700113 li sp,7 + 121d0: 00209f33 sll t5,ra,sp + 121d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121d8: 00200293 li t0,2 + 121dc: fe5214e3 bne tp,t0,121c4 + 121e0: 08000e93 li t4,128 + 121e4: 1bf00193 li gp,447 + 121e8: 11df18e3 bne t5,t4,12af8 + +000121ec : + 121ec: 00000213 li tp,0 + 121f0: 00100093 li ra,1 + 121f4: 00000013 nop + 121f8: 00e00113 li sp,14 + 121fc: 00000013 nop + 12200: 00209f33 sll t5,ra,sp + 12204: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12208: 00200293 li t0,2 + 1220c: fe5212e3 bne tp,t0,121f0 + 12210: 00004eb7 lui t4,0x4 + 12214: 1c000193 li gp,448 + 12218: 0fdf10e3 bne t5,t4,12af8 + +0001221c : + 1221c: 00000213 li tp,0 + 12220: 00100093 li ra,1 + 12224: 00000013 nop + 12228: 00000013 nop + 1222c: 01f00113 li sp,31 + 12230: 00209f33 sll t5,ra,sp + 12234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12238: 00200293 li t0,2 + 1223c: fe5212e3 bne tp,t0,12220 + 12240: 80000eb7 lui t4,0x80000 + 12244: 1c100193 li gp,449 + 12248: 0bdf18e3 bne t5,t4,12af8 + +0001224c : + 1224c: 00000213 li tp,0 + 12250: 00700113 li sp,7 + 12254: 00100093 li ra,1 + 12258: 00209f33 sll t5,ra,sp + 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12260: 00200293 li t0,2 + 12264: fe5216e3 bne tp,t0,12250 + 12268: 08000e93 li t4,128 + 1226c: 1c200193 li gp,450 + 12270: 09df14e3 bne t5,t4,12af8 + +00012274 : + 12274: 00000213 li tp,0 + 12278: 00e00113 li sp,14 + 1227c: 00100093 li ra,1 + 12280: 00000013 nop + 12284: 00209f33 sll t5,ra,sp + 12288: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1228c: 00200293 li t0,2 + 12290: fe5214e3 bne tp,t0,12278 + 12294: 00004eb7 lui t4,0x4 + 12298: 1c300193 li gp,451 + 1229c: 05df1ee3 bne t5,t4,12af8 + +000122a0 : + 122a0: 00000213 li tp,0 + 122a4: 01f00113 li sp,31 + 122a8: 00100093 li ra,1 + 122ac: 00000013 nop + 122b0: 00000013 nop + 122b4: 00209f33 sll t5,ra,sp + 122b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122bc: 00200293 li t0,2 + 122c0: fe5212e3 bne tp,t0,122a4 + 122c4: 80000eb7 lui t4,0x80000 + 122c8: 1c400193 li gp,452 + 122cc: 03df16e3 bne t5,t4,12af8 + +000122d0 : + 122d0: 00000213 li tp,0 + 122d4: 00700113 li sp,7 + 122d8: 00000013 nop + 122dc: 00100093 li ra,1 + 122e0: 00209f33 sll t5,ra,sp + 122e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122e8: 00200293 li t0,2 + 122ec: fe5214e3 bne tp,t0,122d4 + 122f0: 08000e93 li t4,128 + 122f4: 1c500193 li gp,453 + 122f8: 01df10e3 bne t5,t4,12af8 + +000122fc : + 122fc: 00000213 li tp,0 + 12300: 00e00113 li sp,14 + 12304: 00000013 nop + 12308: 00100093 li ra,1 + 1230c: 00000013 nop + 12310: 00209f33 sll t5,ra,sp + 12314: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12318: 00200293 li t0,2 + 1231c: fe5212e3 bne tp,t0,12300 + 12320: 00004eb7 lui t4,0x4 + 12324: 1c600193 li gp,454 + 12328: 7ddf1863 bne t5,t4,12af8 + +0001232c : + 1232c: 00000213 li tp,0 + 12330: 01f00113 li sp,31 + 12334: 00000013 nop + 12338: 00000013 nop + 1233c: 00100093 li ra,1 + 12340: 00209f33 sll t5,ra,sp + 12344: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12348: 00200293 li t0,2 + 1234c: fe5212e3 bne tp,t0,12330 + 12350: 80000eb7 lui t4,0x80000 + 12354: 1c700193 li gp,455 + 12358: 7bdf1063 bne t5,t4,12af8 + +0001235c : + 1235c: 00f00093 li ra,15 + 12360: 00101133 sll sp,zero,ra + 12364: 00000e93 li t4,0 + 12368: 1c800193 li gp,456 + 1236c: 79d11663 bne sp,t4,12af8 + +00012370 : + 12370: 02000093 li ra,32 + 12374: 00009133 sll sp,ra,zero + 12378: 02000e93 li t4,32 + 1237c: 1c900193 li gp,457 + 12380: 77d11c63 bne sp,t4,12af8 + +00012384 : + 12384: 000010b3 sll ra,zero,zero + 12388: 00000e93 li t4,0 + 1238c: 1ca00193 li gp,458 + 12390: 77d09463 bne ra,t4,12af8 + +00012394 : + 12394: 40000093 li ra,1024 + 12398: 00001137 lui sp,0x1 + 1239c: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> + 123a0: 00209033 sll zero,ra,sp + 123a4: 00000e93 li t4,0 + 123a8: 1cb00193 li gp,459 + 123ac: 75d01663 bne zero,t4,12af8 + +000123b0 : + 123b0: 00100093 li ra,1 + 123b4: 00009f13 slli t5,ra,0x0 + 123b8: 00100e93 li t4,1 + 123bc: 1cc00193 li gp,460 + 123c0: 73df1c63 bne t5,t4,12af8 + +000123c4 : + 123c4: 00100093 li ra,1 + 123c8: 00109f13 slli t5,ra,0x1 + 123cc: 00200e93 li t4,2 + 123d0: 1cd00193 li gp,461 + 123d4: 73df1263 bne t5,t4,12af8 + +000123d8 : + 123d8: 00100093 li ra,1 + 123dc: 00709f13 slli t5,ra,0x7 + 123e0: 08000e93 li t4,128 + 123e4: 1ce00193 li gp,462 + 123e8: 71df1863 bne t5,t4,12af8 + +000123ec : + 123ec: 00100093 li ra,1 + 123f0: 00e09f13 slli t5,ra,0xe + 123f4: 00004eb7 lui t4,0x4 + 123f8: 1cf00193 li gp,463 + 123fc: 6fdf1e63 bne t5,t4,12af8 + +00012400 : + 12400: 00100093 li ra,1 + 12404: 01f09f13 slli t5,ra,0x1f + 12408: 80000eb7 lui t4,0x80000 + 1240c: 1d000193 li gp,464 + 12410: 6fdf1463 bne t5,t4,12af8 + +00012414 : + 12414: fff00093 li ra,-1 + 12418: 00009f13 slli t5,ra,0x0 + 1241c: fff00e93 li t4,-1 + 12420: 1d100193 li gp,465 + 12424: 6ddf1a63 bne t5,t4,12af8 + +00012428 : + 12428: fff00093 li ra,-1 + 1242c: 00109f13 slli t5,ra,0x1 + 12430: ffe00e93 li t4,-2 + 12434: 1d200193 li gp,466 + 12438: 6ddf1063 bne t5,t4,12af8 + +0001243c : + 1243c: fff00093 li ra,-1 + 12440: 00709f13 slli t5,ra,0x7 + 12444: f8000e93 li t4,-128 + 12448: 1d300193 li gp,467 + 1244c: 6bdf1663 bne t5,t4,12af8 + +00012450 : + 12450: fff00093 li ra,-1 + 12454: 00e09f13 slli t5,ra,0xe + 12458: ffffceb7 lui t4,0xffffc + 1245c: 1d400193 li gp,468 + 12460: 69df1c63 bne t5,t4,12af8 + +00012464 : + 12464: fff00093 li ra,-1 + 12468: 01f09f13 slli t5,ra,0x1f + 1246c: 80000eb7 lui t4,0x80000 + 12470: 1d500193 li gp,469 + 12474: 69df1263 bne t5,t4,12af8 + +00012478 : + 12478: 212120b7 lui ra,0x21212 + 1247c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12480: 00009f13 slli t5,ra,0x0 + 12484: 21212eb7 lui t4,0x21212 + 12488: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> + 1248c: 1d600193 li gp,470 + 12490: 67df1463 bne t5,t4,12af8 + +00012494 : + 12494: 212120b7 lui ra,0x21212 + 12498: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 1249c: 00109f13 slli t5,ra,0x1 + 124a0: 42424eb7 lui t4,0x42424 + 124a4: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> + 124a8: 1d700193 li gp,471 + 124ac: 65df1663 bne t5,t4,12af8 + +000124b0 : + 124b0: 212120b7 lui ra,0x21212 + 124b4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 124b8: 00709f13 slli t5,ra,0x7 + 124bc: 90909eb7 lui t4,0x90909 + 124c0: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> + 124c4: 1d800193 li gp,472 + 124c8: 63df1863 bne t5,t4,12af8 + +000124cc : + 124cc: 212120b7 lui ra,0x21212 + 124d0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 124d4: 00e09f13 slli t5,ra,0xe + 124d8: 48484eb7 lui t4,0x48484 + 124dc: 1d900193 li gp,473 + 124e0: 61df1c63 bne t5,t4,12af8 + +000124e4 : + 124e4: 212120b7 lui ra,0x21212 + 124e8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 124ec: 01f09f13 slli t5,ra,0x1f + 124f0: 80000eb7 lui t4,0x80000 + 124f4: 1da00193 li gp,474 + 124f8: 61df1063 bne t5,t4,12af8 + +000124fc : + 124fc: 00100093 li ra,1 + 12500: 00709093 slli ra,ra,0x7 + 12504: 08000e93 li t4,128 + 12508: 1de00193 li gp,478 + 1250c: 5fd09663 bne ra,t4,12af8 + +00012510 : + 12510: 00000213 li tp,0 + 12514: 00100093 li ra,1 + 12518: 00709f13 slli t5,ra,0x7 + 1251c: 000f0313 mv t1,t5 + 12520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12524: 00200293 li t0,2 + 12528: fe5216e3 bne tp,t0,12514 + 1252c: 08000e93 li t4,128 + 12530: 1df00193 li gp,479 + 12534: 5dd31263 bne t1,t4,12af8 + +00012538 : + 12538: 00000213 li tp,0 + 1253c: 00100093 li ra,1 + 12540: 00e09f13 slli t5,ra,0xe + 12544: 00000013 nop + 12548: 000f0313 mv t1,t5 + 1254c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12550: 00200293 li t0,2 + 12554: fe5214e3 bne tp,t0,1253c + 12558: 00004eb7 lui t4,0x4 + 1255c: 1e000193 li gp,480 + 12560: 59d31c63 bne t1,t4,12af8 + +00012564 : + 12564: 00000213 li tp,0 + 12568: 00100093 li ra,1 + 1256c: 01f09f13 slli t5,ra,0x1f + 12570: 00000013 nop + 12574: 00000013 nop + 12578: 000f0313 mv t1,t5 + 1257c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12580: 00200293 li t0,2 + 12584: fe5212e3 bne tp,t0,12568 + 12588: 80000eb7 lui t4,0x80000 + 1258c: 1e100193 li gp,481 + 12590: 57d31463 bne t1,t4,12af8 + +00012594 : + 12594: 00000213 li tp,0 + 12598: 00100093 li ra,1 + 1259c: 00709f13 slli t5,ra,0x7 + 125a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125a4: 00200293 li t0,2 + 125a8: fe5218e3 bne tp,t0,12598 + 125ac: 08000e93 li t4,128 + 125b0: 1e200193 li gp,482 + 125b4: 55df1263 bne t5,t4,12af8 + +000125b8 : + 125b8: 00000213 li tp,0 + 125bc: 00100093 li ra,1 + 125c0: 00000013 nop + 125c4: 00e09f13 slli t5,ra,0xe + 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125cc: 00200293 li t0,2 + 125d0: fe5216e3 bne tp,t0,125bc + 125d4: 00004eb7 lui t4,0x4 + 125d8: 1e300193 li gp,483 + 125dc: 51df1e63 bne t5,t4,12af8 + +000125e0 : + 125e0: 00000213 li tp,0 + 125e4: 00100093 li ra,1 + 125e8: 00000013 nop + 125ec: 00000013 nop + 125f0: 01f09f13 slli t5,ra,0x1f + 125f4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125f8: 00200293 li t0,2 + 125fc: fe5214e3 bne tp,t0,125e4 + 12600: 80000eb7 lui t4,0x80000 + 12604: 1e400193 li gp,484 + 12608: 4fdf1863 bne t5,t4,12af8 + +0001260c : + 1260c: 01f01093 slli ra,zero,0x1f + 12610: 00000e93 li t4,0 + 12614: 1e500193 li gp,485 + 12618: 4fd09063 bne ra,t4,12af8 + +0001261c : + 1261c: 02100093 li ra,33 + 12620: 01409013 slli zero,ra,0x14 + 12624: 00000e93 li t4,0 + 12628: 1e600193 li gp,486 + 1262c: 4dd01663 bne zero,t4,12af8 + +00012630 : + 12630: 00000093 li ra,0 + 12634: 00000113 li sp,0 + 12638: 0020af33 slt t5,ra,sp + 1263c: 00000e93 li t4,0 + 12640: 1e700193 li gp,487 + 12644: 4bdf1a63 bne t5,t4,12af8 + +00012648 : + 12648: 00100093 li ra,1 + 1264c: 00100113 li sp,1 + 12650: 0020af33 slt t5,ra,sp + 12654: 00000e93 li t4,0 + 12658: 1e800193 li gp,488 + 1265c: 49df1e63 bne t5,t4,12af8 + +00012660 : + 12660: 00300093 li ra,3 + 12664: 00700113 li sp,7 + 12668: 0020af33 slt t5,ra,sp + 1266c: 00100e93 li t4,1 + 12670: 1e900193 li gp,489 + 12674: 49df1263 bne t5,t4,12af8 + +00012678 : + 12678: 00700093 li ra,7 + 1267c: 00300113 li sp,3 + 12680: 0020af33 slt t5,ra,sp + 12684: 00000e93 li t4,0 + 12688: 1ea00193 li gp,490 + 1268c: 47df1663 bne t5,t4,12af8 + +00012690 : + 12690: 00000093 li ra,0 + 12694: ffff8137 lui sp,0xffff8 + 12698: 0020af33 slt t5,ra,sp + 1269c: 00000e93 li t4,0 + 126a0: 1eb00193 li gp,491 + 126a4: 45df1a63 bne t5,t4,12af8 + +000126a8 : + 126a8: 800000b7 lui ra,0x80000 + 126ac: 00000113 li sp,0 + 126b0: 0020af33 slt t5,ra,sp + 126b4: 00100e93 li t4,1 + 126b8: 1ec00193 li gp,492 + 126bc: 43df1e63 bne t5,t4,12af8 + +000126c0 : + 126c0: 800000b7 lui ra,0x80000 + 126c4: ffff8137 lui sp,0xffff8 + 126c8: 0020af33 slt t5,ra,sp + 126cc: 00100e93 li t4,1 + 126d0: 1ed00193 li gp,493 + 126d4: 43df1263 bne t5,t4,12af8 + +000126d8 : + 126d8: 00000093 li ra,0 + 126dc: 00008137 lui sp,0x8 + 126e0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 126e4: 0020af33 slt t5,ra,sp + 126e8: 00100e93 li t4,1 + 126ec: 1ee00193 li gp,494 + 126f0: 41df1463 bne t5,t4,12af8 + +000126f4 : + 126f4: 800000b7 lui ra,0x80000 + 126f8: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> + 126fc: 00000113 li sp,0 + 12700: 0020af33 slt t5,ra,sp + 12704: 00000e93 li t4,0 + 12708: 1ef00193 li gp,495 + 1270c: 3fdf1663 bne t5,t4,12af8 + +00012710 : + 12710: 800000b7 lui ra,0x80000 + 12714: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> + 12718: 00008137 lui sp,0x8 + 1271c: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 12720: 0020af33 slt t5,ra,sp + 12724: 00000e93 li t4,0 + 12728: 1f000193 li gp,496 + 1272c: 3ddf1663 bne t5,t4,12af8 + +00012730 : + 12730: 800000b7 lui ra,0x80000 + 12734: 00008137 lui sp,0x8 + 12738: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 1273c: 0020af33 slt t5,ra,sp + 12740: 00100e93 li t4,1 + 12744: 1f100193 li gp,497 + 12748: 3bdf1863 bne t5,t4,12af8 + +0001274c : + 1274c: 800000b7 lui ra,0x80000 + 12750: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> + 12754: ffff8137 lui sp,0xffff8 + 12758: 0020af33 slt t5,ra,sp + 1275c: 00000e93 li t4,0 + 12760: 1f200193 li gp,498 + 12764: 39df1a63 bne t5,t4,12af8 + +00012768 : + 12768: 00000093 li ra,0 + 1276c: fff00113 li sp,-1 + 12770: 0020af33 slt t5,ra,sp + 12774: 00000e93 li t4,0 + 12778: 1f300193 li gp,499 + 1277c: 37df1e63 bne t5,t4,12af8 + +00012780 : + 12780: fff00093 li ra,-1 + 12784: 00100113 li sp,1 + 12788: 0020af33 slt t5,ra,sp + 1278c: 00100e93 li t4,1 + 12790: 1f400193 li gp,500 + 12794: 37df1263 bne t5,t4,12af8 + +00012798 : + 12798: fff00093 li ra,-1 + 1279c: fff00113 li sp,-1 + 127a0: 0020af33 slt t5,ra,sp + 127a4: 00000e93 li t4,0 + 127a8: 1f500193 li gp,501 + 127ac: 35df1663 bne t5,t4,12af8 + +000127b0 : + 127b0: 00e00093 li ra,14 + 127b4: 00d00113 li sp,13 + 127b8: 0020a0b3 slt ra,ra,sp + 127bc: 00000e93 li t4,0 + 127c0: 1f600193 li gp,502 + 127c4: 33d09a63 bne ra,t4,12af8 + +000127c8 : + 127c8: 00b00093 li ra,11 + 127cc: 00d00113 li sp,13 + 127d0: 0020a133 slt sp,ra,sp + 127d4: 00100e93 li t4,1 + 127d8: 1f700193 li gp,503 + 127dc: 31d11e63 bne sp,t4,12af8 + +000127e0 : + 127e0: 00d00093 li ra,13 + 127e4: 0010a0b3 slt ra,ra,ra + 127e8: 00000e93 li t4,0 + 127ec: 1f800193 li gp,504 + 127f0: 31d09463 bne ra,t4,12af8 + +000127f4 : + 127f4: 00000213 li tp,0 + 127f8: 00b00093 li ra,11 + 127fc: 00d00113 li sp,13 + 12800: 0020af33 slt t5,ra,sp + 12804: 000f0313 mv t1,t5 + 12808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1280c: 00200293 li t0,2 + 12810: fe5214e3 bne tp,t0,127f8 + 12814: 00100e93 li t4,1 + 12818: 1f900193 li gp,505 + 1281c: 2dd31e63 bne t1,t4,12af8 + +00012820 : + 12820: 00000213 li tp,0 + 12824: 00e00093 li ra,14 + 12828: 00d00113 li sp,13 + 1282c: 0020af33 slt t5,ra,sp + 12830: 00000013 nop + 12834: 000f0313 mv t1,t5 + 12838: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1283c: 00200293 li t0,2 + 12840: fe5212e3 bne tp,t0,12824 + 12844: 00000e93 li t4,0 + 12848: 1fa00193 li gp,506 + 1284c: 2bd31663 bne t1,t4,12af8 + +00012850 : + 12850: 00000213 li tp,0 + 12854: 00c00093 li ra,12 + 12858: 00d00113 li sp,13 + 1285c: 0020af33 slt t5,ra,sp + 12860: 00000013 nop + 12864: 00000013 nop + 12868: 000f0313 mv t1,t5 + 1286c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12870: 00200293 li t0,2 + 12874: fe5210e3 bne tp,t0,12854 + 12878: 00100e93 li t4,1 + 1287c: 1fb00193 li gp,507 + 12880: 27d31c63 bne t1,t4,12af8 + +00012884 : + 12884: 00000213 li tp,0 + 12888: 00e00093 li ra,14 + 1288c: 00d00113 li sp,13 + 12890: 0020af33 slt t5,ra,sp + 12894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12898: 00200293 li t0,2 + 1289c: fe5216e3 bne tp,t0,12888 + 128a0: 00000e93 li t4,0 + 128a4: 1fc00193 li gp,508 + 128a8: 25df1863 bne t5,t4,12af8 + +000128ac : + 128ac: 00000213 li tp,0 + 128b0: 00b00093 li ra,11 + 128b4: 00d00113 li sp,13 + 128b8: 00000013 nop + 128bc: 0020af33 slt t5,ra,sp + 128c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 128c4: 00200293 li t0,2 + 128c8: fe5214e3 bne tp,t0,128b0 + 128cc: 00100e93 li t4,1 + 128d0: 1fd00193 li gp,509 + 128d4: 23df1263 bne t5,t4,12af8 + +000128d8 : + 128d8: 00000213 li tp,0 + 128dc: 00f00093 li ra,15 + 128e0: 00d00113 li sp,13 + 128e4: 00000013 nop + 128e8: 00000013 nop + 128ec: 0020af33 slt t5,ra,sp + 128f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 128f4: 00200293 li t0,2 + 128f8: fe5212e3 bne tp,t0,128dc + 128fc: 00000e93 li t4,0 + 12900: 1fe00193 li gp,510 + 12904: 1fdf1a63 bne t5,t4,12af8 + +00012908 : + 12908: 00000213 li tp,0 + 1290c: 00a00093 li ra,10 + 12910: 00000013 nop + 12914: 00d00113 li sp,13 + 12918: 0020af33 slt t5,ra,sp + 1291c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12920: 00200293 li t0,2 + 12924: fe5214e3 bne tp,t0,1290c + 12928: 00100e93 li t4,1 + 1292c: 1ff00193 li gp,511 + 12930: 1ddf1463 bne t5,t4,12af8 + +00012934 : + 12934: 00000213 li tp,0 + 12938: 01000093 li ra,16 + 1293c: 00000013 nop + 12940: 00d00113 li sp,13 + 12944: 00000013 nop + 12948: 0020af33 slt t5,ra,sp + 1294c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12950: 00200293 li t0,2 + 12954: fe5212e3 bne tp,t0,12938 + 12958: 00000e93 li t4,0 + 1295c: 20000193 li gp,512 + 12960: 19df1c63 bne t5,t4,12af8 + +00012964 : + 12964: 00000213 li tp,0 + 12968: 00900093 li ra,9 + 1296c: 00000013 nop + 12970: 00000013 nop + 12974: 00d00113 li sp,13 + 12978: 0020af33 slt t5,ra,sp + 1297c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12980: 00200293 li t0,2 + 12984: fe5212e3 bne tp,t0,12968 + 12988: 00100e93 li t4,1 + 1298c: 20100193 li gp,513 + 12990: 17df1463 bne t5,t4,12af8 + +00012994 : + 12994: 00000213 li tp,0 + 12998: 00d00113 li sp,13 + 1299c: 01100093 li ra,17 + 129a0: 0020af33 slt t5,ra,sp + 129a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129a8: 00200293 li t0,2 + 129ac: fe5216e3 bne tp,t0,12998 + 129b0: 00000e93 li t4,0 + 129b4: 20200193 li gp,514 + 129b8: 15df1063 bne t5,t4,12af8 + +000129bc : + 129bc: 00000213 li tp,0 + 129c0: 00d00113 li sp,13 + 129c4: 00800093 li ra,8 + 129c8: 00000013 nop + 129cc: 0020af33 slt t5,ra,sp + 129d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129d4: 00200293 li t0,2 + 129d8: fe5214e3 bne tp,t0,129c0 + 129dc: 00100e93 li t4,1 + 129e0: 20300193 li gp,515 + 129e4: 11df1a63 bne t5,t4,12af8 + +000129e8 : + 129e8: 00000213 li tp,0 + 129ec: 00d00113 li sp,13 + 129f0: 01200093 li ra,18 + 129f4: 00000013 nop + 129f8: 00000013 nop + 129fc: 0020af33 slt t5,ra,sp + 12a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a04: 00200293 li t0,2 + 12a08: fe5212e3 bne tp,t0,129ec + 12a0c: 00000e93 li t4,0 + 12a10: 20400193 li gp,516 + 12a14: 0fdf1263 bne t5,t4,12af8 + +00012a18 : + 12a18: 00000213 li tp,0 + 12a1c: 00d00113 li sp,13 + 12a20: 00000013 nop + 12a24: 00700093 li ra,7 + 12a28: 0020af33 slt t5,ra,sp + 12a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a30: 00200293 li t0,2 + 12a34: fe5214e3 bne tp,t0,12a1c + 12a38: 00100e93 li t4,1 + 12a3c: 20500193 li gp,517 + 12a40: 0bdf1c63 bne t5,t4,12af8 + +00012a44 : + 12a44: 00000213 li tp,0 + 12a48: 00d00113 li sp,13 + 12a4c: 00000013 nop + 12a50: 01300093 li ra,19 + 12a54: 00000013 nop + 12a58: 0020af33 slt t5,ra,sp + 12a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a60: 00200293 li t0,2 + 12a64: fe5212e3 bne tp,t0,12a48 + 12a68: 00000e93 li t4,0 + 12a6c: 20600193 li gp,518 + 12a70: 09df1463 bne t5,t4,12af8 + +00012a74 : + 12a74: 00000213 li tp,0 + 12a78: 00d00113 li sp,13 + 12a7c: 00000013 nop + 12a80: 00000013 nop + 12a84: 00600093 li ra,6 + 12a88: 0020af33 slt t5,ra,sp + 12a8c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a90: 00200293 li t0,2 + 12a94: fe5212e3 bne tp,t0,12a78 + 12a98: 00100e93 li t4,1 + 12a9c: 20700193 li gp,519 + 12aa0: 05df1c63 bne t5,t4,12af8 + +00012aa4 : + 12aa4: fff00093 li ra,-1 + 12aa8: 00102133 sgtz sp,ra + 12aac: 00000e93 li t4,0 + 12ab0: 20800193 li gp,520 + 12ab4: 05d11263 bne sp,t4,12af8 + +00012ab8 : + 12ab8: fff00093 li ra,-1 + 12abc: 0000a133 sltz sp,ra + 12ac0: 00100e93 li t4,1 + 12ac4: 20900193 li gp,521 + 12ac8: 03d11863 bne sp,t4,12af8 + +00012acc : + 12acc: 000020b3 sltz ra,zero + 12ad0: 00000e93 li t4,0 + 12ad4: 20a00193 li gp,522 + 12ad8: 03d09063 bne ra,t4,12af8 + +00012adc : + 12adc: 01000093 li ra,16 + 12ae0: 01e00113 li sp,30 + 12ae4: 0020a033 slt zero,ra,sp + 12ae8: 00000e93 li t4,0 + 12aec: 20b00193 li gp,523 + 12af0: 01d01463 bne zero,t4,12af8 + 12af4: 00301463 bne zero,gp,12afc + +00012af8 : + 12af8: 00000a6f jal s4,12af8 + +00012afc : + 12afc: 00100193 li gp,1 + +00012b00 : + 12b00: 00000a6f jal s4,12b00 diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt b/SIM-CPU/rv32i_test/b_instr_stream.txt similarity index 89% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt rename to SIM-CPU/rv32i_test/b_instr_stream.txt index a4b8a07..5377bd9 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt +++ b/SIM-CPU/rv32i_test/b_instr_stream.txt @@ -1,3826 +1,3825 @@ -00000013 -00004097 -acc08093 -00008f03 -fff00e93 -0ed00193 -01df0463 -25d0206f -00004097 -ab008093 -00108f03 -00000e93 -0ee00193 -01df0463 -2410206f -00004097 -a9408093 -00208f03 -ff000e93 -0ef00193 -01df0463 -2250206f -00004097 -a7808093 -00308f03 -00f00e93 -0f000193 -01df0463 -2090206f -00004097 -a5f08093 -ffd08f03 -fff00e93 -0f100193 -01df0463 -1ed0206f -00004097 -a4308093 -ffe08f03 -00000e93 -0f200193 -01df0463 -1d10206f -00004097 -a2708093 -fff08f03 -ff000e93 -0f300193 -01df0463 -1b50206f -00004097 -a0b08093 -00008f03 -00f00e93 -0f400193 -01df0463 -1990206f -00004097 -9ec08093 -fe008093 -02008283 -fff00e93 -0f500193 -01d28463 -1790206f -00004097 -9cc08093 -ffa08093 -00708283 -00000e93 -0f600193 -01d28463 -1590206f -0f700193 -00000213 -00004097 -9a508093 -00108f03 -000f0313 -ff000e93 -01d30463 -1350206f -00120213 -00200293 -fc521ee3 -0f800193 -00000213 -00004097 -97608093 -00108f03 -00000013 -000f0313 -00f00e93 -01d30463 -1010206f -00120213 -00200293 -fc521ce3 -0f900193 -00000213 -00004097 -94008093 -00108f03 -00000013 -00000013 -000f0313 -00000e93 -01d30463 -0c90206f -00120213 -00200293 -fc521ae3 -0fa00193 -00000213 -00004097 -90908093 -00108f03 -ff000e93 -01df0463 -09d0206f -00120213 -00200293 -fe5210e3 -0fb00193 -00000213 -00004097 -8de08093 -00000013 -00108f03 -00f00e93 -01df0463 -06d0206f -00120213 -00200293 -fc521ee3 -0fc00193 -00000213 -00004097 -8ac08093 -00000013 -00000013 -00108f03 -00000e93 -01df0463 -0390206f -00120213 -00200293 -fc521ce3 -00004297 -88028293 -00028103 -00200113 -00200e93 -0fd00193 -01d10463 -00d0206f -00004297 -86028293 -00028103 -00000013 -00200113 -00200e93 -0fe00193 -01d10463 -7e80206f -00004097 -83c08093 -0000cf03 -0ff00e93 -0ff00193 -01df0463 -7cc0206f -00004097 -82008093 -0010cf03 -00000e93 -10000193 -01df0463 -7b00206f -00004097 -80408093 -0020cf03 -0f000e93 -10100193 -01df0463 -7940206f -00003097 -7e808093 -0030cf03 -00f00e93 -10200193 -01df0463 -7780206f -00003097 -7cf08093 -ffd0cf03 -0ff00e93 -10300193 -01df0463 -75c0206f -00003097 -7b308093 -ffe0cf03 -00000e93 -10400193 -01df0463 -7400206f -00003097 -79708093 -fff0cf03 -0f000e93 -10500193 -01df0463 -7240206f -00003097 -77b08093 -0000cf03 -00f00e93 -10600193 -01df0463 -7080206f -00003097 -75c08093 -fe008093 -0200c283 -0ff00e93 -10700193 -01d28463 -6e80206f -00003097 -73c08093 -ffa08093 -0070c283 -00000e93 -10800193 -01d28463 -6c80206f -10900193 -00000213 -00003097 -71508093 -0010cf03 -000f0313 -0f000e93 -01d30463 -6a40206f -00120213 -00200293 -fc521ee3 -10a00193 -00000213 -00003097 -6e608093 -0010cf03 -00000013 -000f0313 -00f00e93 -01d30463 -6700206f -00120213 -00200293 -fc521ce3 -10b00193 -00000213 -00003097 -6b008093 -0010cf03 -00000013 -00000013 -000f0313 -00000e93 -01d30463 -6380206f -00120213 -00200293 -fc521ae3 -10c00193 -00000213 -00003097 -67908093 -0010cf03 -0f000e93 -01df0463 -60c0206f -00120213 -00200293 -fe5210e3 -10d00193 -00000213 -00003097 -64e08093 -00000013 -0010cf03 -00f00e93 -01df0463 -5dc0206f -00120213 -00200293 -fc521ee3 -10e00193 -00000213 -00003097 -61c08093 -00000013 -00000013 -0010cf03 -00000e93 -01df0463 -5a80206f -00120213 -00200293 -fc521ce3 -00003297 -5f028293 -0002c103 -00200113 -00200e93 -10f00193 -01d10463 -57c0206f -00003297 -5d028293 -0002c103 -00000013 -00200113 -00200e93 -11000193 -01d10463 -5580206f -00003097 -5bc08093 -00009f03 -0ff00e93 -11100193 -01df0463 -53c0206f -00003097 -5a008093 -00209f03 -f0000e93 -11200193 -01df0463 -5200206f -00003097 -58408093 -00409f03 -00001eb7 -ff0e8e93 -11300193 -01df0463 -5000206f -00003097 -56408093 -00609f03 -fffffeb7 -00fe8e93 -11400193 -01df0463 -4e00206f -00003097 -54a08093 -ffa09f03 -0ff00e93 -11500193 -01df0463 -4c40206f -00003097 -52e08093 -ffc09f03 -f0000e93 -11600193 -01df0463 -4a80206f -00003097 -51208093 -ffe09f03 -00001eb7 -ff0e8e93 -11700193 -01df0463 -4880206f -00003097 -4f208093 -00009f03 -fffffeb7 -00fe8e93 -11800193 -01df0463 -4680206f -00003097 -4cc08093 -fe008093 -02009283 -0ff00e93 -11900193 -01d28463 -4480206f -00003097 -4ac08093 -ffb08093 -00709283 -f0000e93 -11a00193 -01d28463 -4280206f -11b00193 -00000213 -00003097 -48608093 -00209f03 -000f0313 -00001eb7 -ff0e8e93 -01d30463 -4000206f -00120213 -00200293 -fc521ce3 -11c00193 -00000213 -00003097 -45408093 -00209f03 -00000013 -000f0313 -fffffeb7 -00fe8e93 -01d30463 -3c80206f -00120213 -00200293 -fc521ae3 -11d00193 -00000213 -00003097 -41808093 -00209f03 -00000013 -00000013 -000f0313 -f0000e93 -01d30463 -3900206f -00120213 -00200293 -fc521ae3 -11e00193 -00000213 -00003097 -3e208093 -00209f03 -00001eb7 -ff0e8e93 -01df0463 -3600206f -00120213 -00200293 -fc521ee3 -11f00193 -00000213 -00003097 -3b408093 -00000013 -00209f03 -fffffeb7 -00fe8e93 -01df0463 -32c0206f -00120213 -00200293 -fc521ce3 -12000193 -00000213 -00003097 -37c08093 -00000013 -00000013 -00209f03 -f0000e93 -01df0463 -2f80206f -00120213 -00200293 -fc521ce3 -00003297 -35028293 -00029103 -00200113 -00200e93 -12100193 -01d10463 -2cc0206f -00003297 -33028293 -00029103 -00000013 -00200113 -00200e93 -12200193 -01d10463 -2a80206f -00003097 -30c08093 -0000df03 -0ff00e93 -12300193 -01df0463 -28c0206f -00003097 -2f008093 -0020df03 -00010eb7 -f00e8e93 -12400193 -01df0463 -26c0206f -00003097 -2d008093 -0040df03 -00001eb7 -ff0e8e93 -12500193 -01df0463 -24c0206f -00003097 -2b008093 -0060df03 -0000feb7 -00fe8e93 -12600193 -01df0463 -22c0206f -00003097 -29608093 -ffa0df03 -0ff00e93 -12700193 -01df0463 -2100206f -00003097 -27a08093 -ffc0df03 -00010eb7 -f00e8e93 -12800193 -01df0463 -1f00206f -00003097 -25a08093 -ffe0df03 -00001eb7 -ff0e8e93 -12900193 -01df0463 -1d00206f -00003097 -23a08093 -0000df03 -0000feb7 -00fe8e93 -12a00193 -01df0463 -1b00206f -00003097 -21408093 -fe008093 -0200d283 -0ff00e93 -12b00193 -01d28463 -1900206f -00003097 -1f408093 -ffb08093 -0070d283 -00010eb7 -f00e8e93 -12c00193 -01d28463 -16c0206f -12d00193 -00000213 -00003097 -1ca08093 -0020df03 -000f0313 -00001eb7 -ff0e8e93 -01d30463 -1440206f -00120213 -00200293 -fc521ce3 -12e00193 -00000213 -00003097 -19808093 -0020df03 -00000013 -000f0313 -0000feb7 -00fe8e93 -01d30463 -10c0206f -00120213 -00200293 -fc521ae3 -12f00193 -00000213 -00003097 -15c08093 -0020df03 -00000013 -00000013 -000f0313 -00010eb7 -f00e8e93 -01d30463 -0d00206f -00120213 -00200293 -fc5218e3 -13000193 -00000213 -00003097 -12208093 -0020df03 -00001eb7 -ff0e8e93 -01df0463 -0a00206f -00120213 -00200293 -fc521ee3 -13100193 -00000213 -00003097 -0f408093 -00000013 -0020df03 -0000feb7 -00fe8e93 -01df0463 -06c0206f -00120213 -00200293 -fc521ce3 -13200193 -00000213 -00003097 -0bc08093 -00000013 -00000013 -0020df03 -00010eb7 -f00e8e93 -01df0463 -0340206f -00120213 -00200293 -fc521ae3 -00003297 -08c28293 -0002d103 -00200113 -00200e93 -13300193 -01d10463 -0080206f -00003297 -06c28293 -0002d103 -00000013 -00200113 -00200e93 -13400193 -01d10463 -7e50106f -000000b7 -00000e93 -13500193 -01d08463 -7d10106f -fffff0b7 -4010d093 -80000e93 -13600193 -01d08463 -7b90106f -7ffff0b7 -4140d093 -7ff00e93 -13700193 -01d08463 -7a10106f -800000b7 -4140d093 -80000e93 -13800193 -01d08463 -7890106f -80000037 -00000e93 -13900193 -01d00463 -7750106f -00003097 -ff808093 -0000af03 -00ff0eb7 -0ffe8e93 -13a00193 -01df0463 -7550106f -00003097 -fd808093 -0040af03 -ff010eb7 -f00e8e93 -13b00193 -01df0463 -7350106f -00003097 -fb808093 -0080af03 -0ff01eb7 -ff0e8e93 -13c00193 -01df0463 -7150106f -00003097 -f9808093 -00c0af03 -f00ffeb7 -00fe8e93 -13d00193 -01df0463 -6f50106f -00003097 -f8408093 -ff40af03 -00ff0eb7 -0ffe8e93 -13e00193 -01df0463 -6d50106f -00003097 -f6408093 -ff80af03 -ff010eb7 -f00e8e93 -13f00193 -01df0463 -6b50106f -00003097 -f4408093 -ffc0af03 -0ff01eb7 -ff0e8e93 -14000193 -01df0463 -6950106f -00003097 -f2408093 -0000af03 -f00ffeb7 -00fe8e93 -14100193 -01df0463 -6750106f -00003097 -ef808093 -fe008093 -0200a283 -00ff0eb7 -0ffe8e93 -14200193 -01d28463 -6510106f -00003097 -ed408093 -ffd08093 -0070a283 -ff010eb7 -f00e8e93 -14300193 -01d28463 -62d0106f -14400193 -00000213 -00003097 -eac08093 -0040af03 -000f0313 -0ff01eb7 -ff0e8e93 -01d30463 -6050106f -00120213 -00200293 -fc521ce3 -14500193 -00000213 -00003097 -e7c08093 -0040af03 -00000013 -000f0313 -f00ffeb7 -00fe8e93 -01d30463 -5cd0106f -00120213 -00200293 -fc521ae3 -14600193 -00000213 -00003097 -e3c08093 -0040af03 -00000013 -00000013 -000f0313 -ff010eb7 -f00e8e93 -01d30463 -5910106f -00120213 -00200293 -fc5218e3 -14700193 -00000213 -00003097 -e0408093 -0040af03 -0ff01eb7 -ff0e8e93 -01df0463 -5610106f -00120213 -00200293 -fc521ee3 -14800193 -00000213 -00003097 -dd808093 -00000013 -0040af03 -f00ffeb7 -00fe8e93 -01df0463 -52d0106f -00120213 -00200293 -fc521ce3 -14900193 -00000213 -00003097 -d9c08093 -00000013 -00000013 -0040af03 -ff010eb7 -f00e8e93 -01df0463 -4f50106f -00120213 -00200293 -fc521ae3 -00003297 -d6c28293 -0002a103 -00200113 -00200e93 -14a00193 -01d10463 -4c90106f -00003297 -d4c28293 -0002a103 -00000013 -00200113 -00200e93 -14b00193 -01d10463 -4a50106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ef33 -ff100eb7 -f0fe8e93 -14c00193 -01df0463 -47d0106f -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ef33 -fff10eb7 -ff0e8e93 -14d00193 -01df0463 -4550106f -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ef33 -0fff1eb7 -fffe8e93 -14e00193 -01df0463 -42d0106f -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020ef33 -f0fffeb7 -0ffe8e93 -14f00193 -01df0463 -4050106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e0b3 -ff100eb7 -f0fe8e93 -15000193 -01d08463 -3dd0106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e133 -ff100eb7 -f0fe8e93 -15100193 -01d10463 -3b50106f -ff0100b7 -f0008093 -0010e0b3 -ff010eb7 -f00e8e93 -15200193 -01d08463 -3950106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ef33 -000f0313 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -15300193 -01d30463 -3590106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ef33 -00000013 -000f0313 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -15400193 -01d30463 -3190106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ef33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fc521ce3 -0fff1eb7 -fffe8e93 -15500193 -01d30463 -2d50106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ef33 -00120213 -00200293 -fe5212e3 -ff100eb7 -f0fe8e93 -15600193 -01df0463 -29d0106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020ef33 -00120213 -00200293 -fe5210e3 -fff10eb7 -ff0e8e93 -15700193 -01df0463 -2610106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -15800193 -01df0463 -2210106f -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020ef33 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -15900193 -01df0463 -1e50106f -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -15a00193 -01df0463 -1a50106f -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -15b00193 -01df0463 -1650106f -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020ef33 -00120213 -00200293 -fe5212e3 -ff100eb7 -f0fe8e93 -15c00193 -01df0463 -12d0106f -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020ef33 -00120213 -00200293 -fe5210e3 -fff10eb7 -ff0e8e93 -15d00193 -01df0463 -0f10106f -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -15e00193 -01df0463 -0b10106f -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020ef33 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -15f00193 -01df0463 -0750106f -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -16000193 -01df0463 -0350106f -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -16100193 -01df0463 -7f40106f -ff0100b7 -f0008093 -00106133 -ff010eb7 -f00e8e93 -16200193 -01d10463 -7d40106f -00ff00b7 -0ff08093 -0000e133 -00ff0eb7 -0ffe8e93 -16300193 -01d10463 -7b40106f -000060b3 -00000e93 -16400193 -01d08463 -7a00106f -111110b7 -11108093 -22222137 -22210113 -0020e033 -00000e93 -16500193 -01d00463 -77c0106f -ff0100b7 -f0008093 -f0f0ef13 -f0f00e93 -16600193 -01df0463 -7600106f -0ff010b7 -ff008093 -0f00ef13 -0ff01eb7 -ff0e8e93 -16700193 -01df0463 -7400106f -00ff00b7 -0ff08093 -70f0ef13 -00ff0eb7 -7ffe8e93 -16800193 -01df0463 -7200106f -f00ff0b7 -00f08093 -0f00ef13 -f00ffeb7 -0ffe8e93 -16900193 -01df0463 -7000106f -ff0100b7 -f0008093 -0f00e093 -ff010eb7 -ff0e8e93 -16a00193 -01d08463 -6e00106f -00000213 -0ff010b7 -ff008093 -0f00ef13 -000f0313 -00120213 -00200293 -fe5214e3 -0ff01eb7 -ff0e8e93 -16b00193 -01d30463 -6ac0106f -00000213 -00ff00b7 -0ff08093 -70f0ef13 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00ff0eb7 -7ffe8e93 -16c00193 -01d30463 -6740106f -00000213 -f00ff0b7 -00f08093 -0f00ef13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -0ffe8e93 -16d00193 -01d30463 -6380106f -00000213 -0ff010b7 -ff008093 -0f00ef13 -00120213 -00200293 -fe5216e3 -0ff01eb7 -ff0e8e93 -16e00193 -01df0463 -6080106f -00000213 -00ff00b7 -0ff08093 -00000013 -f0f0ef13 -00120213 -00200293 -fe5214e3 -fff00e93 -16f00193 -01df0463 -5d80106f -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -0f00ef13 -00120213 -00200293 -fe5212e3 -f00ffeb7 -0ffe8e93 -17000193 -01df0463 -5a00106f -0f006093 -0f000e93 -17100193 -01d08463 -58c0106f -00ff00b7 -0ff08093 -70f0e013 -00000e93 -17200193 -01d00463 -5700106f -00002097 -5c808093 -faa00113 -00208023 -00008f03 -faa00e93 -17300193 -01df0463 -54c0106f -00002097 -5a408093 -00000113 -002080a3 -00108f03 -00000e93 -17400193 -01df0463 -5280106f -00002097 -58008093 -fffff137 -fa010113 -00208123 -00209f03 -fffffeb7 -fa0e8e93 -17500193 -01df0463 -4fc0106f -00002097 -55408093 -00a00113 -002081a3 -00308f03 -00a00e93 -17600193 -01df0463 -4d80106f -00002097 -53708093 -faa00113 -fe208ea3 -ffd08f03 -faa00e93 -17700193 -01df0463 -4b40106f -00002097 -51308093 -00000113 -fe208f23 -ffe08f03 -00000e93 -17800193 -01df0463 -4900106f -00002097 -4ef08093 -fa000113 -fe208fa3 -fff08f03 -fa000e93 -17900193 -01df0463 -46c0106f -00002097 -4cb08093 -00a00113 -00208023 -00008f03 -00a00e93 -17a00193 -01df0463 -4480106f -00002097 -4a808093 -12345137 -67810113 -fe008213 -02220023 -00008283 -07800e93 -17b00193 -01d28463 -41c0106f -00002097 -47c08093 -00003137 -09810113 -ffa08093 -002083a3 -00002217 -46520213 -00020283 -f9800e93 -17c00193 -01d28463 -3e80106f -17d00193 -00000213 -fdd00093 -00002117 -43410113 -00110023 -00010f03 -fdd00e93 -01df0463 -3c00106f -00120213 -00200293 -fc521ce3 -17e00193 -00000213 -fcd00093 -00002117 -40010113 -00000013 -001100a3 -00110f03 -fcd00e93 -01df0463 -3880106f -00120213 -00200293 -fc521ae3 -17f00193 -00000213 -fcc00093 -00002117 -3c810113 -00000013 -00000013 -00110123 -00210f03 -fcc00e93 -01df0463 -34c0106f -00120213 -00200293 -fc5218e3 -18000193 -00000213 -fbc00093 -00000013 -00002117 -38810113 -001101a3 -00310f03 -fbc00e93 -01df0463 -3140106f -00120213 -00200293 -fc521ae3 -18100193 -00000213 -fbb00093 -00000013 -00002117 -35010113 -00000013 -00110223 -00410f03 -fbb00e93 -01df0463 -2d80106f -00120213 -00200293 -fc5218e3 -18200193 -00000213 -fab00093 -00000013 -00000013 -00002117 -31010113 -001102a3 -00510f03 -fab00e93 -01df0463 -29c0106f -00120213 -00200293 -fc5218e3 -18300193 -00000213 -00002117 -2e010113 -03300093 -00110023 -00010f03 -03300e93 -01df0463 -2680106f -00120213 -00200293 -fc521ce3 -18400193 -00000213 -00002117 -2ac10113 -02300093 -00000013 -001100a3 -00110f03 -02300e93 -01df0463 -2300106f -00120213 -00200293 -fc521ae3 -18500193 -00000213 -00002117 -27410113 -02200093 -00000013 -00000013 -00110123 -00210f03 -02200e93 -01df0463 -1f40106f -00120213 -00200293 -fc5218e3 -18600193 -00000213 -00002117 -23810113 -00000013 -01200093 -001101a3 -00310f03 -01200e93 -01df0463 -1bc0106f -00120213 -00200293 -fc521ae3 -18700193 -00000213 -00002117 -20010113 -00000013 -01100093 -00000013 -00110223 -00410f03 -01100e93 -01df0463 -1800106f -00120213 -00200293 -fc5218e3 -18800193 -00000213 -00002117 -1c410113 -00000013 -00000013 -00100093 -001102a3 -00510f03 -00100e93 -01df0463 -1440106f -00120213 -00200293 -fc5218e3 -0ef00513 -00002597 -18c58593 -00a581a3 -00002097 -19408093 -0aa00113 -00209023 -00009f03 -0aa00e93 -18900193 -01df0463 -1040106f -00002097 -17008093 -ffffb137 -a0010113 -00209123 -00209f03 -ffffbeb7 -a00e8e93 -18a00193 -01df0463 -0d80106f -00002097 -14408093 -beef1137 -aa010113 -00209223 -0040af03 -beef1eb7 -aa0e8e93 -18b00193 -01df0463 -0ac0106f -00002097 -11808093 -ffffa137 -00a10113 -00209323 -00609f03 -ffffaeb7 -00ae8e93 -18c00193 -01df0463 -0800106f -00002097 -0fa08093 -0aa00113 -fe209d23 -ffa09f03 -0aa00e93 -18d00193 -01df0463 -05c0106f -00002097 -0d608093 -ffffb137 -a0010113 -fe209e23 -ffc09f03 -ffffbeb7 -a00e8e93 -18e00193 -01df0463 -0300106f -00002097 -0aa08093 -00001137 -aa010113 -fe209f23 -ffe09f03 -00001eb7 -aa0e8e93 -18f00193 -01df0463 -0040106f -00002097 -07e08093 -ffffa137 -00a10113 -00209023 -00009f03 -ffffaeb7 -00ae8e93 -19000193 -7ddf1ee3 -00002097 -05808093 -12345137 -67810113 -fe008213 -02221023 -00009283 -00005eb7 -678e8e93 -19100193 -7bd298e3 -00002097 -02c08093 -00003137 -09810113 -ffb08093 -002093a3 -00002217 -01620213 -00021283 -00003eb7 -098e8e93 -19200193 -77d29ee3 -19300193 -00000213 -ffffd0b7 -cdd08093 -00002117 -fd810113 -00111023 -00011f03 -ffffdeb7 -cdde8e93 -75df18e3 -00120213 -00200293 -fc521ae3 -19400193 -00000213 -ffffc0b7 -ccd08093 -00002117 -fa010113 -00000013 -00111123 -00211f03 -ffffceb7 -ccde8e93 -71df1ae3 -00120213 -00200293 -fc5218e3 -19500193 -00000213 -ffffc0b7 -bcc08093 -00002117 -f6410113 -00000013 -00000013 -00111223 -00411f03 -ffffceb7 -bcce8e93 -6ddf1ae3 -00120213 -00200293 -fc5216e3 -19600193 -00000213 -ffffb0b7 -bbc08093 -00000013 -00002117 -f2010113 -00111323 -00611f03 -ffffbeb7 -bbce8e93 -69df1ce3 -00120213 -00200293 -fc5218e3 -19700193 -00000213 -ffffb0b7 -abb08093 -00000013 -00002117 -ee410113 -00000013 -00111423 -00811f03 -ffffbeb7 -abbe8e93 -65df1ce3 -00120213 -00200293 -fc5216e3 -19800193 -00000213 -ffffe0b7 -aab08093 -00000013 -00000013 -00002117 -ea010113 -00111523 -00a11f03 -ffffeeb7 -aabe8e93 -61df1ce3 -00120213 -00200293 -fc5216e3 -19900193 -00000213 -00002117 -e7010113 -000020b7 -23308093 -00111023 -00011f03 -00002eb7 -233e8e93 -5fdf10e3 -00120213 -00200293 -fc521ae3 -19a00193 -00000213 -00002117 -e3810113 -000010b7 -22308093 -00000013 -00111123 -00211f03 -00001eb7 -223e8e93 -5bdf12e3 -00120213 -00200293 -fc5218e3 -19b00193 -00000213 -00002117 -dfc10113 -000010b7 -12208093 -00000013 -00000013 -00111223 -00411f03 -00001eb7 -122e8e93 -57df12e3 -00120213 -00200293 -fc5216e3 -19c00193 -00000213 -00002117 -dbc10113 -00000013 -11200093 -00111323 -00611f03 -11200e93 -53df18e3 -00120213 -00200293 -fc521ce3 -19d00193 -00000213 -00002117 -d8810113 -00000013 -01100093 -00000013 -00111423 -00811f03 -01100e93 -4fdf1ce3 -00120213 -00200293 -fc521ae3 -19e00193 -00000213 -00002117 -d5010113 -00000013 -00000013 -000030b7 -00108093 -00111523 -00a11f03 -00003eb7 -001e8e93 -4bdf1ce3 -00120213 -00200293 -fc5216e3 -0000c537 -eef50513 -00002597 -d1058593 -00a59323 -00100093 -00000113 -00209f33 -00100e93 -19f00193 -49df10e3 -00100093 -00100113 -00209f33 -00200e93 -1a000193 -47df14e3 -00100093 -00700113 -00209f33 -08000e93 -1a100193 -45df18e3 -00100093 -00e00113 -00209f33 -00004eb7 -1a200193 -43df1ce3 -00100093 -01f00113 -00209f33 -80000eb7 -1a300193 -43df10e3 -fff00093 -00000113 -00209f33 -fff00e93 -1a400193 -41df14e3 -fff00093 -00100113 -00209f33 -ffe00e93 -1a500193 -3fdf18e3 -fff00093 -00700113 -00209f33 -f8000e93 -1a600193 -3ddf1ce3 -fff00093 -00e00113 -00209f33 -ffffceb7 -1a700193 -3ddf10e3 -fff00093 -01f00113 -00209f33 -80000eb7 -1a800193 -3bdf14e3 -212120b7 -12108093 -00000113 -00209f33 -21212eb7 -121e8e93 -1a900193 -39df14e3 -212120b7 -12108093 -00100113 -00209f33 -42424eb7 -242e8e93 -1aa00193 -37df14e3 -212120b7 -12108093 -00700113 -00209f33 -90909eb7 -080e8e93 -1ab00193 -35df14e3 -212120b7 -12108093 -00e00113 -00209f33 -48484eb7 -1ac00193 -33df16e3 -212120b7 -12108093 -01f00113 -00209f33 -80000eb7 -1ad00193 -31df18e3 -212120b7 -12108093 -fc000113 -00209f33 -21212eb7 -121e8e93 -1ae00193 -2fdf18e3 -212120b7 -12108093 -fc100113 -00209f33 -42424eb7 -242e8e93 -1af00193 -2ddf18e3 -212120b7 -12108093 -fc700113 -00209f33 -90909eb7 -080e8e93 -1b000193 -2bdf18e3 -212120b7 -12108093 -fce00113 -00209f33 -48484eb7 -1b100193 -29df1ae3 -00100093 -00700113 -002090b3 -08000e93 -1b600193 -27d09ee3 -00100093 -00e00113 -00209133 -00004eb7 -1b700193 -27d112e3 -00300093 -001090b3 -01800e93 -1b800193 -25d098e3 -00000213 -00100093 -00700113 -00209f33 -000f0313 -00120213 -00200293 -fe5214e3 -08000e93 -1b900193 -23d312e3 -00000213 -00100093 -00e00113 -00209f33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00004eb7 -1ba00193 -1fd31ae3 -00000213 -00100093 -01f00113 -00209f33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -80000eb7 -1bb00193 -1dd310e3 -00000213 -00100093 -00700113 -00209f33 -00120213 -00200293 -fe5216e3 -08000e93 -1bc00193 -19df1ce3 -00000213 -00100093 -00e00113 -00000013 -00209f33 -00120213 -00200293 -fe5214e3 -00004eb7 -1bd00193 -17df16e3 -00000213 -00100093 -01f00113 -00000013 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1be00193 -13df1ee3 -00000213 -00100093 -00000013 -00700113 -00209f33 -00120213 -00200293 -fe5214e3 -08000e93 -1bf00193 -11df18e3 -00000213 -00100093 -00000013 -00e00113 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -00004eb7 -1c000193 -0fdf10e3 -00000213 -00100093 -00000013 -00000013 -01f00113 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1c100193 -0bdf18e3 -00000213 -00700113 -00100093 -00209f33 -00120213 -00200293 -fe5216e3 -08000e93 -1c200193 -09df14e3 -00000213 -00e00113 -00100093 -00000013 -00209f33 -00120213 -00200293 -fe5214e3 -00004eb7 -1c300193 -05df1ee3 -00000213 -01f00113 -00100093 -00000013 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1c400193 -03df16e3 -00000213 -00700113 -00000013 -00100093 -00209f33 -00120213 -00200293 -fe5214e3 -08000e93 -1c500193 -01df10e3 -00000213 -00e00113 -00000013 -00100093 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -00004eb7 -1c600193 -7ddf1863 -00000213 -01f00113 -00000013 -00000013 -00100093 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1c700193 -7bdf1063 -00f00093 -00101133 -00000e93 -1c800193 -79d11663 -02000093 -00009133 -02000e93 -1c900193 -77d11c63 -000010b3 -00000e93 -1ca00193 -77d09463 -40000093 -00001137 -80010113 -00209033 -00000e93 -1cb00193 -75d01663 -00100093 -00009f13 -00100e93 -1cc00193 -73df1c63 -00100093 -00109f13 -00200e93 -1cd00193 -73df1263 -00100093 -00709f13 -08000e93 -1ce00193 -71df1863 -00100093 -00e09f13 -00004eb7 -1cf00193 -6fdf1e63 -00100093 -01f09f13 -80000eb7 -1d000193 -6fdf1463 -fff00093 -00009f13 -fff00e93 -1d100193 -6ddf1a63 -fff00093 -00109f13 -ffe00e93 -1d200193 -6ddf1063 -fff00093 -00709f13 -f8000e93 -1d300193 -6bdf1663 -fff00093 -00e09f13 -ffffceb7 -1d400193 -69df1c63 -fff00093 -01f09f13 -80000eb7 -1d500193 -69df1263 -212120b7 -12108093 -00009f13 -21212eb7 -121e8e93 -1d600193 -67df1463 -212120b7 -12108093 -00109f13 -42424eb7 -242e8e93 -1d700193 -65df1663 -212120b7 -12108093 -00709f13 -90909eb7 -080e8e93 -1d800193 -63df1863 -212120b7 -12108093 -00e09f13 -48484eb7 -1d900193 -61df1c63 -212120b7 -12108093 -01f09f13 -80000eb7 -1da00193 -61df1063 -00100093 -00709093 -08000e93 -1de00193 -5fd09663 -00000213 -00100093 -00709f13 -000f0313 -00120213 -00200293 -fe5216e3 -08000e93 -1df00193 -5dd31263 -00000213 -00100093 -00e09f13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00004eb7 -1e000193 -59d31c63 -00000213 -00100093 -01f09f13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -80000eb7 -1e100193 -57d31463 -00000213 -00100093 -00709f13 -00120213 -00200293 -fe5218e3 -08000e93 -1e200193 -55df1263 -00000213 -00100093 -00000013 -00e09f13 -00120213 -00200293 -fe5216e3 -00004eb7 -1e300193 -51df1e63 -00000213 -00100093 -00000013 -00000013 -01f09f13 -00120213 -00200293 -fe5214e3 -80000eb7 -1e400193 -4fdf1863 -01f01093 -00000e93 -1e500193 -4fd09063 -02100093 -01409013 -00000e93 -1e600193 -4dd01663 -00000093 -00000113 -0020af33 -00000e93 -1e700193 -4bdf1a63 -00100093 -00100113 -0020af33 -00000e93 -1e800193 -49df1e63 -00300093 -00700113 -0020af33 -00100e93 -1e900193 -49df1263 -00700093 -00300113 -0020af33 -00000e93 -1ea00193 -47df1663 -00000093 -ffff8137 -0020af33 -00000e93 -1eb00193 -45df1a63 -800000b7 -00000113 -0020af33 -00100e93 -1ec00193 -43df1e63 -800000b7 -ffff8137 -0020af33 -00100e93 -1ed00193 -43df1263 -00000093 -00008137 -fff10113 -0020af33 -00100e93 -1ee00193 -41df1463 -800000b7 -fff08093 -00000113 -0020af33 -00000e93 -1ef00193 -3fdf1663 -800000b7 -fff08093 -00008137 -fff10113 -0020af33 -00000e93 -1f000193 -3ddf1663 -800000b7 -00008137 -fff10113 -0020af33 -00100e93 -1f100193 -3bdf1863 -800000b7 -fff08093 -ffff8137 -0020af33 -00000e93 -1f200193 -39df1a63 -00000093 -fff00113 -0020af33 -00000e93 -1f300193 -37df1e63 -fff00093 -00100113 -0020af33 -00100e93 -1f400193 -37df1263 -fff00093 -fff00113 -0020af33 -00000e93 -1f500193 -35df1663 -00e00093 -00d00113 -0020a0b3 -00000e93 -1f600193 -33d09a63 -00b00093 -00d00113 -0020a133 -00100e93 -1f700193 -31d11e63 -00d00093 -0010a0b3 -00000e93 -1f800193 -31d09463 -00000213 -00b00093 -00d00113 -0020af33 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -1f900193 -2dd31e63 -00000213 -00e00093 -00d00113 -0020af33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -1fa00193 -2bd31663 -00000213 -00c00093 -00d00113 -0020af33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -1fb00193 -27d31c63 -00000213 -00e00093 -00d00113 -0020af33 -00120213 -00200293 -fe5216e3 -00000e93 -1fc00193 -25df1863 -00000213 -00b00093 -00d00113 -00000013 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -1fd00193 -23df1263 -00000213 -00f00093 -00d00113 -00000013 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -1fe00193 -1fdf1a63 -00000213 -00a00093 -00000013 -00d00113 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -1ff00193 -1ddf1463 -00000213 -01000093 -00000013 -00d00113 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -20000193 -19df1c63 -00000213 -00900093 -00000013 -00000013 -00d00113 -0020af33 -00120213 -00200293 -fe5212e3 -00100e93 -20100193 -17df1463 -00000213 -00d00113 -01100093 -0020af33 -00120213 -00200293 -fe5216e3 -00000e93 -20200193 -15df1063 -00000213 -00d00113 -00800093 -00000013 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -20300193 -11df1a63 -00000213 -00d00113 -01200093 -00000013 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -20400193 -0fdf1263 -00000213 -00d00113 -00000013 -00700093 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -20500193 -0bdf1c63 -00000213 -00d00113 -00000013 -01300093 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -20600193 -09df1463 -00000213 -00d00113 -00000013 -00000013 -00600093 -0020af33 -00120213 -00200293 -fe5212e3 -00100e93 -20700193 -05df1c63 -fff00093 -00102133 -00000e93 -20800193 -05d11263 -fff00093 -0000a133 -00100e93 -20900193 -03d11863 -000020b3 -00000e93 -20a00193 -03d09063 -01000093 -01e00113 -0020a033 -00000e93 -20b00193 -01d01463 -00301463 -00000a6f -00100193 -00000a6f -c0001073 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0ff000ff -efefefef -efefefef -0000efef -ff0000ff -f00f0ff0 -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -00000000 -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 -14d68693 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 +00004097 +acc08093 +00008f03 +fff00e93 +0ed00193 +01df0463 +25d0206f +00004097 +ab008093 +00108f03 +00000e93 +0ee00193 +01df0463 +2410206f +00004097 +a9408093 +00208f03 +ff000e93 +0ef00193 +01df0463 +2250206f +00004097 +a7808093 +00308f03 +00f00e93 +0f000193 +01df0463 +2090206f +00004097 +a5f08093 +ffd08f03 +fff00e93 +0f100193 +01df0463 +1ed0206f +00004097 +a4308093 +ffe08f03 +00000e93 +0f200193 +01df0463 +1d10206f +00004097 +a2708093 +fff08f03 +ff000e93 +0f300193 +01df0463 +1b50206f +00004097 +a0b08093 +00008f03 +00f00e93 +0f400193 +01df0463 +1990206f +00004097 +9ec08093 +fe008093 +02008283 +fff00e93 +0f500193 +01d28463 +1790206f +00004097 +9cc08093 +ffa08093 +00708283 +00000e93 +0f600193 +01d28463 +1590206f +0f700193 +00000213 +00004097 +9a508093 +00108f03 +000f0313 +ff000e93 +01d30463 +1350206f +00120213 +00200293 +fc521ee3 +0f800193 +00000213 +00004097 +97608093 +00108f03 +00000013 +000f0313 +00f00e93 +01d30463 +1010206f +00120213 +00200293 +fc521ce3 +0f900193 +00000213 +00004097 +94008093 +00108f03 +00000013 +00000013 +000f0313 +00000e93 +01d30463 +0c90206f +00120213 +00200293 +fc521ae3 +0fa00193 +00000213 +00004097 +90908093 +00108f03 +ff000e93 +01df0463 +09d0206f +00120213 +00200293 +fe5210e3 +0fb00193 +00000213 +00004097 +8de08093 +00000013 +00108f03 +00f00e93 +01df0463 +06d0206f +00120213 +00200293 +fc521ee3 +0fc00193 +00000213 +00004097 +8ac08093 +00000013 +00000013 +00108f03 +00000e93 +01df0463 +0390206f +00120213 +00200293 +fc521ce3 +00004297 +88028293 +00028103 +00200113 +00200e93 +0fd00193 +01d10463 +00d0206f +00004297 +86028293 +00028103 +00000013 +00200113 +00200e93 +0fe00193 +01d10463 +7e80206f +00004097 +83c08093 +0000cf03 +0ff00e93 +0ff00193 +01df0463 +7cc0206f +00004097 +82008093 +0010cf03 +00000e93 +10000193 +01df0463 +7b00206f +00004097 +80408093 +0020cf03 +0f000e93 +10100193 +01df0463 +7940206f +00003097 +7e808093 +0030cf03 +00f00e93 +10200193 +01df0463 +7780206f +00003097 +7cf08093 +ffd0cf03 +0ff00e93 +10300193 +01df0463 +75c0206f +00003097 +7b308093 +ffe0cf03 +00000e93 +10400193 +01df0463 +7400206f +00003097 +79708093 +fff0cf03 +0f000e93 +10500193 +01df0463 +7240206f +00003097 +77b08093 +0000cf03 +00f00e93 +10600193 +01df0463 +7080206f +00003097 +75c08093 +fe008093 +0200c283 +0ff00e93 +10700193 +01d28463 +6e80206f +00003097 +73c08093 +ffa08093 +0070c283 +00000e93 +10800193 +01d28463 +6c80206f +10900193 +00000213 +00003097 +71508093 +0010cf03 +000f0313 +0f000e93 +01d30463 +6a40206f +00120213 +00200293 +fc521ee3 +10a00193 +00000213 +00003097 +6e608093 +0010cf03 +00000013 +000f0313 +00f00e93 +01d30463 +6700206f +00120213 +00200293 +fc521ce3 +10b00193 +00000213 +00003097 +6b008093 +0010cf03 +00000013 +00000013 +000f0313 +00000e93 +01d30463 +6380206f +00120213 +00200293 +fc521ae3 +10c00193 +00000213 +00003097 +67908093 +0010cf03 +0f000e93 +01df0463 +60c0206f +00120213 +00200293 +fe5210e3 +10d00193 +00000213 +00003097 +64e08093 +00000013 +0010cf03 +00f00e93 +01df0463 +5dc0206f +00120213 +00200293 +fc521ee3 +10e00193 +00000213 +00003097 +61c08093 +00000013 +00000013 +0010cf03 +00000e93 +01df0463 +5a80206f +00120213 +00200293 +fc521ce3 +00003297 +5f028293 +0002c103 +00200113 +00200e93 +10f00193 +01d10463 +57c0206f +00003297 +5d028293 +0002c103 +00000013 +00200113 +00200e93 +11000193 +01d10463 +5580206f +00003097 +5bc08093 +00009f03 +0ff00e93 +11100193 +01df0463 +53c0206f +00003097 +5a008093 +00209f03 +f0000e93 +11200193 +01df0463 +5200206f +00003097 +58408093 +00409f03 +00001eb7 +ff0e8e93 +11300193 +01df0463 +5000206f +00003097 +56408093 +00609f03 +fffffeb7 +00fe8e93 +11400193 +01df0463 +4e00206f +00003097 +54a08093 +ffa09f03 +0ff00e93 +11500193 +01df0463 +4c40206f +00003097 +52e08093 +ffc09f03 +f0000e93 +11600193 +01df0463 +4a80206f +00003097 +51208093 +ffe09f03 +00001eb7 +ff0e8e93 +11700193 +01df0463 +4880206f +00003097 +4f208093 +00009f03 +fffffeb7 +00fe8e93 +11800193 +01df0463 +4680206f +00003097 +4cc08093 +fe008093 +02009283 +0ff00e93 +11900193 +01d28463 +4480206f +00003097 +4ac08093 +ffb08093 +00709283 +f0000e93 +11a00193 +01d28463 +4280206f +11b00193 +00000213 +00003097 +48608093 +00209f03 +000f0313 +00001eb7 +ff0e8e93 +01d30463 +4000206f +00120213 +00200293 +fc521ce3 +11c00193 +00000213 +00003097 +45408093 +00209f03 +00000013 +000f0313 +fffffeb7 +00fe8e93 +01d30463 +3c80206f +00120213 +00200293 +fc521ae3 +11d00193 +00000213 +00003097 +41808093 +00209f03 +00000013 +00000013 +000f0313 +f0000e93 +01d30463 +3900206f +00120213 +00200293 +fc521ae3 +11e00193 +00000213 +00003097 +3e208093 +00209f03 +00001eb7 +ff0e8e93 +01df0463 +3600206f +00120213 +00200293 +fc521ee3 +11f00193 +00000213 +00003097 +3b408093 +00000013 +00209f03 +fffffeb7 +00fe8e93 +01df0463 +32c0206f +00120213 +00200293 +fc521ce3 +12000193 +00000213 +00003097 +37c08093 +00000013 +00000013 +00209f03 +f0000e93 +01df0463 +2f80206f +00120213 +00200293 +fc521ce3 +00003297 +35028293 +00029103 +00200113 +00200e93 +12100193 +01d10463 +2cc0206f +00003297 +33028293 +00029103 +00000013 +00200113 +00200e93 +12200193 +01d10463 +2a80206f +00003097 +30c08093 +0000df03 +0ff00e93 +12300193 +01df0463 +28c0206f +00003097 +2f008093 +0020df03 +00010eb7 +f00e8e93 +12400193 +01df0463 +26c0206f +00003097 +2d008093 +0040df03 +00001eb7 +ff0e8e93 +12500193 +01df0463 +24c0206f +00003097 +2b008093 +0060df03 +0000feb7 +00fe8e93 +12600193 +01df0463 +22c0206f +00003097 +29608093 +ffa0df03 +0ff00e93 +12700193 +01df0463 +2100206f +00003097 +27a08093 +ffc0df03 +00010eb7 +f00e8e93 +12800193 +01df0463 +1f00206f +00003097 +25a08093 +ffe0df03 +00001eb7 +ff0e8e93 +12900193 +01df0463 +1d00206f +00003097 +23a08093 +0000df03 +0000feb7 +00fe8e93 +12a00193 +01df0463 +1b00206f +00003097 +21408093 +fe008093 +0200d283 +0ff00e93 +12b00193 +01d28463 +1900206f +00003097 +1f408093 +ffb08093 +0070d283 +00010eb7 +f00e8e93 +12c00193 +01d28463 +16c0206f +12d00193 +00000213 +00003097 +1ca08093 +0020df03 +000f0313 +00001eb7 +ff0e8e93 +01d30463 +1440206f +00120213 +00200293 +fc521ce3 +12e00193 +00000213 +00003097 +19808093 +0020df03 +00000013 +000f0313 +0000feb7 +00fe8e93 +01d30463 +10c0206f +00120213 +00200293 +fc521ae3 +12f00193 +00000213 +00003097 +15c08093 +0020df03 +00000013 +00000013 +000f0313 +00010eb7 +f00e8e93 +01d30463 +0d00206f +00120213 +00200293 +fc5218e3 +13000193 +00000213 +00003097 +12208093 +0020df03 +00001eb7 +ff0e8e93 +01df0463 +0a00206f +00120213 +00200293 +fc521ee3 +13100193 +00000213 +00003097 +0f408093 +00000013 +0020df03 +0000feb7 +00fe8e93 +01df0463 +06c0206f +00120213 +00200293 +fc521ce3 +13200193 +00000213 +00003097 +0bc08093 +00000013 +00000013 +0020df03 +00010eb7 +f00e8e93 +01df0463 +0340206f +00120213 +00200293 +fc521ae3 +00003297 +08c28293 +0002d103 +00200113 +00200e93 +13300193 +01d10463 +0080206f +00003297 +06c28293 +0002d103 +00000013 +00200113 +00200e93 +13400193 +01d10463 +7e50106f +000000b7 +00000e93 +13500193 +01d08463 +7d10106f +fffff0b7 +4010d093 +80000e93 +13600193 +01d08463 +7b90106f +7ffff0b7 +4140d093 +7ff00e93 +13700193 +01d08463 +7a10106f +800000b7 +4140d093 +80000e93 +13800193 +01d08463 +7890106f +80000037 +00000e93 +13900193 +01d00463 +7750106f +00003097 +ff808093 +0000af03 +00ff0eb7 +0ffe8e93 +13a00193 +01df0463 +7550106f +00003097 +fd808093 +0040af03 +ff010eb7 +f00e8e93 +13b00193 +01df0463 +7350106f +00003097 +fb808093 +0080af03 +0ff01eb7 +ff0e8e93 +13c00193 +01df0463 +7150106f +00003097 +f9808093 +00c0af03 +f00ffeb7 +00fe8e93 +13d00193 +01df0463 +6f50106f +00003097 +f8408093 +ff40af03 +00ff0eb7 +0ffe8e93 +13e00193 +01df0463 +6d50106f +00003097 +f6408093 +ff80af03 +ff010eb7 +f00e8e93 +13f00193 +01df0463 +6b50106f +00003097 +f4408093 +ffc0af03 +0ff01eb7 +ff0e8e93 +14000193 +01df0463 +6950106f +00003097 +f2408093 +0000af03 +f00ffeb7 +00fe8e93 +14100193 +01df0463 +6750106f +00003097 +ef808093 +fe008093 +0200a283 +00ff0eb7 +0ffe8e93 +14200193 +01d28463 +6510106f +00003097 +ed408093 +ffd08093 +0070a283 +ff010eb7 +f00e8e93 +14300193 +01d28463 +62d0106f +14400193 +00000213 +00003097 +eac08093 +0040af03 +000f0313 +0ff01eb7 +ff0e8e93 +01d30463 +6050106f +00120213 +00200293 +fc521ce3 +14500193 +00000213 +00003097 +e7c08093 +0040af03 +00000013 +000f0313 +f00ffeb7 +00fe8e93 +01d30463 +5cd0106f +00120213 +00200293 +fc521ae3 +14600193 +00000213 +00003097 +e3c08093 +0040af03 +00000013 +00000013 +000f0313 +ff010eb7 +f00e8e93 +01d30463 +5910106f +00120213 +00200293 +fc5218e3 +14700193 +00000213 +00003097 +e0408093 +0040af03 +0ff01eb7 +ff0e8e93 +01df0463 +5610106f +00120213 +00200293 +fc521ee3 +14800193 +00000213 +00003097 +dd808093 +00000013 +0040af03 +f00ffeb7 +00fe8e93 +01df0463 +52d0106f +00120213 +00200293 +fc521ce3 +14900193 +00000213 +00003097 +d9c08093 +00000013 +00000013 +0040af03 +ff010eb7 +f00e8e93 +01df0463 +4f50106f +00120213 +00200293 +fc521ae3 +00003297 +d6c28293 +0002a103 +00200113 +00200e93 +14a00193 +01d10463 +4c90106f +00003297 +d4c28293 +0002a103 +00000013 +00200113 +00200e93 +14b00193 +01d10463 +4a50106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +ff100eb7 +f0fe8e93 +14c00193 +01df0463 +47d0106f +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +fff10eb7 +ff0e8e93 +14d00193 +01df0463 +4550106f +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +0fff1eb7 +fffe8e93 +14e00193 +01df0463 +42d0106f +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ef33 +f0fffeb7 +0ffe8e93 +14f00193 +01df0463 +4050106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e0b3 +ff100eb7 +f0fe8e93 +15000193 +01d08463 +3dd0106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e133 +ff100eb7 +f0fe8e93 +15100193 +01d10463 +3b50106f +ff0100b7 +f0008093 +0010e0b3 +ff010eb7 +f00e8e93 +15200193 +01d08463 +3950106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +000f0313 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +15300193 +01d30463 +3590106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +15400193 +01d30463 +3190106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0fff1eb7 +fffe8e93 +15500193 +01d30463 +2d50106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +15600193 +01df0463 +29d0106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +15700193 +01df0463 +2610106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +15800193 +01df0463 +2210106f +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +15900193 +01df0463 +1e50106f +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +15a00193 +01df0463 +1a50106f +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +15b00193 +01df0463 +1650106f +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +15c00193 +01df0463 +12d0106f +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +15d00193 +01df0463 +0f10106f +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +15e00193 +01df0463 +0b10106f +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +15f00193 +01df0463 +0750106f +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +16000193 +01df0463 +0350106f +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +16100193 +01df0463 +7f40106f +ff0100b7 +f0008093 +00106133 +ff010eb7 +f00e8e93 +16200193 +01d10463 +7d40106f +00ff00b7 +0ff08093 +0000e133 +00ff0eb7 +0ffe8e93 +16300193 +01d10463 +7b40106f +000060b3 +00000e93 +16400193 +01d08463 +7a00106f +111110b7 +11108093 +22222137 +22210113 +0020e033 +00000e93 +16500193 +01d00463 +77c0106f +ff0100b7 +f0008093 +f0f0ef13 +f0f00e93 +16600193 +01df0463 +7600106f +0ff010b7 +ff008093 +0f00ef13 +0ff01eb7 +ff0e8e93 +16700193 +01df0463 +7400106f +00ff00b7 +0ff08093 +70f0ef13 +00ff0eb7 +7ffe8e93 +16800193 +01df0463 +7200106f +f00ff0b7 +00f08093 +0f00ef13 +f00ffeb7 +0ffe8e93 +16900193 +01df0463 +7000106f +ff0100b7 +f0008093 +0f00e093 +ff010eb7 +ff0e8e93 +16a00193 +01d08463 +6e00106f +00000213 +0ff010b7 +ff008093 +0f00ef13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +ff0e8e93 +16b00193 +01d30463 +6ac0106f +00000213 +00ff00b7 +0ff08093 +70f0ef13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff0eb7 +7ffe8e93 +16c00193 +01d30463 +6740106f +00000213 +f00ff0b7 +00f08093 +0f00ef13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +16d00193 +01d30463 +6380106f +00000213 +0ff010b7 +ff008093 +0f00ef13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +ff0e8e93 +16e00193 +01df0463 +6080106f +00000213 +00ff00b7 +0ff08093 +00000013 +f0f0ef13 +00120213 +00200293 +fe5214e3 +fff00e93 +16f00193 +01df0463 +5d80106f +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00ef13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +17000193 +01df0463 +5a00106f +0f006093 +0f000e93 +17100193 +01d08463 +58c0106f +00ff00b7 +0ff08093 +70f0e013 +00000e93 +17200193 +01d00463 +5700106f +00002097 +5c808093 +faa00113 +00208023 +00008f03 +faa00e93 +17300193 +01df0463 +54c0106f +00002097 +5a408093 +00000113 +002080a3 +00108f03 +00000e93 +17400193 +01df0463 +5280106f +00002097 +58008093 +fffff137 +fa010113 +00208123 +00209f03 +fffffeb7 +fa0e8e93 +17500193 +01df0463 +4fc0106f +00002097 +55408093 +00a00113 +002081a3 +00308f03 +00a00e93 +17600193 +01df0463 +4d80106f +00002097 +53708093 +faa00113 +fe208ea3 +ffd08f03 +faa00e93 +17700193 +01df0463 +4b40106f +00002097 +51308093 +00000113 +fe208f23 +ffe08f03 +00000e93 +17800193 +01df0463 +4900106f +00002097 +4ef08093 +fa000113 +fe208fa3 +fff08f03 +fa000e93 +17900193 +01df0463 +46c0106f +00002097 +4cb08093 +00a00113 +00208023 +00008f03 +00a00e93 +17a00193 +01df0463 +4480106f +00002097 +4a808093 +12345137 +67810113 +fe008213 +02220023 +00008283 +07800e93 +17b00193 +01d28463 +41c0106f +00002097 +47c08093 +00003137 +09810113 +ffa08093 +002083a3 +00002217 +46520213 +00020283 +f9800e93 +17c00193 +01d28463 +3e80106f +17d00193 +00000213 +fdd00093 +00002117 +43410113 +00110023 +00010f03 +fdd00e93 +01df0463 +3c00106f +00120213 +00200293 +fc521ce3 +17e00193 +00000213 +fcd00093 +00002117 +40010113 +00000013 +001100a3 +00110f03 +fcd00e93 +01df0463 +3880106f +00120213 +00200293 +fc521ae3 +17f00193 +00000213 +fcc00093 +00002117 +3c810113 +00000013 +00000013 +00110123 +00210f03 +fcc00e93 +01df0463 +34c0106f +00120213 +00200293 +fc5218e3 +18000193 +00000213 +fbc00093 +00000013 +00002117 +38810113 +001101a3 +00310f03 +fbc00e93 +01df0463 +3140106f +00120213 +00200293 +fc521ae3 +18100193 +00000213 +fbb00093 +00000013 +00002117 +35010113 +00000013 +00110223 +00410f03 +fbb00e93 +01df0463 +2d80106f +00120213 +00200293 +fc5218e3 +18200193 +00000213 +fab00093 +00000013 +00000013 +00002117 +31010113 +001102a3 +00510f03 +fab00e93 +01df0463 +29c0106f +00120213 +00200293 +fc5218e3 +18300193 +00000213 +00002117 +2e010113 +03300093 +00110023 +00010f03 +03300e93 +01df0463 +2680106f +00120213 +00200293 +fc521ce3 +18400193 +00000213 +00002117 +2ac10113 +02300093 +00000013 +001100a3 +00110f03 +02300e93 +01df0463 +2300106f +00120213 +00200293 +fc521ae3 +18500193 +00000213 +00002117 +27410113 +02200093 +00000013 +00000013 +00110123 +00210f03 +02200e93 +01df0463 +1f40106f +00120213 +00200293 +fc5218e3 +18600193 +00000213 +00002117 +23810113 +00000013 +01200093 +001101a3 +00310f03 +01200e93 +01df0463 +1bc0106f +00120213 +00200293 +fc521ae3 +18700193 +00000213 +00002117 +20010113 +00000013 +01100093 +00000013 +00110223 +00410f03 +01100e93 +01df0463 +1800106f +00120213 +00200293 +fc5218e3 +18800193 +00000213 +00002117 +1c410113 +00000013 +00000013 +00100093 +001102a3 +00510f03 +00100e93 +01df0463 +1440106f +00120213 +00200293 +fc5218e3 +0ef00513 +00002597 +18c58593 +00a581a3 +00002097 +19408093 +0aa00113 +00209023 +00009f03 +0aa00e93 +18900193 +01df0463 +1040106f +00002097 +17008093 +ffffb137 +a0010113 +00209123 +00209f03 +ffffbeb7 +a00e8e93 +18a00193 +01df0463 +0d80106f +00002097 +14408093 +beef1137 +aa010113 +00209223 +0040af03 +beef1eb7 +aa0e8e93 +18b00193 +01df0463 +0ac0106f +00002097 +11808093 +ffffa137 +00a10113 +00209323 +00609f03 +ffffaeb7 +00ae8e93 +18c00193 +01df0463 +0800106f +00002097 +0fa08093 +0aa00113 +fe209d23 +ffa09f03 +0aa00e93 +18d00193 +01df0463 +05c0106f +00002097 +0d608093 +ffffb137 +a0010113 +fe209e23 +ffc09f03 +ffffbeb7 +a00e8e93 +18e00193 +01df0463 +0300106f +00002097 +0aa08093 +00001137 +aa010113 +fe209f23 +ffe09f03 +00001eb7 +aa0e8e93 +18f00193 +01df0463 +0040106f +00002097 +07e08093 +ffffa137 +00a10113 +00209023 +00009f03 +ffffaeb7 +00ae8e93 +19000193 +7ddf1ee3 +00002097 +05808093 +12345137 +67810113 +fe008213 +02221023 +00009283 +00005eb7 +678e8e93 +19100193 +7bd298e3 +00002097 +02c08093 +00003137 +09810113 +ffb08093 +002093a3 +00002217 +01620213 +00021283 +00003eb7 +098e8e93 +19200193 +77d29ee3 +19300193 +00000213 +ffffd0b7 +cdd08093 +00002117 +fd810113 +00111023 +00011f03 +ffffdeb7 +cdde8e93 +75df18e3 +00120213 +00200293 +fc521ae3 +19400193 +00000213 +ffffc0b7 +ccd08093 +00002117 +fa010113 +00000013 +00111123 +00211f03 +ffffceb7 +ccde8e93 +71df1ae3 +00120213 +00200293 +fc5218e3 +19500193 +00000213 +ffffc0b7 +bcc08093 +00002117 +f6410113 +00000013 +00000013 +00111223 +00411f03 +ffffceb7 +bcce8e93 +6ddf1ae3 +00120213 +00200293 +fc5216e3 +19600193 +00000213 +ffffb0b7 +bbc08093 +00000013 +00002117 +f2010113 +00111323 +00611f03 +ffffbeb7 +bbce8e93 +69df1ce3 +00120213 +00200293 +fc5218e3 +19700193 +00000213 +ffffb0b7 +abb08093 +00000013 +00002117 +ee410113 +00000013 +00111423 +00811f03 +ffffbeb7 +abbe8e93 +65df1ce3 +00120213 +00200293 +fc5216e3 +19800193 +00000213 +ffffe0b7 +aab08093 +00000013 +00000013 +00002117 +ea010113 +00111523 +00a11f03 +ffffeeb7 +aabe8e93 +61df1ce3 +00120213 +00200293 +fc5216e3 +19900193 +00000213 +00002117 +e7010113 +000020b7 +23308093 +00111023 +00011f03 +00002eb7 +233e8e93 +5fdf10e3 +00120213 +00200293 +fc521ae3 +19a00193 +00000213 +00002117 +e3810113 +000010b7 +22308093 +00000013 +00111123 +00211f03 +00001eb7 +223e8e93 +5bdf12e3 +00120213 +00200293 +fc5218e3 +19b00193 +00000213 +00002117 +dfc10113 +000010b7 +12208093 +00000013 +00000013 +00111223 +00411f03 +00001eb7 +122e8e93 +57df12e3 +00120213 +00200293 +fc5216e3 +19c00193 +00000213 +00002117 +dbc10113 +00000013 +11200093 +00111323 +00611f03 +11200e93 +53df18e3 +00120213 +00200293 +fc521ce3 +19d00193 +00000213 +00002117 +d8810113 +00000013 +01100093 +00000013 +00111423 +00811f03 +01100e93 +4fdf1ce3 +00120213 +00200293 +fc521ae3 +19e00193 +00000213 +00002117 +d5010113 +00000013 +00000013 +000030b7 +00108093 +00111523 +00a11f03 +00003eb7 +001e8e93 +4bdf1ce3 +00120213 +00200293 +fc5216e3 +0000c537 +eef50513 +00002597 +d1058593 +00a59323 +00100093 +00000113 +00209f33 +00100e93 +19f00193 +49df10e3 +00100093 +00100113 +00209f33 +00200e93 +1a000193 +47df14e3 +00100093 +00700113 +00209f33 +08000e93 +1a100193 +45df18e3 +00100093 +00e00113 +00209f33 +00004eb7 +1a200193 +43df1ce3 +00100093 +01f00113 +00209f33 +80000eb7 +1a300193 +43df10e3 +fff00093 +00000113 +00209f33 +fff00e93 +1a400193 +41df14e3 +fff00093 +00100113 +00209f33 +ffe00e93 +1a500193 +3fdf18e3 +fff00093 +00700113 +00209f33 +f8000e93 +1a600193 +3ddf1ce3 +fff00093 +00e00113 +00209f33 +ffffceb7 +1a700193 +3ddf10e3 +fff00093 +01f00113 +00209f33 +80000eb7 +1a800193 +3bdf14e3 +212120b7 +12108093 +00000113 +00209f33 +21212eb7 +121e8e93 +1a900193 +39df14e3 +212120b7 +12108093 +00100113 +00209f33 +42424eb7 +242e8e93 +1aa00193 +37df14e3 +212120b7 +12108093 +00700113 +00209f33 +90909eb7 +080e8e93 +1ab00193 +35df14e3 +212120b7 +12108093 +00e00113 +00209f33 +48484eb7 +1ac00193 +33df16e3 +212120b7 +12108093 +01f00113 +00209f33 +80000eb7 +1ad00193 +31df18e3 +212120b7 +12108093 +fc000113 +00209f33 +21212eb7 +121e8e93 +1ae00193 +2fdf18e3 +212120b7 +12108093 +fc100113 +00209f33 +42424eb7 +242e8e93 +1af00193 +2ddf18e3 +212120b7 +12108093 +fc700113 +00209f33 +90909eb7 +080e8e93 +1b000193 +2bdf18e3 +212120b7 +12108093 +fce00113 +00209f33 +48484eb7 +1b100193 +29df1ae3 +00100093 +00700113 +002090b3 +08000e93 +1b600193 +27d09ee3 +00100093 +00e00113 +00209133 +00004eb7 +1b700193 +27d112e3 +00300093 +001090b3 +01800e93 +1b800193 +25d098e3 +00000213 +00100093 +00700113 +00209f33 +000f0313 +00120213 +00200293 +fe5214e3 +08000e93 +1b900193 +23d312e3 +00000213 +00100093 +00e00113 +00209f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00004eb7 +1ba00193 +1fd31ae3 +00000213 +00100093 +01f00113 +00209f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +80000eb7 +1bb00193 +1dd310e3 +00000213 +00100093 +00700113 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +1bc00193 +19df1ce3 +00000213 +00100093 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +1bd00193 +17df16e3 +00000213 +00100093 +01f00113 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1be00193 +13df1ee3 +00000213 +00100093 +00000013 +00700113 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +1bf00193 +11df18e3 +00000213 +00100093 +00000013 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +1c000193 +0fdf10e3 +00000213 +00100093 +00000013 +00000013 +01f00113 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1c100193 +0bdf18e3 +00000213 +00700113 +00100093 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +1c200193 +09df14e3 +00000213 +00e00113 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +1c300193 +05df1ee3 +00000213 +01f00113 +00100093 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1c400193 +03df16e3 +00000213 +00700113 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +1c500193 +01df10e3 +00000213 +00e00113 +00000013 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +1c600193 +7ddf1863 +00000213 +01f00113 +00000013 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1c700193 +7bdf1063 +00f00093 +00101133 +00000e93 +1c800193 +79d11663 +02000093 +00009133 +02000e93 +1c900193 +77d11c63 +000010b3 +00000e93 +1ca00193 +77d09463 +40000093 +00001137 +80010113 +00209033 +00000e93 +1cb00193 +75d01663 +00100093 +00009f13 +00100e93 +1cc00193 +73df1c63 +00100093 +00109f13 +00200e93 +1cd00193 +73df1263 +00100093 +00709f13 +08000e93 +1ce00193 +71df1863 +00100093 +00e09f13 +00004eb7 +1cf00193 +6fdf1e63 +00100093 +01f09f13 +80000eb7 +1d000193 +6fdf1463 +fff00093 +00009f13 +fff00e93 +1d100193 +6ddf1a63 +fff00093 +00109f13 +ffe00e93 +1d200193 +6ddf1063 +fff00093 +00709f13 +f8000e93 +1d300193 +6bdf1663 +fff00093 +00e09f13 +ffffceb7 +1d400193 +69df1c63 +fff00093 +01f09f13 +80000eb7 +1d500193 +69df1263 +212120b7 +12108093 +00009f13 +21212eb7 +121e8e93 +1d600193 +67df1463 +212120b7 +12108093 +00109f13 +42424eb7 +242e8e93 +1d700193 +65df1663 +212120b7 +12108093 +00709f13 +90909eb7 +080e8e93 +1d800193 +63df1863 +212120b7 +12108093 +00e09f13 +48484eb7 +1d900193 +61df1c63 +212120b7 +12108093 +01f09f13 +80000eb7 +1da00193 +61df1063 +00100093 +00709093 +08000e93 +1de00193 +5fd09663 +00000213 +00100093 +00709f13 +000f0313 +00120213 +00200293 +fe5216e3 +08000e93 +1df00193 +5dd31263 +00000213 +00100093 +00e09f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00004eb7 +1e000193 +59d31c63 +00000213 +00100093 +01f09f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +80000eb7 +1e100193 +57d31463 +00000213 +00100093 +00709f13 +00120213 +00200293 +fe5218e3 +08000e93 +1e200193 +55df1263 +00000213 +00100093 +00000013 +00e09f13 +00120213 +00200293 +fe5216e3 +00004eb7 +1e300193 +51df1e63 +00000213 +00100093 +00000013 +00000013 +01f09f13 +00120213 +00200293 +fe5214e3 +80000eb7 +1e400193 +4fdf1863 +01f01093 +00000e93 +1e500193 +4fd09063 +02100093 +01409013 +00000e93 +1e600193 +4dd01663 +00000093 +00000113 +0020af33 +00000e93 +1e700193 +4bdf1a63 +00100093 +00100113 +0020af33 +00000e93 +1e800193 +49df1e63 +00300093 +00700113 +0020af33 +00100e93 +1e900193 +49df1263 +00700093 +00300113 +0020af33 +00000e93 +1ea00193 +47df1663 +00000093 +ffff8137 +0020af33 +00000e93 +1eb00193 +45df1a63 +800000b7 +00000113 +0020af33 +00100e93 +1ec00193 +43df1e63 +800000b7 +ffff8137 +0020af33 +00100e93 +1ed00193 +43df1263 +00000093 +00008137 +fff10113 +0020af33 +00100e93 +1ee00193 +41df1463 +800000b7 +fff08093 +00000113 +0020af33 +00000e93 +1ef00193 +3fdf1663 +800000b7 +fff08093 +00008137 +fff10113 +0020af33 +00000e93 +1f000193 +3ddf1663 +800000b7 +00008137 +fff10113 +0020af33 +00100e93 +1f100193 +3bdf1863 +800000b7 +fff08093 +ffff8137 +0020af33 +00000e93 +1f200193 +39df1a63 +00000093 +fff00113 +0020af33 +00000e93 +1f300193 +37df1e63 +fff00093 +00100113 +0020af33 +00100e93 +1f400193 +37df1263 +fff00093 +fff00113 +0020af33 +00000e93 +1f500193 +35df1663 +00e00093 +00d00113 +0020a0b3 +00000e93 +1f600193 +33d09a63 +00b00093 +00d00113 +0020a133 +00100e93 +1f700193 +31d11e63 +00d00093 +0010a0b3 +00000e93 +1f800193 +31d09463 +00000213 +00b00093 +00d00113 +0020af33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +1f900193 +2dd31e63 +00000213 +00e00093 +00d00113 +0020af33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +1fa00193 +2bd31663 +00000213 +00c00093 +00d00113 +0020af33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +1fb00193 +27d31c63 +00000213 +00e00093 +00d00113 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +1fc00193 +25df1863 +00000213 +00b00093 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +1fd00193 +23df1263 +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +1fe00193 +1fdf1a63 +00000213 +00a00093 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +1ff00193 +1ddf1463 +00000213 +01000093 +00000013 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +20000193 +19df1c63 +00000213 +00900093 +00000013 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +20100193 +17df1463 +00000213 +00d00113 +01100093 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +20200193 +15df1063 +00000213 +00d00113 +00800093 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +20300193 +11df1a63 +00000213 +00d00113 +01200093 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +20400193 +0fdf1263 +00000213 +00d00113 +00000013 +00700093 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +20500193 +0bdf1c63 +00000213 +00d00113 +00000013 +01300093 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +20600193 +09df1463 +00000213 +00d00113 +00000013 +00000013 +00600093 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +20700193 +05df1c63 +fff00093 +00102133 +00000e93 +20800193 +05d11263 +fff00093 +0000a133 +00100e93 +20900193 +03d11863 +000020b3 +00000e93 +20a00193 +03d09063 +01000093 +01e00113 +0020a033 +00000e93 +20b00193 +01d01463 +00301463 +00000a6f +00100193 +00000a6f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0ff000ff +efefefef +efefefef +0000efef +ff0000ff +f00f0ff0 +beefbeef +beefbeef +beefbeef +beefbeef +beefbeef +00000000 +00ff00ff +ff00ff00 +0ff00ff0 +f00ff00f +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +00000000 +00000000 +14d68693 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt b/SIM-CPU/rv32i_test/c_asm.txt similarity index 97% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt rename to SIM-CPU/rv32i_test/c_asm.txt index 372f0a1..71f7567 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt +++ b/SIM-CPU/rv32i_test/c_asm.txt @@ -1,3549 +1,3538 @@ - -3testAll.om: file format elf32-littleriscv - - -Disassembly of section .text: - -00010080 <_start>: - 10080: 00000013 nop - -00010084 : - 10084: 00000093 li ra,0 - 10088: 0000af13 slti t5,ra,0 - 1008c: 00000e93 li t4,0 - 10090: 20c00193 li gp,524 - 10094: 01df0463 beq t5,t4,1009c - 10098: 54d0206f j 12de4 - -0001009c : - 1009c: 00100093 li ra,1 - 100a0: 0010af13 slti t5,ra,1 - 100a4: 00000e93 li t4,0 - 100a8: 20d00193 li gp,525 - 100ac: 01df0463 beq t5,t4,100b4 - 100b0: 5350206f j 12de4 - -000100b4 : - 100b4: 00300093 li ra,3 - 100b8: 0070af13 slti t5,ra,7 - 100bc: 00100e93 li t4,1 - 100c0: 20e00193 li gp,526 - 100c4: 01df0463 beq t5,t4,100cc - 100c8: 51d0206f j 12de4 - -000100cc : - 100cc: 00700093 li ra,7 - 100d0: 0030af13 slti t5,ra,3 - 100d4: 00000e93 li t4,0 - 100d8: 20f00193 li gp,527 - 100dc: 01df0463 beq t5,t4,100e4 - 100e0: 5050206f j 12de4 - -000100e4 : - 100e4: 00000093 li ra,0 - 100e8: 8000af13 slti t5,ra,-2048 - 100ec: 00000e93 li t4,0 - 100f0: 21000193 li gp,528 - 100f4: 01df0463 beq t5,t4,100fc - 100f8: 4ed0206f j 12de4 - -000100fc : - 100fc: 800000b7 lui ra,0x80000 - 10100: 0000af13 slti t5,ra,0 - 10104: 00100e93 li t4,1 - 10108: 21100193 li gp,529 - 1010c: 01df0463 beq t5,t4,10114 - 10110: 4d50206f j 12de4 - -00010114 : - 10114: 800000b7 lui ra,0x80000 - 10118: 8000af13 slti t5,ra,-2048 - 1011c: 00100e93 li t4,1 - 10120: 21200193 li gp,530 - 10124: 01df0463 beq t5,t4,1012c - 10128: 4bd0206f j 12de4 - -0001012c : - 1012c: 00000093 li ra,0 - 10130: 7ff0af13 slti t5,ra,2047 - 10134: 00100e93 li t4,1 - 10138: 21300193 li gp,531 - 1013c: 01df0463 beq t5,t4,10144 - 10140: 4a50206f j 12de4 - -00010144 : - 10144: 800000b7 lui ra,0x80000 - 10148: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1014c: 0000af13 slti t5,ra,0 - 10150: 00000e93 li t4,0 - 10154: 21400193 li gp,532 - 10158: 01df0463 beq t5,t4,10160 - 1015c: 4890206f j 12de4 - -00010160 : - 10160: 800000b7 lui ra,0x80000 - 10164: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10168: 7ff0af13 slti t5,ra,2047 - 1016c: 00000e93 li t4,0 - 10170: 21500193 li gp,533 - 10174: 01df0463 beq t5,t4,1017c - 10178: 46d0206f j 12de4 - -0001017c : - 1017c: 800000b7 lui ra,0x80000 - 10180: 7ff0af13 slti t5,ra,2047 - 10184: 00100e93 li t4,1 - 10188: 21600193 li gp,534 - 1018c: 01df0463 beq t5,t4,10194 - 10190: 4550206f j 12de4 - -00010194 : - 10194: 800000b7 lui ra,0x80000 - 10198: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1019c: 8000af13 slti t5,ra,-2048 - 101a0: 00000e93 li t4,0 - 101a4: 21700193 li gp,535 - 101a8: 01df0463 beq t5,t4,101b0 - 101ac: 4390206f j 12de4 - -000101b0 : - 101b0: 00000093 li ra,0 - 101b4: fff0af13 slti t5,ra,-1 - 101b8: 00000e93 li t4,0 - 101bc: 21800193 li gp,536 - 101c0: 01df0463 beq t5,t4,101c8 - 101c4: 4210206f j 12de4 - -000101c8 : - 101c8: fff00093 li ra,-1 - 101cc: 0010af13 slti t5,ra,1 - 101d0: 00100e93 li t4,1 - 101d4: 21900193 li gp,537 - 101d8: 01df0463 beq t5,t4,101e0 - 101dc: 4090206f j 12de4 - -000101e0 : - 101e0: fff00093 li ra,-1 - 101e4: fff0af13 slti t5,ra,-1 - 101e8: 00000e93 li t4,0 - 101ec: 21a00193 li gp,538 - 101f0: 01df0463 beq t5,t4,101f8 - 101f4: 3f10206f j 12de4 - -000101f8 : - 101f8: 00b00093 li ra,11 - 101fc: 00d0a093 slti ra,ra,13 - 10200: 00100e93 li t4,1 - 10204: 21b00193 li gp,539 - 10208: 01d08463 beq ra,t4,10210 - 1020c: 3d90206f j 12de4 - -00010210 : - 10210: 00000213 li tp,0 - 10214: 00f00093 li ra,15 - 10218: 00a0af13 slti t5,ra,10 - 1021c: 000f0313 mv t1,t5 - 10220: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10224: 00200293 li t0,2 - 10228: fe5216e3 bne tp,t0,10214 - 1022c: 00000e93 li t4,0 - 10230: 21c00193 li gp,540 - 10234: 01d30463 beq t1,t4,1023c - 10238: 3ad0206f j 12de4 - -0001023c : - 1023c: 00000213 li tp,0 - 10240: 00a00093 li ra,10 - 10244: 0100af13 slti t5,ra,16 - 10248: 00000013 nop - 1024c: 000f0313 mv t1,t5 - 10250: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10254: 00200293 li t0,2 - 10258: fe5214e3 bne tp,t0,10240 - 1025c: 00100e93 li t4,1 - 10260: 21d00193 li gp,541 - 10264: 01d30463 beq t1,t4,1026c - 10268: 37d0206f j 12de4 - -0001026c : - 1026c: 00000213 li tp,0 - 10270: 01000093 li ra,16 - 10274: 0090af13 slti t5,ra,9 - 10278: 00000013 nop - 1027c: 00000013 nop - 10280: 000f0313 mv t1,t5 - 10284: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10288: 00200293 li t0,2 - 1028c: fe5212e3 bne tp,t0,10270 - 10290: 00000e93 li t4,0 - 10294: 21e00193 li gp,542 - 10298: 01d30463 beq t1,t4,102a0 - 1029c: 3490206f j 12de4 - -000102a0 : - 102a0: 00000213 li tp,0 - 102a4: 00b00093 li ra,11 - 102a8: 00f0af13 slti t5,ra,15 - 102ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102b0: 00200293 li t0,2 - 102b4: fe5218e3 bne tp,t0,102a4 - 102b8: 00100e93 li t4,1 - 102bc: 21f00193 li gp,543 - 102c0: 01df0463 beq t5,t4,102c8 - 102c4: 3210206f j 12de4 - -000102c8 : - 102c8: 00000213 li tp,0 - 102cc: 01100093 li ra,17 - 102d0: 00000013 nop - 102d4: 0080af13 slti t5,ra,8 - 102d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102dc: 00200293 li t0,2 - 102e0: fe5216e3 bne tp,t0,102cc - 102e4: 00000e93 li t4,0 - 102e8: 22000193 li gp,544 - 102ec: 01df0463 beq t5,t4,102f4 - 102f0: 2f50206f j 12de4 - -000102f4 : - 102f4: 00000213 li tp,0 - 102f8: 00c00093 li ra,12 - 102fc: 00000013 nop - 10300: 00000013 nop - 10304: 00e0af13 slti t5,ra,14 - 10308: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1030c: 00200293 li t0,2 - 10310: fe5214e3 bne tp,t0,102f8 - 10314: 00100e93 li t4,1 - 10318: 22100193 li gp,545 - 1031c: 01df0463 beq t5,t4,10324 - 10320: 2c50206f j 12de4 - -00010324 : - 10324: fff02093 slti ra,zero,-1 - 10328: 00000e93 li t4,0 - 1032c: 22200193 li gp,546 - 10330: 01d08463 beq ra,t4,10338 - 10334: 2b10206f j 12de4 - -00010338 : - 10338: 00ff00b7 lui ra,0xff0 - 1033c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 10340: fff0a013 slti zero,ra,-1 - 10344: 00000e93 li t4,0 - 10348: 22300193 li gp,547 - 1034c: 01d00463 beq zero,t4,10354 - 10350: 2950206f j 12de4 - -00010354 : - 10354: 00000093 li ra,0 - 10358: 0000bf13 sltiu t5,ra,0 - 1035c: 00000e93 li t4,0 - 10360: 22400193 li gp,548 - 10364: 01df0463 beq t5,t4,1036c - 10368: 27d0206f j 12de4 - -0001036c : - 1036c: 00100093 li ra,1 - 10370: 0010bf13 seqz t5,ra - 10374: 00000e93 li t4,0 - 10378: 22500193 li gp,549 - 1037c: 01df0463 beq t5,t4,10384 - 10380: 2650206f j 12de4 - -00010384 : - 10384: 00300093 li ra,3 - 10388: 0070bf13 sltiu t5,ra,7 - 1038c: 00100e93 li t4,1 - 10390: 22600193 li gp,550 - 10394: 01df0463 beq t5,t4,1039c - 10398: 24d0206f j 12de4 - -0001039c : - 1039c: 00700093 li ra,7 - 103a0: 0030bf13 sltiu t5,ra,3 - 103a4: 00000e93 li t4,0 - 103a8: 22700193 li gp,551 - 103ac: 01df0463 beq t5,t4,103b4 - 103b0: 2350206f j 12de4 - -000103b4 : - 103b4: 00000093 li ra,0 - 103b8: 8000bf13 sltiu t5,ra,-2048 - 103bc: 00100e93 li t4,1 - 103c0: 22800193 li gp,552 - 103c4: 01df0463 beq t5,t4,103cc - 103c8: 21d0206f j 12de4 - -000103cc : - 103cc: 800000b7 lui ra,0x80000 - 103d0: 0000bf13 sltiu t5,ra,0 - 103d4: 00000e93 li t4,0 - 103d8: 22900193 li gp,553 - 103dc: 01df0463 beq t5,t4,103e4 - 103e0: 2050206f j 12de4 - -000103e4 : - 103e4: 800000b7 lui ra,0x80000 - 103e8: 8000bf13 sltiu t5,ra,-2048 - 103ec: 00100e93 li t4,1 - 103f0: 22a00193 li gp,554 - 103f4: 01df0463 beq t5,t4,103fc - 103f8: 1ed0206f j 12de4 - -000103fc : - 103fc: 00000093 li ra,0 - 10400: 7ff0bf13 sltiu t5,ra,2047 - 10404: 00100e93 li t4,1 - 10408: 22b00193 li gp,555 - 1040c: 01df0463 beq t5,t4,10414 - 10410: 1d50206f j 12de4 - -00010414 : - 10414: 800000b7 lui ra,0x80000 - 10418: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1041c: 0000bf13 sltiu t5,ra,0 - 10420: 00000e93 li t4,0 - 10424: 22c00193 li gp,556 - 10428: 01df0463 beq t5,t4,10430 - 1042c: 1b90206f j 12de4 - -00010430 : - 10430: 800000b7 lui ra,0x80000 - 10434: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10438: 7ff0bf13 sltiu t5,ra,2047 - 1043c: 00000e93 li t4,0 - 10440: 22d00193 li gp,557 - 10444: 01df0463 beq t5,t4,1044c - 10448: 19d0206f j 12de4 - -0001044c : - 1044c: 800000b7 lui ra,0x80000 - 10450: 7ff0bf13 sltiu t5,ra,2047 - 10454: 00000e93 li t4,0 - 10458: 22e00193 li gp,558 - 1045c: 01df0463 beq t5,t4,10464 - 10460: 1850206f j 12de4 - -00010464 : - 10464: 800000b7 lui ra,0x80000 - 10468: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1046c: 8000bf13 sltiu t5,ra,-2048 - 10470: 00100e93 li t4,1 - 10474: 22f00193 li gp,559 - 10478: 01df0463 beq t5,t4,10480 - 1047c: 1690206f j 12de4 - -00010480 : - 10480: 00000093 li ra,0 - 10484: fff0bf13 sltiu t5,ra,-1 - 10488: 00100e93 li t4,1 - 1048c: 23000193 li gp,560 - 10490: 01df0463 beq t5,t4,10498 - 10494: 1510206f j 12de4 - -00010498 : - 10498: fff00093 li ra,-1 - 1049c: 0010bf13 seqz t5,ra - 104a0: 00000e93 li t4,0 - 104a4: 23100193 li gp,561 - 104a8: 01df0463 beq t5,t4,104b0 - 104ac: 1390206f j 12de4 - -000104b0 : - 104b0: fff00093 li ra,-1 - 104b4: fff0bf13 sltiu t5,ra,-1 - 104b8: 00000e93 li t4,0 - 104bc: 23200193 li gp,562 - 104c0: 01df0463 beq t5,t4,104c8 - 104c4: 1210206f j 12de4 - -000104c8 : - 104c8: 00b00093 li ra,11 - 104cc: 00d0b093 sltiu ra,ra,13 - 104d0: 00100e93 li t4,1 - 104d4: 23300193 li gp,563 - 104d8: 01d08463 beq ra,t4,104e0 - 104dc: 1090206f j 12de4 - -000104e0 : - 104e0: 00000213 li tp,0 - 104e4: 00f00093 li ra,15 - 104e8: 00a0bf13 sltiu t5,ra,10 - 104ec: 000f0313 mv t1,t5 - 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104f4: 00200293 li t0,2 - 104f8: fe5216e3 bne tp,t0,104e4 - 104fc: 00000e93 li t4,0 - 10500: 23400193 li gp,564 - 10504: 01d30463 beq t1,t4,1050c - 10508: 0dd0206f j 12de4 - -0001050c : - 1050c: 00000213 li tp,0 - 10510: 00a00093 li ra,10 - 10514: 0100bf13 sltiu t5,ra,16 - 10518: 00000013 nop - 1051c: 000f0313 mv t1,t5 - 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10524: 00200293 li t0,2 - 10528: fe5214e3 bne tp,t0,10510 - 1052c: 00100e93 li t4,1 - 10530: 23500193 li gp,565 - 10534: 01d30463 beq t1,t4,1053c - 10538: 0ad0206f j 12de4 - -0001053c : - 1053c: 00000213 li tp,0 - 10540: 01000093 li ra,16 - 10544: 0090bf13 sltiu t5,ra,9 - 10548: 00000013 nop - 1054c: 00000013 nop - 10550: 000f0313 mv t1,t5 - 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10558: 00200293 li t0,2 - 1055c: fe5212e3 bne tp,t0,10540 - 10560: 00000e93 li t4,0 - 10564: 23700193 li gp,567 - 10568: 01d30463 beq t1,t4,10570 - 1056c: 0790206f j 12de4 - -00010570 : - 10570: 00000213 li tp,0 - 10574: 00b00093 li ra,11 - 10578: 00f0bf13 sltiu t5,ra,15 - 1057c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10580: 00200293 li t0,2 - 10584: fe5218e3 bne tp,t0,10574 - 10588: 00100e93 li t4,1 - 1058c: 23800193 li gp,568 - 10590: 01df0463 beq t5,t4,10598 - 10594: 0510206f j 12de4 - -00010598 : - 10598: 00000213 li tp,0 - 1059c: 01100093 li ra,17 - 105a0: 00000013 nop - 105a4: 0080bf13 sltiu t5,ra,8 - 105a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 105ac: 00200293 li t0,2 - 105b0: fe5216e3 bne tp,t0,1059c - 105b4: 00000e93 li t4,0 - 105b8: 23900193 li gp,569 - 105bc: 01df0463 beq t5,t4,105c4 - 105c0: 0250206f j 12de4 - -000105c4 : - 105c4: 00000213 li tp,0 - 105c8: 00c00093 li ra,12 - 105cc: 00000013 nop - 105d0: 00000013 nop - 105d4: 00e0bf13 sltiu t5,ra,14 - 105d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 105dc: 00200293 li t0,2 - 105e0: fe5214e3 bne tp,t0,105c8 - 105e4: 00100e93 li t4,1 - 105e8: 23a00193 li gp,570 - 105ec: 01df0463 beq t5,t4,105f4 - 105f0: 7f40206f j 12de4 - -000105f4 : - 105f4: fff03093 sltiu ra,zero,-1 - 105f8: 00100e93 li t4,1 - 105fc: 23b00193 li gp,571 - 10600: 01d08463 beq ra,t4,10608 - 10604: 7e00206f j 12de4 - -00010608 : - 10608: 00ff00b7 lui ra,0xff0 - 1060c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 10610: fff0b013 sltiu zero,ra,-1 - 10614: 00000e93 li t4,0 - 10618: 23c00193 li gp,572 - 1061c: 01d00463 beq zero,t4,10624 - 10620: 7c40206f j 12de4 - -00010624 : - 10624: 00000093 li ra,0 - 10628: 00000113 li sp,0 - 1062c: 0020bf33 sltu t5,ra,sp - 10630: 00000e93 li t4,0 - 10634: 23d00193 li gp,573 - 10638: 01df0463 beq t5,t4,10640 - 1063c: 7a80206f j 12de4 - -00010640 : - 10640: 00100093 li ra,1 - 10644: 00100113 li sp,1 - 10648: 0020bf33 sltu t5,ra,sp - 1064c: 00000e93 li t4,0 - 10650: 23e00193 li gp,574 - 10654: 01df0463 beq t5,t4,1065c - 10658: 78c0206f j 12de4 - -0001065c : - 1065c: 00300093 li ra,3 - 10660: 00700113 li sp,7 - 10664: 0020bf33 sltu t5,ra,sp - 10668: 00100e93 li t4,1 - 1066c: 23f00193 li gp,575 - 10670: 01df0463 beq t5,t4,10678 - 10674: 7700206f j 12de4 - -00010678 : - 10678: 00700093 li ra,7 - 1067c: 00300113 li sp,3 - 10680: 0020bf33 sltu t5,ra,sp - 10684: 00000e93 li t4,0 - 10688: 24000193 li gp,576 - 1068c: 01df0463 beq t5,t4,10694 - 10690: 7540206f j 12de4 - -00010694 : - 10694: 00000093 li ra,0 - 10698: ffff8137 lui sp,0xffff8 - 1069c: 0020bf33 sltu t5,ra,sp - 106a0: 00100e93 li t4,1 - 106a4: 24100193 li gp,577 - 106a8: 01df0463 beq t5,t4,106b0 - 106ac: 7380206f j 12de4 - -000106b0 : - 106b0: 800000b7 lui ra,0x80000 - 106b4: 00000113 li sp,0 - 106b8: 0020bf33 sltu t5,ra,sp - 106bc: 00000e93 li t4,0 - 106c0: 24200193 li gp,578 - 106c4: 01df0463 beq t5,t4,106cc - 106c8: 71c0206f j 12de4 - -000106cc : - 106cc: 800000b7 lui ra,0x80000 - 106d0: ffff8137 lui sp,0xffff8 - 106d4: 0020bf33 sltu t5,ra,sp - 106d8: 00100e93 li t4,1 - 106dc: 24300193 li gp,579 - 106e0: 01df0463 beq t5,t4,106e8 - 106e4: 7000206f j 12de4 - -000106e8 : - 106e8: 00000093 li ra,0 - 106ec: 00008137 lui sp,0x8 - 106f0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 106f4: 0020bf33 sltu t5,ra,sp - 106f8: 00100e93 li t4,1 - 106fc: 24400193 li gp,580 - 10700: 01df0463 beq t5,t4,10708 - 10704: 6e00206f j 12de4 - -00010708 : - 10708: 800000b7 lui ra,0x80000 - 1070c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10710: 00000113 li sp,0 - 10714: 0020bf33 sltu t5,ra,sp - 10718: 00000e93 li t4,0 - 1071c: 24500193 li gp,581 - 10720: 01df0463 beq t5,t4,10728 - 10724: 6c00206f j 12de4 - -00010728 : - 10728: 800000b7 lui ra,0x80000 - 1072c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10730: 00008137 lui sp,0x8 - 10734: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10738: 0020bf33 sltu t5,ra,sp - 1073c: 00000e93 li t4,0 - 10740: 24600193 li gp,582 - 10744: 01df0463 beq t5,t4,1074c - 10748: 69c0206f j 12de4 - -0001074c : - 1074c: 800000b7 lui ra,0x80000 - 10750: 00008137 lui sp,0x8 - 10754: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10758: 0020bf33 sltu t5,ra,sp - 1075c: 00000e93 li t4,0 - 10760: 24700193 li gp,583 - 10764: 01df0463 beq t5,t4,1076c - 10768: 67c0206f j 12de4 - -0001076c : - 1076c: 800000b7 lui ra,0x80000 - 10770: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10774: ffff8137 lui sp,0xffff8 - 10778: 0020bf33 sltu t5,ra,sp - 1077c: 00100e93 li t4,1 - 10780: 24800193 li gp,584 - 10784: 01df0463 beq t5,t4,1078c - 10788: 65c0206f j 12de4 - -0001078c : - 1078c: 00000093 li ra,0 - 10790: fff00113 li sp,-1 - 10794: 0020bf33 sltu t5,ra,sp - 10798: 00100e93 li t4,1 - 1079c: 24900193 li gp,585 - 107a0: 01df0463 beq t5,t4,107a8 - 107a4: 6400206f j 12de4 - -000107a8 : - 107a8: fff00093 li ra,-1 - 107ac: 00100113 li sp,1 - 107b0: 0020bf33 sltu t5,ra,sp - 107b4: 00000e93 li t4,0 - 107b8: 24a00193 li gp,586 - 107bc: 01df0463 beq t5,t4,107c4 - 107c0: 6240206f j 12de4 - -000107c4 : - 107c4: fff00093 li ra,-1 - 107c8: fff00113 li sp,-1 - 107cc: 0020bf33 sltu t5,ra,sp - 107d0: 00000e93 li t4,0 - 107d4: 24b00193 li gp,587 - 107d8: 01df0463 beq t5,t4,107e0 - 107dc: 6080206f j 12de4 - -000107e0 : - 107e0: 00e00093 li ra,14 - 107e4: 00d00113 li sp,13 - 107e8: 0020b0b3 sltu ra,ra,sp - 107ec: 00000e93 li t4,0 - 107f0: 24c00193 li gp,588 - 107f4: 01d08463 beq ra,t4,107fc - 107f8: 5ec0206f j 12de4 - -000107fc : - 107fc: 00b00093 li ra,11 - 10800: 00d00113 li sp,13 - 10804: 0020b133 sltu sp,ra,sp - 10808: 00100e93 li t4,1 - 1080c: 24d00193 li gp,589 - 10810: 01d10463 beq sp,t4,10818 - 10814: 5d00206f j 12de4 - -00010818 : - 10818: 00d00093 li ra,13 - 1081c: 0010b0b3 sltu ra,ra,ra - 10820: 00000e93 li t4,0 - 10824: 24e00193 li gp,590 - 10828: 01d08463 beq ra,t4,10830 - 1082c: 5b80206f j 12de4 - -00010830 : - 10830: 00000213 li tp,0 - 10834: 00b00093 li ra,11 - 10838: 00d00113 li sp,13 - 1083c: 0020bf33 sltu t5,ra,sp - 10840: 000f0313 mv t1,t5 - 10844: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10848: 00200293 li t0,2 - 1084c: fe5214e3 bne tp,t0,10834 - 10850: 00100e93 li t4,1 - 10854: 24f00193 li gp,591 - 10858: 01d30463 beq t1,t4,10860 - 1085c: 5880206f j 12de4 - -00010860 : - 10860: 00000213 li tp,0 - 10864: 00e00093 li ra,14 - 10868: 00d00113 li sp,13 - 1086c: 0020bf33 sltu t5,ra,sp - 10870: 00000013 nop - 10874: 000f0313 mv t1,t5 - 10878: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1087c: 00200293 li t0,2 - 10880: fe5212e3 bne tp,t0,10864 - 10884: 00000e93 li t4,0 - 10888: 25000193 li gp,592 - 1088c: 01d30463 beq t1,t4,10894 - 10890: 5540206f j 12de4 - -00010894 : - 10894: 00000213 li tp,0 - 10898: 00c00093 li ra,12 - 1089c: 00d00113 li sp,13 - 108a0: 0020bf33 sltu t5,ra,sp - 108a4: 00000013 nop - 108a8: 00000013 nop - 108ac: 000f0313 mv t1,t5 - 108b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 108b4: 00200293 li t0,2 - 108b8: fe5210e3 bne tp,t0,10898 - 108bc: 00100e93 li t4,1 - 108c0: 25100193 li gp,593 - 108c4: 01d30463 beq t1,t4,108cc - 108c8: 51c0206f j 12de4 - -000108cc : - 108cc: 00000213 li tp,0 - 108d0: 00e00093 li ra,14 - 108d4: 00d00113 li sp,13 - 108d8: 0020bf33 sltu t5,ra,sp - 108dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 108e0: 00200293 li t0,2 - 108e4: fe5216e3 bne tp,t0,108d0 - 108e8: 00000e93 li t4,0 - 108ec: 25200193 li gp,594 - 108f0: 01df0463 beq t5,t4,108f8 - 108f4: 4f00206f j 12de4 - -000108f8 : - 108f8: 00000213 li tp,0 - 108fc: 00b00093 li ra,11 - 10900: 00d00113 li sp,13 - 10904: 00000013 nop - 10908: 0020bf33 sltu t5,ra,sp - 1090c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10910: 00200293 li t0,2 - 10914: fe5214e3 bne tp,t0,108fc - 10918: 00100e93 li t4,1 - 1091c: 25300193 li gp,595 - 10920: 01df0463 beq t5,t4,10928 - 10924: 4c00206f j 12de4 - -00010928 : - 10928: 00000213 li tp,0 - 1092c: 00f00093 li ra,15 - 10930: 00d00113 li sp,13 - 10934: 00000013 nop - 10938: 00000013 nop - 1093c: 0020bf33 sltu t5,ra,sp - 10940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10944: 00200293 li t0,2 - 10948: fe5212e3 bne tp,t0,1092c - 1094c: 00000e93 li t4,0 - 10950: 25400193 li gp,596 - 10954: 01df0463 beq t5,t4,1095c - 10958: 48c0206f j 12de4 - -0001095c : - 1095c: 00000213 li tp,0 - 10960: 00a00093 li ra,10 - 10964: 00000013 nop - 10968: 00d00113 li sp,13 - 1096c: 0020bf33 sltu t5,ra,sp - 10970: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10974: 00200293 li t0,2 - 10978: fe5214e3 bne tp,t0,10960 - 1097c: 00100e93 li t4,1 - 10980: 25500193 li gp,597 - 10984: 01df0463 beq t5,t4,1098c - 10988: 45c0206f j 12de4 - -0001098c : - 1098c: 00000213 li tp,0 - 10990: 01000093 li ra,16 - 10994: 00000013 nop - 10998: 00d00113 li sp,13 - 1099c: 00000013 nop - 109a0: 0020bf33 sltu t5,ra,sp - 109a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109a8: 00200293 li t0,2 - 109ac: fe5212e3 bne tp,t0,10990 - 109b0: 00000e93 li t4,0 - 109b4: 25600193 li gp,598 - 109b8: 01df0463 beq t5,t4,109c0 - 109bc: 4280206f j 12de4 - -000109c0 : - 109c0: 00000213 li tp,0 - 109c4: 00900093 li ra,9 - 109c8: 00000013 nop - 109cc: 00000013 nop - 109d0: 00d00113 li sp,13 - 109d4: 0020bf33 sltu t5,ra,sp - 109d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109dc: 00200293 li t0,2 - 109e0: fe5212e3 bne tp,t0,109c4 - 109e4: 00100e93 li t4,1 - 109e8: 25700193 li gp,599 - 109ec: 01df0463 beq t5,t4,109f4 - 109f0: 3f40206f j 12de4 - -000109f4 : - 109f4: 00000213 li tp,0 - 109f8: 00d00113 li sp,13 - 109fc: 01100093 li ra,17 - 10a00: 0020bf33 sltu t5,ra,sp - 10a04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a08: 00200293 li t0,2 - 10a0c: fe5216e3 bne tp,t0,109f8 - 10a10: 00000e93 li t4,0 - 10a14: 25900193 li gp,601 - 10a18: 01df0463 beq t5,t4,10a20 - 10a1c: 3c80206f j 12de4 - -00010a20 : - 10a20: 00000213 li tp,0 - 10a24: 00d00113 li sp,13 - 10a28: 00800093 li ra,8 - 10a2c: 00000013 nop - 10a30: 0020bf33 sltu t5,ra,sp - 10a34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a38: 00200293 li t0,2 - 10a3c: fe5214e3 bne tp,t0,10a24 - 10a40: 00100e93 li t4,1 - 10a44: 25a00193 li gp,602 - 10a48: 01df0463 beq t5,t4,10a50 - 10a4c: 3980206f j 12de4 - -00010a50 : - 10a50: 00000213 li tp,0 - 10a54: 00d00113 li sp,13 - 10a58: 01200093 li ra,18 - 10a5c: 00000013 nop - 10a60: 00000013 nop - 10a64: 0020bf33 sltu t5,ra,sp - 10a68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a6c: 00200293 li t0,2 - 10a70: fe5212e3 bne tp,t0,10a54 - 10a74: 00000e93 li t4,0 - 10a78: 25b00193 li gp,603 - 10a7c: 01df0463 beq t5,t4,10a84 - 10a80: 3640206f j 12de4 - -00010a84 : - 10a84: 00000213 li tp,0 - 10a88: 00d00113 li sp,13 - 10a8c: 00000013 nop - 10a90: 00700093 li ra,7 - 10a94: 0020bf33 sltu t5,ra,sp - 10a98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a9c: 00200293 li t0,2 - 10aa0: fe5214e3 bne tp,t0,10a88 - 10aa4: 00100e93 li t4,1 - 10aa8: 25c00193 li gp,604 - 10aac: 01df0463 beq t5,t4,10ab4 - 10ab0: 3340206f j 12de4 - -00010ab4 : - 10ab4: 00000213 li tp,0 - 10ab8: 00d00113 li sp,13 - 10abc: 00000013 nop - 10ac0: 01300093 li ra,19 - 10ac4: 00000013 nop - 10ac8: 0020bf33 sltu t5,ra,sp - 10acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ad0: 00200293 li t0,2 - 10ad4: fe5212e3 bne tp,t0,10ab8 - 10ad8: 00000e93 li t4,0 - 10adc: 25d00193 li gp,605 - 10ae0: 01df0463 beq t5,t4,10ae8 - 10ae4: 3000206f j 12de4 - -00010ae8 : - 10ae8: 00000213 li tp,0 - 10aec: 00d00113 li sp,13 - 10af0: 00000013 nop - 10af4: 00000013 nop - 10af8: 00600093 li ra,6 - 10afc: 0020bf33 sltu t5,ra,sp - 10b00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10b04: 00200293 li t0,2 - 10b08: fe5212e3 bne tp,t0,10aec - 10b0c: 00100e93 li t4,1 - 10b10: 25e00193 li gp,606 - 10b14: 01df0463 beq t5,t4,10b1c - 10b18: 2cc0206f j 12de4 - -00010b1c : - 10b1c: fff00093 li ra,-1 - 10b20: 00103133 snez sp,ra - 10b24: 00100e93 li t4,1 - 10b28: 25f00193 li gp,607 - 10b2c: 01d10463 beq sp,t4,10b34 - 10b30: 2b40206f j 12de4 - -00010b34 : - 10b34: fff00093 li ra,-1 - 10b38: 0000b133 sltu sp,ra,zero - 10b3c: 00000e93 li t4,0 - 10b40: 26000193 li gp,608 - 10b44: 01d10463 beq sp,t4,10b4c - 10b48: 29c0206f j 12de4 - -00010b4c : - 10b4c: 000030b3 snez ra,zero - 10b50: 00000e93 li t4,0 - 10b54: 26100193 li gp,609 - 10b58: 01d08463 beq ra,t4,10b60 - 10b5c: 2880206f j 12de4 - -00010b60 : - 10b60: 01000093 li ra,16 - 10b64: 01e00113 li sp,30 - 10b68: 0020b033 sltu zero,ra,sp - 10b6c: 00000e93 li t4,0 - 10b70: 26200193 li gp,610 - 10b74: 01d00463 beq zero,t4,10b7c - 10b78: 26c0206f j 12de4 - -00010b7c : - 10b7c: 800000b7 lui ra,0x80000 - 10b80: 00000113 li sp,0 - 10b84: 4020df33 sra t5,ra,sp - 10b88: 80000eb7 lui t4,0x80000 - 10b8c: 26300193 li gp,611 - 10b90: 01df0463 beq t5,t4,10b98 - 10b94: 2500206f j 12de4 - -00010b98 : - 10b98: 800000b7 lui ra,0x80000 - 10b9c: 00100113 li sp,1 - 10ba0: 4020df33 sra t5,ra,sp - 10ba4: c0000eb7 lui t4,0xc0000 - 10ba8: 26400193 li gp,612 - 10bac: 01df0463 beq t5,t4,10bb4 - 10bb0: 2340206f j 12de4 - -00010bb4 : - 10bb4: 800000b7 lui ra,0x80000 - 10bb8: 00700113 li sp,7 - 10bbc: 4020df33 sra t5,ra,sp - 10bc0: ff000eb7 lui t4,0xff000 - 10bc4: 26500193 li gp,613 - 10bc8: 01df0463 beq t5,t4,10bd0 - 10bcc: 2180206f j 12de4 - -00010bd0 : - 10bd0: 800000b7 lui ra,0x80000 - 10bd4: 00e00113 li sp,14 - 10bd8: 4020df33 sra t5,ra,sp - 10bdc: fffe0eb7 lui t4,0xfffe0 - 10be0: 26600193 li gp,614 - 10be4: 01df0463 beq t5,t4,10bec - 10be8: 1fc0206f j 12de4 - -00010bec : - 10bec: 800000b7 lui ra,0x80000 - 10bf0: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 10bf4: 01f00113 li sp,31 - 10bf8: 4020df33 sra t5,ra,sp - 10bfc: fff00e93 li t4,-1 - 10c00: 26700193 li gp,615 - 10c04: 01df0463 beq t5,t4,10c0c - 10c08: 1dc0206f j 12de4 - -00010c0c : - 10c0c: 800000b7 lui ra,0x80000 - 10c10: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c14: 00000113 li sp,0 - 10c18: 4020df33 sra t5,ra,sp - 10c1c: 80000eb7 lui t4,0x80000 - 10c20: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c24: 26800193 li gp,616 - 10c28: 01df0463 beq t5,t4,10c30 - 10c2c: 1b80206f j 12de4 - -00010c30 : - 10c30: 800000b7 lui ra,0x80000 - 10c34: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c38: 00100113 li sp,1 - 10c3c: 4020df33 sra t5,ra,sp - 10c40: 40000eb7 lui t4,0x40000 - 10c44: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> - 10c48: 26900193 li gp,617 - 10c4c: 01df0463 beq t5,t4,10c54 - 10c50: 1940206f j 12de4 - -00010c54 : - 10c54: 800000b7 lui ra,0x80000 - 10c58: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c5c: 00700113 li sp,7 - 10c60: 4020df33 sra t5,ra,sp - 10c64: 01000eb7 lui t4,0x1000 - 10c68: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> - 10c6c: 26a00193 li gp,618 - 10c70: 01df0463 beq t5,t4,10c78 - 10c74: 1700206f j 12de4 - -00010c78 : - 10c78: 800000b7 lui ra,0x80000 - 10c7c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c80: 00e00113 li sp,14 - 10c84: 4020df33 sra t5,ra,sp - 10c88: 00020eb7 lui t4,0x20 - 10c8c: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> - 10c90: 26b00193 li gp,619 - 10c94: 01df0463 beq t5,t4,10c9c - 10c98: 14c0206f j 12de4 - -00010c9c : - 10c9c: 800000b7 lui ra,0x80000 - 10ca0: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10ca4: 01f00113 li sp,31 - 10ca8: 4020df33 sra t5,ra,sp - 10cac: 00000e93 li t4,0 - 10cb0: 26c00193 li gp,620 - 10cb4: 01df0463 beq t5,t4,10cbc - 10cb8: 12c0206f j 12de4 - -00010cbc : - 10cbc: 818180b7 lui ra,0x81818 - 10cc0: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10cc4: 00000113 li sp,0 - 10cc8: 4020df33 sra t5,ra,sp - 10ccc: 81818eb7 lui t4,0x81818 - 10cd0: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> - 10cd4: 26d00193 li gp,621 - 10cd8: 01df0463 beq t5,t4,10ce0 - 10cdc: 1080206f j 12de4 - -00010ce0 : - 10ce0: 818180b7 lui ra,0x81818 - 10ce4: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10ce8: 00100113 li sp,1 - 10cec: 4020df33 sra t5,ra,sp - 10cf0: c0c0ceb7 lui t4,0xc0c0c - 10cf4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> - 10cf8: 26e00193 li gp,622 - 10cfc: 01df0463 beq t5,t4,10d04 - 10d00: 0e40206f j 12de4 - -00010d04 : - 10d04: 818180b7 lui ra,0x81818 - 10d08: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d0c: 00700113 li sp,7 - 10d10: 4020df33 sra t5,ra,sp - 10d14: ff030eb7 lui t4,0xff030 - 10d18: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> - 10d1c: 26f00193 li gp,623 - 10d20: 01df0463 beq t5,t4,10d28 - 10d24: 0c00206f j 12de4 - -00010d28 : - 10d28: 818180b7 lui ra,0x81818 - 10d2c: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d30: 00e00113 li sp,14 - 10d34: 4020df33 sra t5,ra,sp - 10d38: fffe0eb7 lui t4,0xfffe0 - 10d3c: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> - 10d40: 27000193 li gp,624 - 10d44: 01df0463 beq t5,t4,10d4c - 10d48: 09c0206f j 12de4 - -00010d4c : - 10d4c: 818180b7 lui ra,0x81818 - 10d50: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d54: 01f00113 li sp,31 - 10d58: 4020df33 sra t5,ra,sp - 10d5c: fff00e93 li t4,-1 - 10d60: 27100193 li gp,625 - 10d64: 01df0463 beq t5,t4,10d6c - 10d68: 07c0206f j 12de4 - -00010d6c : - 10d6c: 818180b7 lui ra,0x81818 - 10d70: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d74: fc000113 li sp,-64 - 10d78: 4020df33 sra t5,ra,sp - 10d7c: 81818eb7 lui t4,0x81818 - 10d80: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> - 10d84: 27200193 li gp,626 - 10d88: 01df0463 beq t5,t4,10d90 - 10d8c: 0580206f j 12de4 - -00010d90 : - 10d90: 818180b7 lui ra,0x81818 - 10d94: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d98: fc100113 li sp,-63 - 10d9c: 4020df33 sra t5,ra,sp - 10da0: c0c0ceb7 lui t4,0xc0c0c - 10da4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> - 10da8: 27300193 li gp,627 - 10dac: 01df0463 beq t5,t4,10db4 - 10db0: 0340206f j 12de4 - -00010db4 : - 10db4: 818180b7 lui ra,0x81818 - 10db8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10dbc: fc700113 li sp,-57 - 10dc0: 4020df33 sra t5,ra,sp - 10dc4: ff030eb7 lui t4,0xff030 - 10dc8: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> - 10dcc: 27400193 li gp,628 - 10dd0: 01df0463 beq t5,t4,10dd8 - 10dd4: 0100206f j 12de4 - -00010dd8 : - 10dd8: 818180b7 lui ra,0x81818 - 10ddc: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10de0: fce00113 li sp,-50 - 10de4: 4020df33 sra t5,ra,sp - 10de8: fffe0eb7 lui t4,0xfffe0 - 10dec: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> - 10df0: 27500193 li gp,629 - 10df4: 01df0463 beq t5,t4,10dfc - 10df8: 7ed0106f j 12de4 - -00010dfc : - 10dfc: 818180b7 lui ra,0x81818 - 10e00: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10e04: fff00113 li sp,-1 - 10e08: 4020df33 sra t5,ra,sp - 10e0c: fff00e93 li t4,-1 - 10e10: 27600193 li gp,630 - 10e14: 01df0463 beq t5,t4,10e1c - 10e18: 7cd0106f j 12de4 - -00010e1c : - 10e1c: 800000b7 lui ra,0x80000 - 10e20: 00700113 li sp,7 - 10e24: 4020d0b3 sra ra,ra,sp - 10e28: ff000eb7 lui t4,0xff000 - 10e2c: 27700193 li gp,631 - 10e30: 01d08463 beq ra,t4,10e38 - 10e34: 7b10106f j 12de4 - -00010e38 : - 10e38: 800000b7 lui ra,0x80000 - 10e3c: 00e00113 li sp,14 - 10e40: 4020d133 sra sp,ra,sp - 10e44: fffe0eb7 lui t4,0xfffe0 - 10e48: 27800193 li gp,632 - 10e4c: 01d10463 beq sp,t4,10e54 - 10e50: 7950106f j 12de4 - -00010e54 : - 10e54: 00700093 li ra,7 - 10e58: 4010d0b3 sra ra,ra,ra - 10e5c: 00000e93 li t4,0 - 10e60: 27900193 li gp,633 - 10e64: 01d08463 beq ra,t4,10e6c - 10e68: 77d0106f j 12de4 - -00010e6c : - 10e6c: 00000213 li tp,0 - 10e70: 800000b7 lui ra,0x80000 - 10e74: 00700113 li sp,7 - 10e78: 4020df33 sra t5,ra,sp - 10e7c: 000f0313 mv t1,t5 - 10e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10e84: 00200293 li t0,2 - 10e88: fe5214e3 bne tp,t0,10e70 - 10e8c: ff000eb7 lui t4,0xff000 - 10e90: 27a00193 li gp,634 - 10e94: 01d30463 beq t1,t4,10e9c - 10e98: 74d0106f j 12de4 - -00010e9c : - 10e9c: 00000213 li tp,0 - 10ea0: 800000b7 lui ra,0x80000 - 10ea4: 00e00113 li sp,14 - 10ea8: 4020df33 sra t5,ra,sp - 10eac: 00000013 nop - 10eb0: 000f0313 mv t1,t5 - 10eb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10eb8: 00200293 li t0,2 - 10ebc: fe5212e3 bne tp,t0,10ea0 - 10ec0: fffe0eb7 lui t4,0xfffe0 - 10ec4: 27b00193 li gp,635 - 10ec8: 01d30463 beq t1,t4,10ed0 - 10ecc: 7190106f j 12de4 - -00010ed0 : - 10ed0: 00000213 li tp,0 - 10ed4: 800000b7 lui ra,0x80000 - 10ed8: 01f00113 li sp,31 - 10edc: 4020df33 sra t5,ra,sp - 10ee0: 00000013 nop - 10ee4: 00000013 nop - 10ee8: 000f0313 mv t1,t5 - 10eec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ef0: 00200293 li t0,2 - 10ef4: fe5210e3 bne tp,t0,10ed4 - 10ef8: fff00e93 li t4,-1 - 10efc: 27c00193 li gp,636 - 10f00: 01d30463 beq t1,t4,10f08 - 10f04: 6e10106f j 12de4 - -00010f08 : - 10f08: 00000213 li tp,0 - 10f0c: 800000b7 lui ra,0x80000 - 10f10: 00700113 li sp,7 - 10f14: 4020df33 sra t5,ra,sp - 10f18: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f1c: 00200293 li t0,2 - 10f20: fe5216e3 bne tp,t0,10f0c - 10f24: ff000eb7 lui t4,0xff000 - 10f28: 27d00193 li gp,637 - 10f2c: 01df0463 beq t5,t4,10f34 - 10f30: 6b50106f j 12de4 - -00010f34 : - 10f34: 00000213 li tp,0 - 10f38: 800000b7 lui ra,0x80000 - 10f3c: 00e00113 li sp,14 - 10f40: 00000013 nop - 10f44: 4020df33 sra t5,ra,sp - 10f48: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f4c: 00200293 li t0,2 - 10f50: fe5214e3 bne tp,t0,10f38 - 10f54: fffe0eb7 lui t4,0xfffe0 - 10f58: 27e00193 li gp,638 - 10f5c: 01df0463 beq t5,t4,10f64 - 10f60: 6850106f j 12de4 - -00010f64 : - 10f64: 00000213 li tp,0 - 10f68: 800000b7 lui ra,0x80000 - 10f6c: 01f00113 li sp,31 - 10f70: 00000013 nop - 10f74: 00000013 nop - 10f78: 4020df33 sra t5,ra,sp - 10f7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f80: 00200293 li t0,2 - 10f84: fe5212e3 bne tp,t0,10f68 - 10f88: fff00e93 li t4,-1 - 10f8c: 27f00193 li gp,639 - 10f90: 01df0463 beq t5,t4,10f98 - 10f94: 6510106f j 12de4 - -00010f98 : - 10f98: 00000213 li tp,0 - 10f9c: 800000b7 lui ra,0x80000 - 10fa0: 00000013 nop - 10fa4: 00700113 li sp,7 - 10fa8: 4020df33 sra t5,ra,sp - 10fac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10fb0: 00200293 li t0,2 - 10fb4: fe5214e3 bne tp,t0,10f9c - 10fb8: ff000eb7 lui t4,0xff000 - 10fbc: 28000193 li gp,640 - 10fc0: 01df0463 beq t5,t4,10fc8 - 10fc4: 6210106f j 12de4 - -00010fc8 : - 10fc8: 00000213 li tp,0 - 10fcc: 800000b7 lui ra,0x80000 - 10fd0: 00000013 nop - 10fd4: 00e00113 li sp,14 - 10fd8: 00000013 nop - 10fdc: 4020df33 sra t5,ra,sp - 10fe0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10fe4: 00200293 li t0,2 - 10fe8: fe5212e3 bne tp,t0,10fcc - 10fec: fffe0eb7 lui t4,0xfffe0 - 10ff0: 28100193 li gp,641 - 10ff4: 01df0463 beq t5,t4,10ffc - 10ff8: 5ed0106f j 12de4 - -00010ffc : - 10ffc: 00000213 li tp,0 - 11000: 800000b7 lui ra,0x80000 - 11004: 00000013 nop - 11008: 00000013 nop - 1100c: 01f00113 li sp,31 - 11010: 4020df33 sra t5,ra,sp - 11014: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11018: 00200293 li t0,2 - 1101c: fe5212e3 bne tp,t0,11000 - 11020: fff00e93 li t4,-1 - 11024: 28200193 li gp,642 - 11028: 01df0463 beq t5,t4,11030 - 1102c: 5b90106f j 12de4 - -00011030 : - 11030: 00000213 li tp,0 - 11034: 00700113 li sp,7 - 11038: 800000b7 lui ra,0x80000 - 1103c: 4020df33 sra t5,ra,sp - 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11044: 00200293 li t0,2 - 11048: fe5216e3 bne tp,t0,11034 - 1104c: ff000eb7 lui t4,0xff000 - 11050: 28300193 li gp,643 - 11054: 01df0463 beq t5,t4,1105c - 11058: 58d0106f j 12de4 - -0001105c : - 1105c: 00000213 li tp,0 - 11060: 00e00113 li sp,14 - 11064: 800000b7 lui ra,0x80000 - 11068: 00000013 nop - 1106c: 4020df33 sra t5,ra,sp - 11070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11074: 00200293 li t0,2 - 11078: fe5214e3 bne tp,t0,11060 - 1107c: fffe0eb7 lui t4,0xfffe0 - 11080: 28400193 li gp,644 - 11084: 01df0463 beq t5,t4,1108c - 11088: 55d0106f j 12de4 - -0001108c : - 1108c: 00000213 li tp,0 - 11090: 01f00113 li sp,31 - 11094: 800000b7 lui ra,0x80000 - 11098: 00000013 nop - 1109c: 00000013 nop - 110a0: 4020df33 sra t5,ra,sp - 110a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110a8: 00200293 li t0,2 - 110ac: fe5212e3 bne tp,t0,11090 - 110b0: fff00e93 li t4,-1 - 110b4: 28500193 li gp,645 - 110b8: 01df0463 beq t5,t4,110c0 - 110bc: 5290106f j 12de4 - -000110c0 : - 110c0: 00000213 li tp,0 - 110c4: 00700113 li sp,7 - 110c8: 00000013 nop - 110cc: 800000b7 lui ra,0x80000 - 110d0: 4020df33 sra t5,ra,sp - 110d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110d8: 00200293 li t0,2 - 110dc: fe5214e3 bne tp,t0,110c4 - 110e0: ff000eb7 lui t4,0xff000 - 110e4: 28600193 li gp,646 - 110e8: 01df0463 beq t5,t4,110f0 - 110ec: 4f90106f j 12de4 - -000110f0 : - 110f0: 00000213 li tp,0 - 110f4: 00e00113 li sp,14 - 110f8: 00000013 nop - 110fc: 800000b7 lui ra,0x80000 - 11100: 00000013 nop - 11104: 4020df33 sra t5,ra,sp - 11108: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1110c: 00200293 li t0,2 - 11110: fe5212e3 bne tp,t0,110f4 - 11114: fffe0eb7 lui t4,0xfffe0 - 11118: 28700193 li gp,647 - 1111c: 01df0463 beq t5,t4,11124 - 11120: 4c50106f j 12de4 - -00011124 : - 11124: 00000213 li tp,0 - 11128: 01f00113 li sp,31 - 1112c: 00000013 nop - 11130: 00000013 nop - 11134: 800000b7 lui ra,0x80000 - 11138: 4020df33 sra t5,ra,sp - 1113c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11140: 00200293 li t0,2 - 11144: fe5212e3 bne tp,t0,11128 - 11148: fff00e93 li t4,-1 - 1114c: 28800193 li gp,648 - 11150: 01df0463 beq t5,t4,11158 - 11154: 4910106f j 12de4 - -00011158 : - 11158: 00f00093 li ra,15 - 1115c: 40105133 sra sp,zero,ra - 11160: 00000e93 li t4,0 - 11164: 28900193 li gp,649 - 11168: 01d10463 beq sp,t4,11170 - 1116c: 4790106f j 12de4 - -00011170 : - 11170: 02000093 li ra,32 - 11174: 4000d133 sra sp,ra,zero - 11178: 02000e93 li t4,32 - 1117c: 28a00193 li gp,650 - 11180: 01d10463 beq sp,t4,11188 - 11184: 4610106f j 12de4 - -00011188 : - 11188: 400050b3 sra ra,zero,zero - 1118c: 00000e93 li t4,0 - 11190: 28b00193 li gp,651 - 11194: 01d08463 beq ra,t4,1119c - 11198: 44d0106f j 12de4 - -0001119c : - 1119c: 40000093 li ra,1024 - 111a0: 00001137 lui sp,0x1 - 111a4: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> - 111a8: 4020d033 sra zero,ra,sp - 111ac: 00000e93 li t4,0 - 111b0: 28c00193 li gp,652 - 111b4: 01d00463 beq zero,t4,111bc - 111b8: 42d0106f j 12de4 - -000111bc : - 111bc: 00000093 li ra,0 - 111c0: 4000df13 srai t5,ra,0x0 - 111c4: 00000e93 li t4,0 - 111c8: 28d00193 li gp,653 - 111cc: 01df0463 beq t5,t4,111d4 - 111d0: 4150106f j 12de4 - -000111d4 : - 111d4: 800000b7 lui ra,0x80000 - 111d8: 4010df13 srai t5,ra,0x1 - 111dc: c0000eb7 lui t4,0xc0000 - 111e0: 28e00193 li gp,654 - 111e4: 01df0463 beq t5,t4,111ec - 111e8: 3fd0106f j 12de4 - -000111ec : - 111ec: 800000b7 lui ra,0x80000 - 111f0: 4070df13 srai t5,ra,0x7 - 111f4: ff000eb7 lui t4,0xff000 - 111f8: 28f00193 li gp,655 - 111fc: 01df0463 beq t5,t4,11204 - 11200: 3e50106f j 12de4 - -00011204 : - 11204: 800000b7 lui ra,0x80000 - 11208: 40e0df13 srai t5,ra,0xe - 1120c: fffe0eb7 lui t4,0xfffe0 - 11210: 29000193 li gp,656 - 11214: 01df0463 beq t5,t4,1121c - 11218: 3cd0106f j 12de4 - -0001121c : - 1121c: 800000b7 lui ra,0x80000 - 11220: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11224: 41f0df13 srai t5,ra,0x1f - 11228: fff00e93 li t4,-1 - 1122c: 29100193 li gp,657 - 11230: 01df0463 beq t5,t4,11238 - 11234: 3b10106f j 12de4 - -00011238 : - 11238: 800000b7 lui ra,0x80000 - 1123c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11240: 4010df13 srai t5,ra,0x1 - 11244: 40000eb7 lui t4,0x40000 - 11248: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> - 1124c: 29200193 li gp,658 - 11250: 01df0463 beq t5,t4,11258 - 11254: 3910106f j 12de4 - -00011258 : - 11258: 800000b7 lui ra,0x80000 - 1125c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11260: 4070df13 srai t5,ra,0x7 - 11264: 01000eb7 lui t4,0x1000 - 11268: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> - 1126c: 29300193 li gp,659 - 11270: 01df0463 beq t5,t4,11278 - 11274: 3710106f j 12de4 - -00011278 : - 11278: 800000b7 lui ra,0x80000 - 1127c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11280: 40e0df13 srai t5,ra,0xe - 11284: 00020eb7 lui t4,0x20 - 11288: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> - 1128c: 29400193 li gp,660 - 11290: 01df0463 beq t5,t4,11298 - 11294: 3510106f j 12de4 - -00011298 : - 11298: 800000b7 lui ra,0x80000 - 1129c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 112a0: 41f0df13 srai t5,ra,0x1f - 112a4: 00000e93 li t4,0 - 112a8: 29500193 li gp,661 - 112ac: 01df0463 beq t5,t4,112b4 - 112b0: 3350106f j 12de4 - -000112b4 : - 112b4: 818180b7 lui ra,0x81818 - 112b8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 112bc: 4000df13 srai t5,ra,0x0 - 112c0: 81818eb7 lui t4,0x81818 - 112c4: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> - 112c8: 29600193 li gp,662 - 112cc: 01df0463 beq t5,t4,112d4 - 112d0: 3150106f j 12de4 - -000112d4 : - 112d4: 818180b7 lui ra,0x81818 - 112d8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 112dc: 4010df13 srai t5,ra,0x1 - 112e0: c0c0ceb7 lui t4,0xc0c0c - 112e4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> - 112e8: 29700193 li gp,663 - 112ec: 01df0463 beq t5,t4,112f4 - 112f0: 2f50106f j 12de4 - -000112f4 : - 112f4: 818180b7 lui ra,0x81818 - 112f8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 112fc: 4070df13 srai t5,ra,0x7 - 11300: ff030eb7 lui t4,0xff030 - 11304: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> - 11308: 29800193 li gp,664 - 1130c: 01df0463 beq t5,t4,11314 - 11310: 2d50106f j 12de4 - -00011314 : - 11314: 818180b7 lui ra,0x81818 - 11318: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 1131c: 40e0df13 srai t5,ra,0xe - 11320: fffe0eb7 lui t4,0xfffe0 - 11324: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> - 11328: 29900193 li gp,665 - 1132c: 01df0463 beq t5,t4,11334 - 11330: 2b50106f j 12de4 - -00011334 : - 11334: 818180b7 lui ra,0x81818 - 11338: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 1133c: 41f0df13 srai t5,ra,0x1f - 11340: fff00e93 li t4,-1 - 11344: 29a00193 li gp,666 - 11348: 01df0463 beq t5,t4,11350 - 1134c: 2990106f j 12de4 - -00011350 : - 11350: 800000b7 lui ra,0x80000 - 11354: 4070d093 srai ra,ra,0x7 - 11358: ff000eb7 lui t4,0xff000 - 1135c: 29b00193 li gp,667 - 11360: 01d08463 beq ra,t4,11368 - 11364: 2810106f j 12de4 - -00011368 : - 11368: 00000213 li tp,0 - 1136c: 800000b7 lui ra,0x80000 - 11370: 4070df13 srai t5,ra,0x7 - 11374: 000f0313 mv t1,t5 - 11378: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1137c: 00200293 li t0,2 - 11380: fe5216e3 bne tp,t0,1136c - 11384: ff000eb7 lui t4,0xff000 - 11388: 29c00193 li gp,668 - 1138c: 01d30463 beq t1,t4,11394 - 11390: 2550106f j 12de4 - -00011394 : - 11394: 00000213 li tp,0 - 11398: 800000b7 lui ra,0x80000 - 1139c: 40e0df13 srai t5,ra,0xe - 113a0: 00000013 nop - 113a4: 000f0313 mv t1,t5 - 113a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 113ac: 00200293 li t0,2 - 113b0: fe5214e3 bne tp,t0,11398 - 113b4: fffe0eb7 lui t4,0xfffe0 - 113b8: 29d00193 li gp,669 - 113bc: 01d30463 beq t1,t4,113c4 - 113c0: 2250106f j 12de4 - -000113c4 : - 113c4: 00000213 li tp,0 - 113c8: 800000b7 lui ra,0x80000 - 113cc: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 113d0: 41f0df13 srai t5,ra,0x1f - 113d4: 00000013 nop - 113d8: 00000013 nop - 113dc: 000f0313 mv t1,t5 - 113e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 113e4: 00200293 li t0,2 - 113e8: fe5210e3 bne tp,t0,113c8 - 113ec: fff00e93 li t4,-1 - 113f0: 29e00193 li gp,670 - 113f4: 01d30463 beq t1,t4,113fc - 113f8: 1ed0106f j 12de4 - -000113fc : - 113fc: 00000213 li tp,0 - 11400: 800000b7 lui ra,0x80000 - 11404: 4070df13 srai t5,ra,0x7 - 11408: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1140c: 00200293 li t0,2 - 11410: fe5218e3 bne tp,t0,11400 - 11414: ff000eb7 lui t4,0xff000 - 11418: 29f00193 li gp,671 - 1141c: 01df0463 beq t5,t4,11424 - 11420: 1c50106f j 12de4 - -00011424 : - 11424: 00000213 li tp,0 - 11428: 800000b7 lui ra,0x80000 - 1142c: 00000013 nop - 11430: 40e0df13 srai t5,ra,0xe - 11434: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11438: 00200293 li t0,2 - 1143c: fe5216e3 bne tp,t0,11428 - 11440: fffe0eb7 lui t4,0xfffe0 - 11444: 2a000193 li gp,672 - 11448: 01df0463 beq t5,t4,11450 - 1144c: 1990106f j 12de4 - -00011450 : - 11450: 00000213 li tp,0 - 11454: 800000b7 lui ra,0x80000 - 11458: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 1145c: 00000013 nop - 11460: 00000013 nop - 11464: 41f0df13 srai t5,ra,0x1f - 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1146c: 00200293 li t0,2 - 11470: fe5212e3 bne tp,t0,11454 - 11474: fff00e93 li t4,-1 - 11478: 2a100193 li gp,673 - 1147c: 01df0463 beq t5,t4,11484 - 11480: 1650106f j 12de4 - -00011484 : - 11484: 40405093 srai ra,zero,0x4 - 11488: 00000e93 li t4,0 - 1148c: 2a200193 li gp,674 - 11490: 01d08463 beq ra,t4,11498 - 11494: 1510106f j 12de4 - -00011498 : - 11498: 02100093 li ra,33 - 1149c: 40a0d013 srai zero,ra,0xa - 114a0: 00000e93 li t4,0 - 114a4: 2a300193 li gp,675 - 114a8: 01d00463 beq zero,t4,114b0 - 114ac: 1390106f j 12de4 - -000114b0 : - 114b0: 800000b7 lui ra,0x80000 - 114b4: 00000113 li sp,0 - 114b8: 0020df33 srl t5,ra,sp - 114bc: 80000eb7 lui t4,0x80000 - 114c0: 2a400193 li gp,676 - 114c4: 01df0463 beq t5,t4,114cc - 114c8: 11d0106f j 12de4 - -000114cc : - 114cc: 800000b7 lui ra,0x80000 - 114d0: 00100113 li sp,1 - 114d4: 0020df33 srl t5,ra,sp - 114d8: 40000eb7 lui t4,0x40000 - 114dc: 2a500193 li gp,677 - 114e0: 01df0463 beq t5,t4,114e8 - 114e4: 1010106f j 12de4 - -000114e8 : - 114e8: 800000b7 lui ra,0x80000 - 114ec: 00700113 li sp,7 - 114f0: 0020df33 srl t5,ra,sp - 114f4: 01000eb7 lui t4,0x1000 - 114f8: 2a600193 li gp,678 - 114fc: 01df0463 beq t5,t4,11504 - 11500: 0e50106f j 12de4 - -00011504 : - 11504: 800000b7 lui ra,0x80000 - 11508: 00e00113 li sp,14 - 1150c: 0020df33 srl t5,ra,sp - 11510: 00020eb7 lui t4,0x20 - 11514: 2a700193 li gp,679 - 11518: 01df0463 beq t5,t4,11520 - 1151c: 0c90106f j 12de4 - -00011520 : - 11520: 800000b7 lui ra,0x80000 - 11524: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11528: 01f00113 li sp,31 - 1152c: 0020df33 srl t5,ra,sp - 11530: 00100e93 li t4,1 - 11534: 2a800193 li gp,680 - 11538: 01df0463 beq t5,t4,11540 - 1153c: 0a90106f j 12de4 - -00011540 : - 11540: fff00093 li ra,-1 - 11544: 00000113 li sp,0 - 11548: 0020df33 srl t5,ra,sp - 1154c: fff00e93 li t4,-1 - 11550: 2a900193 li gp,681 - 11554: 01df0463 beq t5,t4,1155c - 11558: 08d0106f j 12de4 - -0001155c : - 1155c: fff00093 li ra,-1 - 11560: 00100113 li sp,1 - 11564: 0020df33 srl t5,ra,sp - 11568: 80000eb7 lui t4,0x80000 - 1156c: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11570: 2aa00193 li gp,682 - 11574: 01df0463 beq t5,t4,1157c - 11578: 06d0106f j 12de4 - -0001157c : - 1157c: fff00093 li ra,-1 - 11580: 00700113 li sp,7 - 11584: 0020df33 srl t5,ra,sp - 11588: 02000eb7 lui t4,0x2000 - 1158c: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> - 11590: 2ab00193 li gp,683 - 11594: 01df0463 beq t5,t4,1159c - 11598: 04d0106f j 12de4 - -0001159c : - 1159c: fff00093 li ra,-1 - 115a0: 00e00113 li sp,14 - 115a4: 0020df33 srl t5,ra,sp - 115a8: 00040eb7 lui t4,0x40 - 115ac: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> - 115b0: 2ac00193 li gp,684 - 115b4: 01df0463 beq t5,t4,115bc - 115b8: 02d0106f j 12de4 - -000115bc : - 115bc: fff00093 li ra,-1 - 115c0: 01f00113 li sp,31 - 115c4: 0020df33 srl t5,ra,sp - 115c8: 00100e93 li t4,1 - 115cc: 2ad00193 li gp,685 - 115d0: 01df0463 beq t5,t4,115d8 - 115d4: 0110106f j 12de4 - -000115d8 : - 115d8: 212120b7 lui ra,0x21212 - 115dc: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 115e0: 00000113 li sp,0 - 115e4: 0020df33 srl t5,ra,sp - 115e8: 21212eb7 lui t4,0x21212 - 115ec: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> - 115f0: 2ae00193 li gp,686 - 115f4: 01df0463 beq t5,t4,115fc - 115f8: 7ec0106f j 12de4 - -000115fc : - 115fc: 212120b7 lui ra,0x21212 - 11600: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11604: 00100113 li sp,1 - 11608: 0020df33 srl t5,ra,sp - 1160c: 10909eb7 lui t4,0x10909 - 11610: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> - 11614: 2af00193 li gp,687 - 11618: 01df0463 beq t5,t4,11620 - 1161c: 7c80106f j 12de4 - -00011620 : - 11620: 212120b7 lui ra,0x21212 - 11624: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11628: 00700113 li sp,7 - 1162c: 0020df33 srl t5,ra,sp - 11630: 00424eb7 lui t4,0x424 - 11634: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> - 11638: 2b000193 li gp,688 - 1163c: 01df0463 beq t5,t4,11644 - 11640: 7a40106f j 12de4 - -00011644 : - 11644: 212120b7 lui ra,0x21212 - 11648: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 1164c: 00e00113 li sp,14 - 11650: 0020df33 srl t5,ra,sp - 11654: 00008eb7 lui t4,0x8 - 11658: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> - 1165c: 2b100193 li gp,689 - 11660: 01df0463 beq t5,t4,11668 - 11664: 7800106f j 12de4 - -00011668 : - 11668: 212120b7 lui ra,0x21212 - 1166c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11670: 01f00113 li sp,31 - 11674: 0020df33 srl t5,ra,sp - 11678: 00000e93 li t4,0 - 1167c: 2b200193 li gp,690 - 11680: 01df0463 beq t5,t4,11688 - 11684: 7600106f j 12de4 - -00011688 : - 11688: 212120b7 lui ra,0x21212 - 1168c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11690: fc000113 li sp,-64 - 11694: 0020df33 srl t5,ra,sp - 11698: 21212eb7 lui t4,0x21212 - 1169c: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> - 116a0: 2b300193 li gp,691 - 116a4: 01df0463 beq t5,t4,116ac - 116a8: 73c0106f j 12de4 - -000116ac : - 116ac: 212120b7 lui ra,0x21212 - 116b0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 116b4: fc100113 li sp,-63 - 116b8: 0020df33 srl t5,ra,sp - 116bc: 10909eb7 lui t4,0x10909 - 116c0: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> - 116c4: 2b400193 li gp,692 - 116c8: 01df0463 beq t5,t4,116d0 - 116cc: 7180106f j 12de4 - -000116d0 : - 116d0: 212120b7 lui ra,0x21212 - 116d4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 116d8: fc700113 li sp,-57 - 116dc: 0020df33 srl t5,ra,sp - 116e0: 00424eb7 lui t4,0x424 - 116e4: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> - 116e8: 2b500193 li gp,693 - 116ec: 01df0463 beq t5,t4,116f4 - 116f0: 6f40106f j 12de4 - -000116f4 : - 116f4: 212120b7 lui ra,0x21212 - 116f8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 116fc: fce00113 li sp,-50 - 11700: 0020df33 srl t5,ra,sp - 11704: 00008eb7 lui t4,0x8 - 11708: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> - 1170c: 2b600193 li gp,694 - 11710: 01df0463 beq t5,t4,11718 - 11714: 6d00106f j 12de4 - -00011718 : - 11718: 212120b7 lui ra,0x21212 - 1171c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11720: fff00113 li sp,-1 - 11724: 0020df33 srl t5,ra,sp - 11728: 00000e93 li t4,0 - 1172c: 2b700193 li gp,695 - 11730: 01df0463 beq t5,t4,11738 - 11734: 6b00106f j 12de4 - -00011738 : - 11738: 800000b7 lui ra,0x80000 - 1173c: 00700113 li sp,7 - 11740: 0020d0b3 srl ra,ra,sp - 11744: 01000eb7 lui t4,0x1000 - 11748: 2b800193 li gp,696 - 1174c: 01d08463 beq ra,t4,11754 - 11750: 6940106f j 12de4 - -00011754 : - 11754: 800000b7 lui ra,0x80000 - 11758: 00e00113 li sp,14 - 1175c: 0020d133 srl sp,ra,sp - 11760: 00020eb7 lui t4,0x20 - 11764: 2b900193 li gp,697 - 11768: 01d10463 beq sp,t4,11770 - 1176c: 6780106f j 12de4 - -00011770 : - 11770: 00700093 li ra,7 - 11774: 0010d0b3 srl ra,ra,ra - 11778: 00000e93 li t4,0 - 1177c: 2ba00193 li gp,698 - 11780: 01d08463 beq ra,t4,11788 - 11784: 6600106f j 12de4 - -00011788 : - 11788: 00000213 li tp,0 - 1178c: 800000b7 lui ra,0x80000 - 11790: 00700113 li sp,7 - 11794: 0020df33 srl t5,ra,sp - 11798: 000f0313 mv t1,t5 - 1179c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117a0: 00200293 li t0,2 - 117a4: fe5214e3 bne tp,t0,1178c - 117a8: 01000eb7 lui t4,0x1000 - 117ac: 2bb00193 li gp,699 - 117b0: 01d30463 beq t1,t4,117b8 - 117b4: 6300106f j 12de4 - -000117b8 : - 117b8: 00000213 li tp,0 - 117bc: 800000b7 lui ra,0x80000 - 117c0: 00e00113 li sp,14 - 117c4: 0020df33 srl t5,ra,sp - 117c8: 00000013 nop - 117cc: 000f0313 mv t1,t5 - 117d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117d4: 00200293 li t0,2 - 117d8: fe5212e3 bne tp,t0,117bc - 117dc: 00020eb7 lui t4,0x20 - 117e0: 2bc00193 li gp,700 - 117e4: 01d30463 beq t1,t4,117ec - 117e8: 5fc0106f j 12de4 - -000117ec : - 117ec: 00000213 li tp,0 - 117f0: 800000b7 lui ra,0x80000 - 117f4: 01f00113 li sp,31 - 117f8: 0020df33 srl t5,ra,sp - 117fc: 00000013 nop - 11800: 00000013 nop - 11804: 000f0313 mv t1,t5 - 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1180c: 00200293 li t0,2 - 11810: fe5210e3 bne tp,t0,117f0 - 11814: 00100e93 li t4,1 - 11818: 2bd00193 li gp,701 - 1181c: 01d30463 beq t1,t4,11824 - 11820: 5c40106f j 12de4 - -00011824 : - 11824: 00000213 li tp,0 - 11828: 800000b7 lui ra,0x80000 - 1182c: 00700113 li sp,7 - 11830: 0020df33 srl t5,ra,sp - 11834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11838: 00200293 li t0,2 - 1183c: fe5216e3 bne tp,t0,11828 - 11840: 01000eb7 lui t4,0x1000 - 11844: 2be00193 li gp,702 - 11848: 01df0463 beq t5,t4,11850 - 1184c: 5980106f j 12de4 - -00011850 : - 11850: 00000213 li tp,0 - 11854: 800000b7 lui ra,0x80000 - 11858: 00e00113 li sp,14 - 1185c: 00000013 nop - 11860: 0020df33 srl t5,ra,sp - 11864: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11868: 00200293 li t0,2 - 1186c: fe5214e3 bne tp,t0,11854 - 11870: 00020eb7 lui t4,0x20 - 11874: 2bf00193 li gp,703 - 11878: 01df0463 beq t5,t4,11880 - 1187c: 5680106f j 12de4 - -00011880 : - 11880: 00000213 li tp,0 - 11884: 800000b7 lui ra,0x80000 - 11888: 01f00113 li sp,31 - 1188c: 00000013 nop - 11890: 00000013 nop - 11894: 0020df33 srl t5,ra,sp - 11898: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1189c: 00200293 li t0,2 - 118a0: fe5212e3 bne tp,t0,11884 - 118a4: 00100e93 li t4,1 - 118a8: 2c000193 li gp,704 - 118ac: 01df0463 beq t5,t4,118b4 - 118b0: 5340106f j 12de4 - -000118b4 : - 118b4: 00000213 li tp,0 - 118b8: 800000b7 lui ra,0x80000 - 118bc: 00000013 nop - 118c0: 00700113 li sp,7 - 118c4: 0020df33 srl t5,ra,sp - 118c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118cc: 00200293 li t0,2 - 118d0: fe5214e3 bne tp,t0,118b8 - 118d4: 01000eb7 lui t4,0x1000 - 118d8: 2c100193 li gp,705 - 118dc: 01df0463 beq t5,t4,118e4 - 118e0: 5040106f j 12de4 - -000118e4 : - 118e4: 00000213 li tp,0 - 118e8: 800000b7 lui ra,0x80000 - 118ec: 00000013 nop - 118f0: 00e00113 li sp,14 - 118f4: 00000013 nop - 118f8: 0020df33 srl t5,ra,sp - 118fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11900: 00200293 li t0,2 - 11904: fe5212e3 bne tp,t0,118e8 - 11908: 00020eb7 lui t4,0x20 - 1190c: 2c200193 li gp,706 - 11910: 01df0463 beq t5,t4,11918 - 11914: 4d00106f j 12de4 - -00011918 : - 11918: 00000213 li tp,0 - 1191c: 800000b7 lui ra,0x80000 - 11920: 00000013 nop - 11924: 00000013 nop - 11928: 01f00113 li sp,31 - 1192c: 0020df33 srl t5,ra,sp - 11930: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11934: 00200293 li t0,2 - 11938: fe5212e3 bne tp,t0,1191c - 1193c: 00100e93 li t4,1 - 11940: 2c300193 li gp,707 - 11944: 01df0463 beq t5,t4,1194c - 11948: 49c0106f j 12de4 - -0001194c : - 1194c: 00000213 li tp,0 - 11950: 00700113 li sp,7 - 11954: 800000b7 lui ra,0x80000 - 11958: 0020df33 srl t5,ra,sp - 1195c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11960: 00200293 li t0,2 - 11964: fe5216e3 bne tp,t0,11950 - 11968: 01000eb7 lui t4,0x1000 - 1196c: 2c400193 li gp,708 - 11970: 01df0463 beq t5,t4,11978 - 11974: 4700106f j 12de4 - -00011978 : - 11978: 00000213 li tp,0 - 1197c: 00e00113 li sp,14 - 11980: 800000b7 lui ra,0x80000 - 11984: 00000013 nop - 11988: 0020df33 srl t5,ra,sp - 1198c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11990: 00200293 li t0,2 - 11994: fe5214e3 bne tp,t0,1197c - 11998: 00020eb7 lui t4,0x20 - 1199c: 2c500193 li gp,709 - 119a0: 01df0463 beq t5,t4,119a8 - 119a4: 4400106f j 12de4 - -000119a8 : - 119a8: 00000213 li tp,0 - 119ac: 01f00113 li sp,31 - 119b0: 800000b7 lui ra,0x80000 - 119b4: 00000013 nop - 119b8: 00000013 nop - 119bc: 0020df33 srl t5,ra,sp - 119c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 119c4: 00200293 li t0,2 - 119c8: fe5212e3 bne tp,t0,119ac - 119cc: 00100e93 li t4,1 - 119d0: 2c600193 li gp,710 - 119d4: 01df0463 beq t5,t4,119dc - 119d8: 40c0106f j 12de4 - -000119dc : - 119dc: 00000213 li tp,0 - 119e0: 00700113 li sp,7 - 119e4: 00000013 nop - 119e8: 800000b7 lui ra,0x80000 - 119ec: 0020df33 srl t5,ra,sp - 119f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 119f4: 00200293 li t0,2 - 119f8: fe5214e3 bne tp,t0,119e0 - 119fc: 01000eb7 lui t4,0x1000 - 11a00: 2c700193 li gp,711 - 11a04: 01df0463 beq t5,t4,11a0c - 11a08: 3dc0106f j 12de4 - -00011a0c : - 11a0c: 00000213 li tp,0 - 11a10: 00e00113 li sp,14 - 11a14: 00000013 nop - 11a18: 800000b7 lui ra,0x80000 - 11a1c: 00000013 nop - 11a20: 0020df33 srl t5,ra,sp - 11a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11a28: 00200293 li t0,2 - 11a2c: fe5212e3 bne tp,t0,11a10 - 11a30: 00020eb7 lui t4,0x20 - 11a34: 2c800193 li gp,712 - 11a38: 01df0463 beq t5,t4,11a40 - 11a3c: 3a80106f j 12de4 - -00011a40 : - 11a40: 00000213 li tp,0 - 11a44: 01f00113 li sp,31 - 11a48: 00000013 nop - 11a4c: 00000013 nop - 11a50: 800000b7 lui ra,0x80000 - 11a54: 0020df33 srl t5,ra,sp - 11a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11a5c: 00200293 li t0,2 - 11a60: fe5212e3 bne tp,t0,11a44 - 11a64: 00100e93 li t4,1 - 11a68: 2c900193 li gp,713 - 11a6c: 01df0463 beq t5,t4,11a74 - 11a70: 3740106f j 12de4 - -00011a74 : - 11a74: 00f00093 li ra,15 - 11a78: 00105133 srl sp,zero,ra - 11a7c: 00000e93 li t4,0 - 11a80: 2ca00193 li gp,714 - 11a84: 01d10463 beq sp,t4,11a8c - 11a88: 35c0106f j 12de4 - -00011a8c : - 11a8c: 02000093 li ra,32 - 11a90: 0000d133 srl sp,ra,zero - 11a94: 02000e93 li t4,32 - 11a98: 2cb00193 li gp,715 - 11a9c: 01d10463 beq sp,t4,11aa4 - 11aa0: 3440106f j 12de4 - -00011aa4 : - 11aa4: 000050b3 srl ra,zero,zero - 11aa8: 00000e93 li t4,0 - 11aac: 2cc00193 li gp,716 - 11ab0: 01d08463 beq ra,t4,11ab8 - 11ab4: 3300106f j 12de4 - -00011ab8 : - 11ab8: 40000093 li ra,1024 - 11abc: 00001137 lui sp,0x1 - 11ac0: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> - 11ac4: 0020d033 srl zero,ra,sp - 11ac8: 00000e93 li t4,0 - 11acc: 2cd00193 li gp,717 - 11ad0: 01d00463 beq zero,t4,11ad8 - 11ad4: 3100106f j 12de4 - -00011ad8 : - 11ad8: 800000b7 lui ra,0x80000 - 11adc: 00000113 li sp,0 - 11ae0: 0020df33 srl t5,ra,sp - 11ae4: 80000eb7 lui t4,0x80000 - 11ae8: 2ce00193 li gp,718 - 11aec: 01df0463 beq t5,t4,11af4 - 11af0: 2f40106f j 12de4 - -00011af4 : - 11af4: 800000b7 lui ra,0x80000 - 11af8: 00100113 li sp,1 - 11afc: 0020df33 srl t5,ra,sp - 11b00: 40000eb7 lui t4,0x40000 - 11b04: 2cf00193 li gp,719 - 11b08: 01df0463 beq t5,t4,11b10 - 11b0c: 2d80106f j 12de4 - -00011b10 : - 11b10: 800000b7 lui ra,0x80000 - 11b14: 00700113 li sp,7 - 11b18: 0020df33 srl t5,ra,sp - 11b1c: 01000eb7 lui t4,0x1000 - 11b20: 2d000193 li gp,720 - 11b24: 01df0463 beq t5,t4,11b2c - 11b28: 2bc0106f j 12de4 - -00011b2c : - 11b2c: 800000b7 lui ra,0x80000 - 11b30: 00e00113 li sp,14 - 11b34: 0020df33 srl t5,ra,sp - 11b38: 00020eb7 lui t4,0x20 - 11b3c: 2d100193 li gp,721 - 11b40: 01df0463 beq t5,t4,11b48 - 11b44: 2a00106f j 12de4 - -00011b48 : - 11b48: 800000b7 lui ra,0x80000 - 11b4c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11b50: 01f00113 li sp,31 - 11b54: 0020df33 srl t5,ra,sp - 11b58: 00100e93 li t4,1 - 11b5c: 2d200193 li gp,722 - 11b60: 01df0463 beq t5,t4,11b68 - 11b64: 2800106f j 12de4 - -00011b68 : - 11b68: fff00093 li ra,-1 - 11b6c: 00000113 li sp,0 - 11b70: 0020df33 srl t5,ra,sp - 11b74: fff00e93 li t4,-1 - 11b78: 2d300193 li gp,723 - 11b7c: 01df0463 beq t5,t4,11b84 - 11b80: 2640106f j 12de4 - -00011b84 : - 11b84: fff00093 li ra,-1 - 11b88: 00100113 li sp,1 - 11b8c: 0020df33 srl t5,ra,sp - 11b90: 80000eb7 lui t4,0x80000 - 11b94: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11b98: 2d400193 li gp,724 - 11b9c: 01df0463 beq t5,t4,11ba4 - 11ba0: 2440106f j 12de4 - -00011ba4 : - 11ba4: fff00093 li ra,-1 - 11ba8: 00700113 li sp,7 - 11bac: 0020df33 srl t5,ra,sp - 11bb0: 02000eb7 lui t4,0x2000 - 11bb4: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> - 11bb8: 2d500193 li gp,725 - 11bbc: 01df0463 beq t5,t4,11bc4 - 11bc0: 2240106f j 12de4 - -00011bc4 : - 11bc4: fff00093 li ra,-1 - 11bc8: 00e00113 li sp,14 - 11bcc: 0020df33 srl t5,ra,sp - 11bd0: 00040eb7 lui t4,0x40 - 11bd4: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> - 11bd8: 2d600193 li gp,726 - 11bdc: 01df0463 beq t5,t4,11be4 - 11be0: 2040106f j 12de4 - -00011be4 : - 11be4: fff00093 li ra,-1 - 11be8: 01f00113 li sp,31 - 11bec: 0020df33 srl t5,ra,sp - 11bf0: 00100e93 li t4,1 - 11bf4: 2d700193 li gp,727 - 11bf8: 01df0463 beq t5,t4,11c00 - 11bfc: 1e80106f j 12de4 - -00011c00 : - 11c00: 212120b7 lui ra,0x21212 - 11c04: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c08: 00000113 li sp,0 - 11c0c: 0020df33 srl t5,ra,sp - 11c10: 21212eb7 lui t4,0x21212 - 11c14: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> - 11c18: 2d800193 li gp,728 - 11c1c: 01df0463 beq t5,t4,11c24 - 11c20: 1c40106f j 12de4 - -00011c24 : - 11c24: 212120b7 lui ra,0x21212 - 11c28: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c2c: 00100113 li sp,1 - 11c30: 0020df33 srl t5,ra,sp - 11c34: 10909eb7 lui t4,0x10909 - 11c38: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> - 11c3c: 2d900193 li gp,729 - 11c40: 01df0463 beq t5,t4,11c48 - 11c44: 1a00106f j 12de4 - -00011c48 : - 11c48: 212120b7 lui ra,0x21212 - 11c4c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c50: 00700113 li sp,7 - 11c54: 0020df33 srl t5,ra,sp - 11c58: 00424eb7 lui t4,0x424 - 11c5c: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> - 11c60: 2da00193 li gp,730 - 11c64: 01df0463 beq t5,t4,11c6c - 11c68: 17c0106f j 12de4 - -00011c6c : - 11c6c: 212120b7 lui ra,0x21212 - 11c70: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c74: 00e00113 li sp,14 - 11c78: 0020df33 srl t5,ra,sp - 11c7c: 00008eb7 lui t4,0x8 - 11c80: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> - 11c84: 2db00193 li gp,731 - 11c88: 01df0463 beq t5,t4,11c90 - 11c8c: 1580106f j 12de4 - -00011c90 : - 11c90: 212120b7 lui ra,0x21212 - 11c94: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c98: 01f00113 li sp,31 - 11c9c: 0020df33 srl t5,ra,sp - 11ca0: 00000e93 li t4,0 - 11ca4: 2dc00193 li gp,732 - 11ca8: 01df0463 beq t5,t4,11cb0 - 11cac: 1380106f j 12de4 - -00011cb0 : - 11cb0: 800000b7 lui ra,0x80000 - 11cb4: 0070d093 srli ra,ra,0x7 - 11cb8: 01000eb7 lui t4,0x1000 - 11cbc: 2dd00193 li gp,733 - 11cc0: 01d08463 beq ra,t4,11cc8 - 11cc4: 1200106f j 12de4 - -00011cc8 : - 11cc8: 00000213 li tp,0 - 11ccc: 800000b7 lui ra,0x80000 - 11cd0: 0070df13 srli t5,ra,0x7 - 11cd4: 000f0313 mv t1,t5 - 11cd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11cdc: 00200293 li t0,2 - 11ce0: fe5216e3 bne tp,t0,11ccc - 11ce4: 01000eb7 lui t4,0x1000 - 11ce8: 2de00193 li gp,734 - 11cec: 01d30463 beq t1,t4,11cf4 - 11cf0: 0f40106f j 12de4 - -00011cf4 : - 11cf4: 00000213 li tp,0 - 11cf8: 800000b7 lui ra,0x80000 - 11cfc: 00e0df13 srli t5,ra,0xe - 11d00: 00000013 nop - 11d04: 000f0313 mv t1,t5 - 11d08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d0c: 00200293 li t0,2 - 11d10: fe5214e3 bne tp,t0,11cf8 - 11d14: 00020eb7 lui t4,0x20 - 11d18: 2df00193 li gp,735 - 11d1c: 01d30463 beq t1,t4,11d24 - 11d20: 0c40106f j 12de4 - -00011d24 : - 11d24: 00000213 li tp,0 - 11d28: 800000b7 lui ra,0x80000 - 11d2c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11d30: 01f0df13 srli t5,ra,0x1f - 11d34: 00000013 nop - 11d38: 00000013 nop - 11d3c: 000f0313 mv t1,t5 - 11d40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d44: 00200293 li t0,2 - 11d48: fe5210e3 bne tp,t0,11d28 - 11d4c: 00100e93 li t4,1 - 11d50: 2e000193 li gp,736 - 11d54: 01d30463 beq t1,t4,11d5c - 11d58: 08c0106f j 12de4 - -00011d5c : - 11d5c: 00000213 li tp,0 - 11d60: 800000b7 lui ra,0x80000 - 11d64: 0070df13 srli t5,ra,0x7 - 11d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d6c: 00200293 li t0,2 - 11d70: fe5218e3 bne tp,t0,11d60 - 11d74: 01000eb7 lui t4,0x1000 - 11d78: 2e100193 li gp,737 - 11d7c: 01df0463 beq t5,t4,11d84 - 11d80: 0640106f j 12de4 - -00011d84 : - 11d84: 00000213 li tp,0 - 11d88: 800000b7 lui ra,0x80000 - 11d8c: 00000013 nop - 11d90: 00e0df13 srli t5,ra,0xe - 11d94: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d98: 00200293 li t0,2 - 11d9c: fe5216e3 bne tp,t0,11d88 - 11da0: 00020eb7 lui t4,0x20 - 11da4: 2e200193 li gp,738 - 11da8: 01df0463 beq t5,t4,11db0 - 11dac: 0380106f j 12de4 - -00011db0 : - 11db0: 00000213 li tp,0 - 11db4: 800000b7 lui ra,0x80000 - 11db8: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11dbc: 00000013 nop - 11dc0: 00000013 nop - 11dc4: 01f0df13 srli t5,ra,0x1f - 11dc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11dcc: 00200293 li t0,2 - 11dd0: fe5212e3 bne tp,t0,11db4 - 11dd4: 00100e93 li t4,1 - 11dd8: 2e300193 li gp,739 - 11ddc: 01df0463 beq t5,t4,11de4 - 11de0: 0040106f j 12de4 - -00011de4 : - 11de4: 00405093 srli ra,zero,0x4 - 11de8: 00000e93 li t4,0 - 11dec: 2e400193 li gp,740 - 11df0: 7fd09ae3 bne ra,t4,12de4 - -00011df4 : - 11df4: 02100093 li ra,33 - 11df8: 00a0d013 srli zero,ra,0xa - 11dfc: 00000e93 li t4,0 - 11e00: 2e500193 li gp,741 - 11e04: 7fd010e3 bne zero,t4,12de4 - -00011e08 : - 11e08: 00000093 li ra,0 - 11e0c: 00000113 li sp,0 - 11e10: 40208f33 sub t5,ra,sp - 11e14: 00000e93 li t4,0 - 11e18: 2e600193 li gp,742 - 11e1c: 7ddf14e3 bne t5,t4,12de4 - -00011e20 : - 11e20: 00100093 li ra,1 - 11e24: 00100113 li sp,1 - 11e28: 40208f33 sub t5,ra,sp - 11e2c: 00000e93 li t4,0 - 11e30: 2e700193 li gp,743 - 11e34: 7bdf18e3 bne t5,t4,12de4 - -00011e38 : - 11e38: 00300093 li ra,3 - 11e3c: 00700113 li sp,7 - 11e40: 40208f33 sub t5,ra,sp - 11e44: ffc00e93 li t4,-4 - 11e48: 2e800193 li gp,744 - 11e4c: 79df1ce3 bne t5,t4,12de4 - -00011e50 : - 11e50: 00000093 li ra,0 - 11e54: ffff8137 lui sp,0xffff8 - 11e58: 40208f33 sub t5,ra,sp - 11e5c: 00008eb7 lui t4,0x8 - 11e60: 2e900193 li gp,745 - 11e64: 79df10e3 bne t5,t4,12de4 - -00011e68 : - 11e68: 800000b7 lui ra,0x80000 - 11e6c: 00000113 li sp,0 - 11e70: 40208f33 sub t5,ra,sp - 11e74: 80000eb7 lui t4,0x80000 - 11e78: 2ea00193 li gp,746 - 11e7c: 77df14e3 bne t5,t4,12de4 - -00011e80 : - 11e80: 800000b7 lui ra,0x80000 - 11e84: ffff8137 lui sp,0xffff8 - 11e88: 40208f33 sub t5,ra,sp - 11e8c: 80008eb7 lui t4,0x80008 - 11e90: 2eb00193 li gp,747 - 11e94: 75df18e3 bne t5,t4,12de4 - -00011e98 : - 11e98: 00000093 li ra,0 - 11e9c: 00008137 lui sp,0x8 - 11ea0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 11ea4: 40208f33 sub t5,ra,sp - 11ea8: ffff8eb7 lui t4,0xffff8 - 11eac: 001e8e93 addi t4,t4,1 # ffff8001 <__global_pointer$+0xfffe38f9> - 11eb0: 2ec00193 li gp,748 - 11eb4: 73df18e3 bne t5,t4,12de4 - -00011eb8 : - 11eb8: 800000b7 lui ra,0x80000 - 11ebc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11ec0: 00000113 li sp,0 - 11ec4: 40208f33 sub t5,ra,sp - 11ec8: 80000eb7 lui t4,0x80000 - 11ecc: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11ed0: 2ed00193 li gp,749 - 11ed4: 71df18e3 bne t5,t4,12de4 - -00011ed8 : - 11ed8: 800000b7 lui ra,0x80000 - 11edc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11ee0: 00008137 lui sp,0x8 - 11ee4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 11ee8: 40208f33 sub t5,ra,sp - 11eec: 7fff8eb7 lui t4,0x7fff8 - 11ef0: 2ee00193 li gp,750 - 11ef4: 6fdf18e3 bne t5,t4,12de4 - -00011ef8 : - 11ef8: 800000b7 lui ra,0x80000 - 11efc: 00008137 lui sp,0x8 - 11f00: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 11f04: 40208f33 sub t5,ra,sp - 11f08: 7fff8eb7 lui t4,0x7fff8 - 11f0c: 001e8e93 addi t4,t4,1 # 7fff8001 <__global_pointer$+0x7ffe38f9> - 11f10: 2ef00193 li gp,751 - 11f14: 6ddf18e3 bne t5,t4,12de4 - -00011f18 : - 11f18: 800000b7 lui ra,0x80000 - 11f1c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11f20: ffff8137 lui sp,0xffff8 - 11f24: 40208f33 sub t5,ra,sp - 11f28: 80008eb7 lui t4,0x80008 - 11f2c: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff38f7> - 11f30: 2f000193 li gp,752 - 11f34: 6bdf18e3 bne t5,t4,12de4 - -00011f38 : - 11f38: 00000093 li ra,0 - 11f3c: fff00113 li sp,-1 - 11f40: 40208f33 sub t5,ra,sp - 11f44: 00100e93 li t4,1 - 11f48: 2f100193 li gp,753 - 11f4c: 69df1ce3 bne t5,t4,12de4 - -00011f50 : - 11f50: fff00093 li ra,-1 - 11f54: 00100113 li sp,1 - 11f58: 40208f33 sub t5,ra,sp - 11f5c: ffe00e93 li t4,-2 - 11f60: 2f200193 li gp,754 - 11f64: 69df10e3 bne t5,t4,12de4 - -00011f68 : - 11f68: fff00093 li ra,-1 - 11f6c: fff00113 li sp,-1 - 11f70: 40208f33 sub t5,ra,sp - 11f74: 00000e93 li t4,0 - 11f78: 2f300193 li gp,755 - 11f7c: 67df14e3 bne t5,t4,12de4 - -00011f80 : - 11f80: 00d00093 li ra,13 - 11f84: 00b00113 li sp,11 - 11f88: 402080b3 sub ra,ra,sp - 11f8c: 00200e93 li t4,2 - 11f90: 2f400193 li gp,756 - 11f94: 65d098e3 bne ra,t4,12de4 - -00011f98 : - 11f98: 00e00093 li ra,14 - 11f9c: 00b00113 li sp,11 - 11fa0: 40208133 sub sp,ra,sp - 11fa4: 00300e93 li t4,3 - 11fa8: 2f500193 li gp,757 - 11fac: 63d11ce3 bne sp,t4,12de4 - -00011fb0 : - 11fb0: 00d00093 li ra,13 - 11fb4: 401080b3 sub ra,ra,ra - 11fb8: 00000e93 li t4,0 - 11fbc: 2f600193 li gp,758 - 11fc0: 63d092e3 bne ra,t4,12de4 - -00011fc4 : - 11fc4: 00000213 li tp,0 - 11fc8: 00d00093 li ra,13 - 11fcc: 00b00113 li sp,11 - 11fd0: 40208f33 sub t5,ra,sp - 11fd4: 000f0313 mv t1,t5 - 11fd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11fdc: 00200293 li t0,2 - 11fe0: fe5214e3 bne tp,t0,11fc8 - 11fe4: 00200e93 li t4,2 - 11fe8: 2f700193 li gp,759 - 11fec: 5fd31ce3 bne t1,t4,12de4 - -00011ff0 : - 11ff0: 00000213 li tp,0 - 11ff4: 00e00093 li ra,14 - 11ff8: 00b00113 li sp,11 - 11ffc: 40208f33 sub t5,ra,sp - 12000: 00000013 nop - 12004: 000f0313 mv t1,t5 - 12008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1200c: 00200293 li t0,2 - 12010: fe5212e3 bne tp,t0,11ff4 - 12014: 00300e93 li t4,3 - 12018: 2f800193 li gp,760 - 1201c: 5dd314e3 bne t1,t4,12de4 - -00012020 : - 12020: 00000213 li tp,0 - 12024: 00f00093 li ra,15 - 12028: 00b00113 li sp,11 - 1202c: 40208f33 sub t5,ra,sp - 12030: 00000013 nop - 12034: 00000013 nop - 12038: 000f0313 mv t1,t5 - 1203c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12040: 00200293 li t0,2 - 12044: fe5210e3 bne tp,t0,12024 - 12048: 00400e93 li t4,4 - 1204c: 2f900193 li gp,761 - 12050: 59d31ae3 bne t1,t4,12de4 - -00012054 : - 12054: 00000213 li tp,0 - 12058: 00d00093 li ra,13 - 1205c: 00b00113 li sp,11 - 12060: 40208f33 sub t5,ra,sp - 12064: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12068: 00200293 li t0,2 - 1206c: fe5216e3 bne tp,t0,12058 - 12070: 00200e93 li t4,2 - 12074: 2fa00193 li gp,762 - 12078: 57df16e3 bne t5,t4,12de4 - -0001207c : - 1207c: 00000213 li tp,0 - 12080: 00e00093 li ra,14 - 12084: 00b00113 li sp,11 - 12088: 00000013 nop - 1208c: 40208f33 sub t5,ra,sp - 12090: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12094: 00200293 li t0,2 - 12098: fe5214e3 bne tp,t0,12080 - 1209c: 00300e93 li t4,3 - 120a0: 2fb00193 li gp,763 - 120a4: 55df10e3 bne t5,t4,12de4 - -000120a8 : - 120a8: 00000213 li tp,0 - 120ac: 00f00093 li ra,15 - 120b0: 00b00113 li sp,11 - 120b4: 00000013 nop - 120b8: 00000013 nop - 120bc: 40208f33 sub t5,ra,sp - 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120c4: 00200293 li t0,2 - 120c8: fe5212e3 bne tp,t0,120ac - 120cc: 00400e93 li t4,4 - 120d0: 2fc00193 li gp,764 - 120d4: 51df18e3 bne t5,t4,12de4 - -000120d8 : - 120d8: 00000213 li tp,0 - 120dc: 00d00093 li ra,13 - 120e0: 00000013 nop - 120e4: 00b00113 li sp,11 - 120e8: 40208f33 sub t5,ra,sp - 120ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120f0: 00200293 li t0,2 - 120f4: fe5214e3 bne tp,t0,120dc - 120f8: 00200e93 li t4,2 - 120fc: 2fd00193 li gp,765 - 12100: 4fdf12e3 bne t5,t4,12de4 - -00012104 : - 12104: 00000213 li tp,0 - 12108: 00e00093 li ra,14 - 1210c: 00000013 nop - 12110: 00b00113 li sp,11 - 12114: 00000013 nop - 12118: 40208f33 sub t5,ra,sp - 1211c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12120: 00200293 li t0,2 - 12124: fe5212e3 bne tp,t0,12108 - 12128: 00300e93 li t4,3 - 1212c: 2fe00193 li gp,766 - 12130: 4bdf1ae3 bne t5,t4,12de4 - -00012134 : - 12134: 00000213 li tp,0 - 12138: 00f00093 li ra,15 - 1213c: 00000013 nop - 12140: 00000013 nop - 12144: 00b00113 li sp,11 - 12148: 40208f33 sub t5,ra,sp - 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12150: 00200293 li t0,2 - 12154: fe5212e3 bne tp,t0,12138 - 12158: 00400e93 li t4,4 - 1215c: 2ff00193 li gp,767 - 12160: 49df12e3 bne t5,t4,12de4 - -00012164 : - 12164: 00000213 li tp,0 - 12168: 00b00113 li sp,11 - 1216c: 00d00093 li ra,13 - 12170: 40208f33 sub t5,ra,sp - 12174: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12178: 00200293 li t0,2 - 1217c: fe5216e3 bne tp,t0,12168 - 12180: 00200e93 li t4,2 - 12184: 30000193 li gp,768 - 12188: 45df1ee3 bne t5,t4,12de4 - -0001218c : - 1218c: 00000213 li tp,0 - 12190: 00b00113 li sp,11 - 12194: 00e00093 li ra,14 - 12198: 00000013 nop - 1219c: 40208f33 sub t5,ra,sp - 121a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121a4: 00200293 li t0,2 - 121a8: fe5214e3 bne tp,t0,12190 - 121ac: 00300e93 li t4,3 - 121b0: 30100193 li gp,769 - 121b4: 43df18e3 bne t5,t4,12de4 - -000121b8 : - 121b8: 00000213 li tp,0 - 121bc: 00b00113 li sp,11 - 121c0: 00f00093 li ra,15 - 121c4: 00000013 nop - 121c8: 00000013 nop - 121cc: 40208f33 sub t5,ra,sp - 121d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121d4: 00200293 li t0,2 - 121d8: fe5212e3 bne tp,t0,121bc - 121dc: 00400e93 li t4,4 - 121e0: 30200193 li gp,770 - 121e4: 41df10e3 bne t5,t4,12de4 - -000121e8 : - 121e8: 00000213 li tp,0 - 121ec: 00b00113 li sp,11 - 121f0: 00000013 nop - 121f4: 00d00093 li ra,13 - 121f8: 40208f33 sub t5,ra,sp - 121fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12200: 00200293 li t0,2 - 12204: fe5214e3 bne tp,t0,121ec - 12208: 00200e93 li t4,2 - 1220c: 30300193 li gp,771 - 12210: 3ddf1ae3 bne t5,t4,12de4 - -00012214 : - 12214: 00000213 li tp,0 - 12218: 00b00113 li sp,11 - 1221c: 00000013 nop - 12220: 00e00093 li ra,14 - 12224: 00000013 nop - 12228: 40208f33 sub t5,ra,sp - 1222c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12230: 00200293 li t0,2 - 12234: fe5212e3 bne tp,t0,12218 - 12238: 00300e93 li t4,3 - 1223c: 30400193 li gp,772 - 12240: 3bdf12e3 bne t5,t4,12de4 - -00012244 : - 12244: 00000213 li tp,0 - 12248: 00b00113 li sp,11 - 1224c: 00000013 nop - 12250: 00000013 nop - 12254: 00f00093 li ra,15 - 12258: 40208f33 sub t5,ra,sp - 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12260: 00200293 li t0,2 - 12264: fe5212e3 bne tp,t0,12248 - 12268: 00400e93 li t4,4 - 1226c: 30500193 li gp,773 - 12270: 37df1ae3 bne t5,t4,12de4 - -00012274 : - 12274: ff100093 li ra,-15 - 12278: 40100133 neg sp,ra - 1227c: 00f00e93 li t4,15 - 12280: 30600193 li gp,774 - 12284: 37d110e3 bne sp,t4,12de4 - -00012288 : - 12288: 02000093 li ra,32 - 1228c: 40008133 sub sp,ra,zero - 12290: 02000e93 li t4,32 - 12294: 30700193 li gp,775 - 12298: 35d116e3 bne sp,t4,12de4 - -0001229c : - 1229c: 400000b3 neg ra,zero - 122a0: 00000e93 li t4,0 - 122a4: 30800193 li gp,776 - 122a8: 33d09ee3 bne ra,t4,12de4 - -000122ac : - 122ac: 01000093 li ra,16 - 122b0: 01e00113 li sp,30 - 122b4: 40208033 sub zero,ra,sp - 122b8: 00000e93 li t4,0 - 122bc: 30900193 li gp,777 - 122c0: 33d012e3 bne zero,t4,12de4 - -000122c4 : - 122c4: 00002097 auipc ra,0x2 - 122c8: b8c08093 addi ra,ra,-1140 # 13e50 - 122cc: 00aa0137 lui sp,0xaa0 - 122d0: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> - 122d4: 0020a023 sw sp,0(ra) - 122d8: 0000af03 lw t5,0(ra) - 122dc: 00aa0eb7 lui t4,0xaa0 - 122e0: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> - 122e4: 30a00193 li gp,778 - 122e8: 2fdf1ee3 bne t5,t4,12de4 - -000122ec : - 122ec: 00002097 auipc ra,0x2 - 122f0: b6408093 addi ra,ra,-1180 # 13e50 - 122f4: aa00b137 lui sp,0xaa00b - 122f8: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 122fc: 0020a223 sw sp,4(ra) - 12300: 0040af03 lw t5,4(ra) - 12304: aa00beb7 lui t4,0xaa00b - 12308: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 1230c: 30b00193 li gp,779 - 12310: 2ddf1ae3 bne t5,t4,12de4 - -00012314 : - 12314: 00002097 auipc ra,0x2 - 12318: b3c08093 addi ra,ra,-1220 # 13e50 - 1231c: 0aa01137 lui sp,0xaa01 - 12320: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 12324: 0020a423 sw sp,8(ra) - 12328: 0080af03 lw t5,8(ra) - 1232c: 0aa01eb7 lui t4,0xaa01 - 12330: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 12334: 30c00193 li gp,780 - 12338: 2bdf16e3 bne t5,t4,12de4 - -0001233c : - 1233c: 00002097 auipc ra,0x2 - 12340: b1408093 addi ra,ra,-1260 # 13e50 - 12344: a00aa137 lui sp,0xa00aa - 12348: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> - 1234c: 0020a623 sw sp,12(ra) - 12350: 00c0af03 lw t5,12(ra) - 12354: a00aaeb7 lui t4,0xa00aa - 12358: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> - 1235c: 30d00193 li gp,781 - 12360: 29df12e3 bne t5,t4,12de4 - -00012364 : - 12364: 00002097 auipc ra,0x2 - 12368: b0808093 addi ra,ra,-1272 # 13e6c - 1236c: 00aa0137 lui sp,0xaa0 - 12370: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> - 12374: fe20aa23 sw sp,-12(ra) - 12378: ff40af03 lw t5,-12(ra) - 1237c: 00aa0eb7 lui t4,0xaa0 - 12380: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> - 12384: 30e00193 li gp,782 - 12388: 25df1ee3 bne t5,t4,12de4 - -0001238c : - 1238c: 00002097 auipc ra,0x2 - 12390: ae008093 addi ra,ra,-1312 # 13e6c - 12394: aa00b137 lui sp,0xaa00b - 12398: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 1239c: fe20ac23 sw sp,-8(ra) - 123a0: ff80af03 lw t5,-8(ra) - 123a4: aa00beb7 lui t4,0xaa00b - 123a8: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 123ac: 30f00193 li gp,783 - 123b0: 23df1ae3 bne t5,t4,12de4 - -000123b4 : - 123b4: 00002097 auipc ra,0x2 - 123b8: ab808093 addi ra,ra,-1352 # 13e6c - 123bc: 0aa01137 lui sp,0xaa01 - 123c0: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 123c4: fe20ae23 sw sp,-4(ra) - 123c8: ffc0af03 lw t5,-4(ra) - 123cc: 0aa01eb7 lui t4,0xaa01 - 123d0: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 123d4: 31000193 li gp,784 - 123d8: 21df16e3 bne t5,t4,12de4 - -000123dc : - 123dc: 00002097 auipc ra,0x2 - 123e0: a9008093 addi ra,ra,-1392 # 13e6c - 123e4: a00aa137 lui sp,0xa00aa - 123e8: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> - 123ec: 0020a023 sw sp,0(ra) - 123f0: 0000af03 lw t5,0(ra) - 123f4: a00aaeb7 lui t4,0xa00aa - 123f8: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> - 123fc: 31100193 li gp,785 - 12400: 1fdf12e3 bne t5,t4,12de4 - -00012404 : - 12404: 00002097 auipc ra,0x2 - 12408: a6c08093 addi ra,ra,-1428 # 13e70 - 1240c: 12345137 lui sp,0x12345 - 12410: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12330f70> - 12414: fe008213 addi tp,ra,-32 - 12418: 02222023 sw sp,32(tp) # 20 <_start-0x10060> - 1241c: 0000a283 lw t0,0(ra) - 12420: 12345eb7 lui t4,0x12345 - 12424: 678e8e93 addi t4,t4,1656 # 12345678 <__global_pointer$+0x12330f70> - 12428: 31200193 li gp,786 - 1242c: 1bd29ce3 bne t0,t4,12de4 - -00012430 : - 12430: 00002097 auipc ra,0x2 - 12434: a4008093 addi ra,ra,-1472 # 13e70 - 12438: 58213137 lui sp,0x58213 - 1243c: 09810113 addi sp,sp,152 # 58213098 <__global_pointer$+0x581fe990> - 12440: ffd08093 addi ra,ra,-3 - 12444: 0020a3a3 sw sp,7(ra) - 12448: 00002217 auipc tp,0x2 - 1244c: a2c20213 addi tp,tp,-1492 # 13e74 - 12450: 00022283 lw t0,0(tp) # 0 <_start-0x10080> - 12454: 58213eb7 lui t4,0x58213 - 12458: 098e8e93 addi t4,t4,152 # 58213098 <__global_pointer$+0x581fe990> - 1245c: 31300193 li gp,787 - 12460: 19d292e3 bne t0,t4,12de4 - -00012464 : - 12464: 31400193 li gp,788 - 12468: 00000213 li tp,0 - 1246c: aabbd0b7 lui ra,0xaabbd - 12470: cdd08093 addi ra,ra,-803 # aabbccdd <__global_pointer$+0xaaba85d5> - 12474: 00002117 auipc sp,0x2 - 12478: 9dc10113 addi sp,sp,-1572 # 13e50 - 1247c: 00112023 sw ra,0(sp) - 12480: 00012f03 lw t5,0(sp) - 12484: aabbdeb7 lui t4,0xaabbd - 12488: cdde8e93 addi t4,t4,-803 # aabbccdd <__global_pointer$+0xaaba85d5> - 1248c: 15df1ce3 bne t5,t4,12de4 - 12490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12494: 00200293 li t0,2 - 12498: fc521ae3 bne tp,t0,1246c - -0001249c : - 1249c: 31500193 li gp,789 - 124a0: 00000213 li tp,0 - 124a4: daabc0b7 lui ra,0xdaabc - 124a8: ccd08093 addi ra,ra,-819 # daabbccd <__global_pointer$+0xdaaa75c5> - 124ac: 00002117 auipc sp,0x2 - 124b0: 9a410113 addi sp,sp,-1628 # 13e50 - 124b4: 00000013 nop - 124b8: 00112223 sw ra,4(sp) - 124bc: 00412f03 lw t5,4(sp) - 124c0: daabceb7 lui t4,0xdaabc - 124c4: ccde8e93 addi t4,t4,-819 # daabbccd <__global_pointer$+0xdaaa75c5> - 124c8: 11df1ee3 bne t5,t4,12de4 - 124cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 124d0: 00200293 li t0,2 - 124d4: fc5218e3 bne tp,t0,124a4 - -000124d8 : - 124d8: 31600193 li gp,790 - 124dc: 00000213 li tp,0 - 124e0: ddaac0b7 lui ra,0xddaac - 124e4: bcc08093 addi ra,ra,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> - 124e8: 00002117 auipc sp,0x2 - 124ec: 96810113 addi sp,sp,-1688 # 13e50 - 124f0: 00000013 nop - 124f4: 00000013 nop - 124f8: 00112423 sw ra,8(sp) - 124fc: 00812f03 lw t5,8(sp) - 12500: ddaaceb7 lui t4,0xddaac - 12504: bcce8e93 addi t4,t4,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> - 12508: 0ddf1ee3 bne t5,t4,12de4 - 1250c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12510: 00200293 li t0,2 - 12514: fc5216e3 bne tp,t0,124e0 - -00012518 : - 12518: 31700193 li gp,791 - 1251c: 00000213 li tp,0 - 12520: cddab0b7 lui ra,0xcddab - 12524: bbc08093 addi ra,ra,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> - 12528: 00000013 nop - 1252c: 00002117 auipc sp,0x2 - 12530: 92410113 addi sp,sp,-1756 # 13e50 - 12534: 00112623 sw ra,12(sp) - 12538: 00c12f03 lw t5,12(sp) - 1253c: cddabeb7 lui t4,0xcddab - 12540: bbce8e93 addi t4,t4,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> - 12544: 0bdf10e3 bne t5,t4,12de4 - 12548: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1254c: 00200293 li t0,2 - 12550: fc5218e3 bne tp,t0,12520 - -00012554 : - 12554: 31800193 li gp,792 - 12558: 00000213 li tp,0 - 1255c: ccddb0b7 lui ra,0xccddb - 12560: abb08093 addi ra,ra,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> - 12564: 00000013 nop - 12568: 00002117 auipc sp,0x2 - 1256c: 8e810113 addi sp,sp,-1816 # 13e50 - 12570: 00000013 nop - 12574: 00112823 sw ra,16(sp) - 12578: 01012f03 lw t5,16(sp) - 1257c: ccddbeb7 lui t4,0xccddb - 12580: abbe8e93 addi t4,t4,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> - 12584: 07df10e3 bne t5,t4,12de4 - 12588: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1258c: 00200293 li t0,2 - 12590: fc5216e3 bne tp,t0,1255c - -00012594 : - 12594: 31900193 li gp,793 - 12598: 00000213 li tp,0 - 1259c: bccde0b7 lui ra,0xbccde - 125a0: aab08093 addi ra,ra,-1365 # bccddaab <__global_pointer$+0xbccc93a3> - 125a4: 00000013 nop - 125a8: 00000013 nop - 125ac: 00002117 auipc sp,0x2 - 125b0: 8a410113 addi sp,sp,-1884 # 13e50 - 125b4: 00112a23 sw ra,20(sp) - 125b8: 01412f03 lw t5,20(sp) - 125bc: bccdeeb7 lui t4,0xbccde - 125c0: aabe8e93 addi t4,t4,-1365 # bccddaab <__global_pointer$+0xbccc93a3> - 125c4: 03df10e3 bne t5,t4,12de4 - 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125cc: 00200293 li t0,2 - 125d0: fc5216e3 bne tp,t0,1259c - -000125d4 : - 125d4: 31a00193 li gp,794 - 125d8: 00000213 li tp,0 - 125dc: 00002117 auipc sp,0x2 - 125e0: 87410113 addi sp,sp,-1932 # 13e50 - 125e4: 001120b7 lui ra,0x112 - 125e8: 23308093 addi ra,ra,563 # 112233 <__global_pointer$+0xfdb2b> - 125ec: 00112023 sw ra,0(sp) - 125f0: 00012f03 lw t5,0(sp) - 125f4: 00112eb7 lui t4,0x112 - 125f8: 233e8e93 addi t4,t4,563 # 112233 <__global_pointer$+0xfdb2b> - 125fc: 7fdf1463 bne t5,t4,12de4 - 12600: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12604: 00200293 li t0,2 - 12608: fc521ae3 bne tp,t0,125dc - -0001260c : - 1260c: 31b00193 li gp,795 - 12610: 00000213 li tp,0 - 12614: 00002117 auipc sp,0x2 - 12618: 83c10113 addi sp,sp,-1988 # 13e50 - 1261c: 300110b7 lui ra,0x30011 - 12620: 22308093 addi ra,ra,547 # 30011223 <__global_pointer$+0x2fffcb1b> - 12624: 00000013 nop - 12628: 00112223 sw ra,4(sp) - 1262c: 00412f03 lw t5,4(sp) - 12630: 30011eb7 lui t4,0x30011 - 12634: 223e8e93 addi t4,t4,547 # 30011223 <__global_pointer$+0x2fffcb1b> - 12638: 7bdf1663 bne t5,t4,12de4 - 1263c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12640: 00200293 li t0,2 - 12644: fc5218e3 bne tp,t0,12614 - -00012648 : - 12648: 31c00193 li gp,796 - 1264c: 00000213 li tp,0 - 12650: 00002117 auipc sp,0x2 - 12654: 80010113 addi sp,sp,-2048 # 13e50 - 12658: 330010b7 lui ra,0x33001 - 1265c: 12208093 addi ra,ra,290 # 33001122 <__global_pointer$+0x32feca1a> - 12660: 00000013 nop - 12664: 00000013 nop - 12668: 00112423 sw ra,8(sp) - 1266c: 00812f03 lw t5,8(sp) - 12670: 33001eb7 lui t4,0x33001 - 12674: 122e8e93 addi t4,t4,290 # 33001122 <__global_pointer$+0x32feca1a> - 12678: 77df1663 bne t5,t4,12de4 - 1267c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12680: 00200293 li t0,2 - 12684: fc5216e3 bne tp,t0,12650 - -00012688 : - 12688: 31d00193 li gp,797 - 1268c: 00000213 li tp,0 - 12690: 00001117 auipc sp,0x1 - 12694: 7c010113 addi sp,sp,1984 # 13e50 - 12698: 00000013 nop - 1269c: 233000b7 lui ra,0x23300 - 126a0: 11208093 addi ra,ra,274 # 23300112 <__global_pointer$+0x232eba0a> - 126a4: 00112623 sw ra,12(sp) - 126a8: 00c12f03 lw t5,12(sp) - 126ac: 23300eb7 lui t4,0x23300 - 126b0: 112e8e93 addi t4,t4,274 # 23300112 <__global_pointer$+0x232eba0a> - 126b4: 73df1863 bne t5,t4,12de4 - 126b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 126bc: 00200293 li t0,2 - 126c0: fc5218e3 bne tp,t0,12690 - -000126c4 : - 126c4: 31e00193 li gp,798 - 126c8: 00000213 li tp,0 - 126cc: 00001117 auipc sp,0x1 - 126d0: 78410113 addi sp,sp,1924 # 13e50 - 126d4: 00000013 nop - 126d8: 223300b7 lui ra,0x22330 - 126dc: 01108093 addi ra,ra,17 # 22330011 <__global_pointer$+0x2231b909> - 126e0: 00000013 nop - 126e4: 00112823 sw ra,16(sp) - 126e8: 01012f03 lw t5,16(sp) - 126ec: 22330eb7 lui t4,0x22330 - 126f0: 011e8e93 addi t4,t4,17 # 22330011 <__global_pointer$+0x2231b909> - 126f4: 6fdf1863 bne t5,t4,12de4 - 126f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 126fc: 00200293 li t0,2 - 12700: fc5216e3 bne tp,t0,126cc - -00012704 : - 12704: 31f00193 li gp,799 - 12708: 00000213 li tp,0 - 1270c: 00001117 auipc sp,0x1 - 12710: 74410113 addi sp,sp,1860 # 13e50 - 12714: 00000013 nop - 12718: 00000013 nop - 1271c: 122330b7 lui ra,0x12233 - 12720: 00108093 addi ra,ra,1 # 12233001 <__global_pointer$+0x1221e8f9> - 12724: 00112a23 sw ra,20(sp) - 12728: 01412f03 lw t5,20(sp) - 1272c: 12233eb7 lui t4,0x12233 - 12730: 001e8e93 addi t4,t4,1 # 12233001 <__global_pointer$+0x1221e8f9> - 12734: 6bdf1863 bne t5,t4,12de4 - 12738: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1273c: 00200293 li t0,2 - 12740: fc5216e3 bne tp,t0,1270c - -00012744 : - 12744: ff0100b7 lui ra,0xff010 - 12748: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 1274c: 0f0f1137 lui sp,0xf0f1 - 12750: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12754: 0020cf33 xor t5,ra,sp - 12758: f00ffeb7 lui t4,0xf00ff - 1275c: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12760: 32000193 li gp,800 - 12764: 69df1063 bne t5,t4,12de4 - -00012768 : - 12768: 0ff010b7 lui ra,0xff01 - 1276c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12770: f0f0f137 lui sp,0xf0f0f - 12774: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12778: 0020cf33 xor t5,ra,sp - 1277c: ff010eb7 lui t4,0xff010 - 12780: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12784: 32100193 li gp,801 - 12788: 65df1e63 bne t5,t4,12de4 - -0001278c : - 1278c: 00ff00b7 lui ra,0xff0 - 12790: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12794: 0f0f1137 lui sp,0xf0f1 - 12798: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 1279c: 0020cf33 xor t5,ra,sp - 127a0: 0ff01eb7 lui t4,0xff01 - 127a4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 127a8: 32200193 li gp,802 - 127ac: 63df1c63 bne t5,t4,12de4 - -000127b0 : - 127b0: f00ff0b7 lui ra,0xf00ff - 127b4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 127b8: f0f0f137 lui sp,0xf0f0f - 127bc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 127c0: 0020cf33 xor t5,ra,sp - 127c4: 00ff0eb7 lui t4,0xff0 - 127c8: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> - 127cc: 32300193 li gp,803 - 127d0: 61df1a63 bne t5,t4,12de4 - -000127d4 : - 127d4: ff0100b7 lui ra,0xff010 - 127d8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 127dc: 0f0f1137 lui sp,0xf0f1 - 127e0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 127e4: 0020c0b3 xor ra,ra,sp - 127e8: f00ffeb7 lui t4,0xf00ff - 127ec: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 127f0: 32400193 li gp,804 - 127f4: 5fd09863 bne ra,t4,12de4 - -000127f8 : - 127f8: ff0100b7 lui ra,0xff010 - 127fc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12800: 0f0f1137 lui sp,0xf0f1 - 12804: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12808: 0020c133 xor sp,ra,sp - 1280c: f00ffeb7 lui t4,0xf00ff - 12810: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12814: 32500193 li gp,805 - 12818: 5dd11663 bne sp,t4,12de4 - -0001281c : - 1281c: ff0100b7 lui ra,0xff010 - 12820: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12824: 0010c0b3 xor ra,ra,ra - 12828: 00000e93 li t4,0 - 1282c: 32600193 li gp,806 - 12830: 5bd09a63 bne ra,t4,12de4 - -00012834 : - 12834: 00000213 li tp,0 - 12838: ff0100b7 lui ra,0xff010 - 1283c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12840: 0f0f1137 lui sp,0xf0f1 - 12844: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12848: 0020cf33 xor t5,ra,sp - 1284c: 000f0313 mv t1,t5 - 12850: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12854: 00200293 li t0,2 - 12858: fe5210e3 bne tp,t0,12838 - 1285c: f00ffeb7 lui t4,0xf00ff - 12860: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12864: 32700193 li gp,807 - 12868: 57d31e63 bne t1,t4,12de4 - -0001286c : - 1286c: 00000213 li tp,0 - 12870: 0ff010b7 lui ra,0xff01 - 12874: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12878: f0f0f137 lui sp,0xf0f0f - 1287c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12880: 0020cf33 xor t5,ra,sp - 12884: 00000013 nop - 12888: 000f0313 mv t1,t5 - 1288c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12890: 00200293 li t0,2 - 12894: fc521ee3 bne tp,t0,12870 - 12898: ff010eb7 lui t4,0xff010 - 1289c: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 128a0: 32800193 li gp,808 - 128a4: 55d31063 bne t1,t4,12de4 - -000128a8 : - 128a8: 00000213 li tp,0 - 128ac: 00ff00b7 lui ra,0xff0 - 128b0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 128b4: 0f0f1137 lui sp,0xf0f1 - 128b8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 128bc: 0020cf33 xor t5,ra,sp - 128c0: 00000013 nop - 128c4: 00000013 nop - 128c8: 000f0313 mv t1,t5 - 128cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 128d0: 00200293 li t0,2 - 128d4: fc521ce3 bne tp,t0,128ac - 128d8: 0ff01eb7 lui t4,0xff01 - 128dc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 128e0: 32900193 li gp,809 - 128e4: 51d31063 bne t1,t4,12de4 - -000128e8 : - 128e8: 00000213 li tp,0 - 128ec: ff0100b7 lui ra,0xff010 - 128f0: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 128f4: 0f0f1137 lui sp,0xf0f1 - 128f8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 128fc: 0020cf33 xor t5,ra,sp - 12900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12904: 00200293 li t0,2 - 12908: fe5212e3 bne tp,t0,128ec - 1290c: f00ffeb7 lui t4,0xf00ff - 12910: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12914: 32a00193 li gp,810 - 12918: 4ddf1663 bne t5,t4,12de4 - -0001291c : - 1291c: 00000213 li tp,0 - 12920: 0ff010b7 lui ra,0xff01 - 12924: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12928: f0f0f137 lui sp,0xf0f0f - 1292c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12930: 00000013 nop - 12934: 0020cf33 xor t5,ra,sp - 12938: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1293c: 00200293 li t0,2 - 12940: fe5210e3 bne tp,t0,12920 - 12944: ff010eb7 lui t4,0xff010 - 12948: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 1294c: 32b00193 li gp,811 - 12950: 49df1a63 bne t5,t4,12de4 - -00012954 : - 12954: 00000213 li tp,0 - 12958: 00ff00b7 lui ra,0xff0 - 1295c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12960: 0f0f1137 lui sp,0xf0f1 - 12964: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12968: 00000013 nop - 1296c: 00000013 nop - 12970: 0020cf33 xor t5,ra,sp - 12974: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12978: 00200293 li t0,2 - 1297c: fc521ee3 bne tp,t0,12958 - 12980: 0ff01eb7 lui t4,0xff01 - 12984: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12988: 32c00193 li gp,812 - 1298c: 45df1c63 bne t5,t4,12de4 - -00012990 : - 12990: 00000213 li tp,0 - 12994: ff0100b7 lui ra,0xff010 - 12998: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 1299c: 00000013 nop - 129a0: 0f0f1137 lui sp,0xf0f1 - 129a4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 129a8: 0020cf33 xor t5,ra,sp - 129ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129b0: 00200293 li t0,2 - 129b4: fe5210e3 bne tp,t0,12994 - 129b8: f00ffeb7 lui t4,0xf00ff - 129bc: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 129c0: 32d00193 li gp,813 - 129c4: 43df1063 bne t5,t4,12de4 - -000129c8 : - 129c8: 00000213 li tp,0 - 129cc: 0ff010b7 lui ra,0xff01 - 129d0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 129d4: 00000013 nop - 129d8: f0f0f137 lui sp,0xf0f0f - 129dc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 129e0: 00000013 nop - 129e4: 0020cf33 xor t5,ra,sp - 129e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129ec: 00200293 li t0,2 - 129f0: fc521ee3 bne tp,t0,129cc - 129f4: ff010eb7 lui t4,0xff010 - 129f8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 129fc: 32e00193 li gp,814 - 12a00: 3fdf1263 bne t5,t4,12de4 - -00012a04 : - 12a04: 00000213 li tp,0 - 12a08: 00ff00b7 lui ra,0xff0 - 12a0c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12a10: 00000013 nop - 12a14: 00000013 nop - 12a18: 0f0f1137 lui sp,0xf0f1 - 12a1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12a20: 0020cf33 xor t5,ra,sp - 12a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a28: 00200293 li t0,2 - 12a2c: fc521ee3 bne tp,t0,12a08 - 12a30: 0ff01eb7 lui t4,0xff01 - 12a34: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12a38: 32f00193 li gp,815 - 12a3c: 3bdf1463 bne t5,t4,12de4 - -00012a40 : - 12a40: 00000213 li tp,0 - 12a44: 0f0f1137 lui sp,0xf0f1 - 12a48: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12a4c: ff0100b7 lui ra,0xff010 - 12a50: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12a54: 0020cf33 xor t5,ra,sp - 12a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a5c: 00200293 li t0,2 - 12a60: fe5212e3 bne tp,t0,12a44 - 12a64: f00ffeb7 lui t4,0xf00ff - 12a68: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12a6c: 33000193 li gp,816 - 12a70: 37df1a63 bne t5,t4,12de4 - -00012a74 : - 12a74: 00000213 li tp,0 - 12a78: f0f0f137 lui sp,0xf0f0f - 12a7c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12a80: 0ff010b7 lui ra,0xff01 - 12a84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12a88: 00000013 nop - 12a8c: 0020cf33 xor t5,ra,sp - 12a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a94: 00200293 li t0,2 - 12a98: fe5210e3 bne tp,t0,12a78 - 12a9c: ff010eb7 lui t4,0xff010 - 12aa0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12aa4: 33100193 li gp,817 - 12aa8: 33df1e63 bne t5,t4,12de4 - -00012aac : - 12aac: 00000213 li tp,0 - 12ab0: 0f0f1137 lui sp,0xf0f1 - 12ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12ab8: 00ff00b7 lui ra,0xff0 - 12abc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12ac0: 00000013 nop - 12ac4: 00000013 nop - 12ac8: 0020cf33 xor t5,ra,sp - 12acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12ad0: 00200293 li t0,2 - 12ad4: fc521ee3 bne tp,t0,12ab0 - 12ad8: 0ff01eb7 lui t4,0xff01 - 12adc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12ae0: 33200193 li gp,818 - 12ae4: 31df1063 bne t5,t4,12de4 - -00012ae8 : - 12ae8: 00000213 li tp,0 - 12aec: 0f0f1137 lui sp,0xf0f1 - 12af0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12af4: 00000013 nop - 12af8: ff0100b7 lui ra,0xff010 - 12afc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12b00: 0020cf33 xor t5,ra,sp - 12b04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12b08: 00200293 li t0,2 - 12b0c: fe5210e3 bne tp,t0,12aec - 12b10: f00ffeb7 lui t4,0xf00ff - 12b14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12b18: 33300193 li gp,819 - 12b1c: 2ddf1463 bne t5,t4,12de4 - -00012b20 : - 12b20: 00000213 li tp,0 - 12b24: f0f0f137 lui sp,0xf0f0f - 12b28: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12b2c: 00000013 nop - 12b30: 0ff010b7 lui ra,0xff01 - 12b34: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12b38: 00000013 nop - 12b3c: 0020cf33 xor t5,ra,sp - 12b40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12b44: 00200293 li t0,2 - 12b48: fc521ee3 bne tp,t0,12b24 - 12b4c: ff010eb7 lui t4,0xff010 - 12b50: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12b54: 33400193 li gp,820 - 12b58: 29df1663 bne t5,t4,12de4 - -00012b5c : - 12b5c: 00000213 li tp,0 - 12b60: 0f0f1137 lui sp,0xf0f1 - 12b64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12b68: 00000013 nop - 12b6c: 00000013 nop - 12b70: 00ff00b7 lui ra,0xff0 - 12b74: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12b78: 0020cf33 xor t5,ra,sp - 12b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12b80: 00200293 li t0,2 - 12b84: fc521ee3 bne tp,t0,12b60 - 12b88: 0ff01eb7 lui t4,0xff01 - 12b8c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12b90: 33500193 li gp,821 - 12b94: 25df1863 bne t5,t4,12de4 - -00012b98 : - 12b98: ff0100b7 lui ra,0xff010 - 12b9c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12ba0: 00104133 xor sp,zero,ra - 12ba4: ff010eb7 lui t4,0xff010 - 12ba8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12bac: 33600193 li gp,822 - 12bb0: 23d11a63 bne sp,t4,12de4 - -00012bb4 : - 12bb4: 00ff00b7 lui ra,0xff0 - 12bb8: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12bbc: 0000c133 xor sp,ra,zero - 12bc0: 00ff0eb7 lui t4,0xff0 - 12bc4: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12bc8: 33700193 li gp,823 - 12bcc: 21d11c63 bne sp,t4,12de4 - -00012bd0 : - 12bd0: 000040b3 xor ra,zero,zero - 12bd4: 00000e93 li t4,0 - 12bd8: 33800193 li gp,824 - 12bdc: 21d09463 bne ra,t4,12de4 - -00012be0 : - 12be0: 111110b7 lui ra,0x11111 - 12be4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fca09> - 12be8: 22222137 lui sp,0x22222 - 12bec: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220db1a> - 12bf0: 0020c033 xor zero,ra,sp - 12bf4: 00000e93 li t4,0 - 12bf8: 33900193 li gp,825 - 12bfc: 1fd01463 bne zero,t4,12de4 - -00012c00 : - 12c00: 00ff10b7 lui ra,0xff1 - 12c04: f0008093 addi ra,ra,-256 # ff0f00 <__global_pointer$+0xfdc7f8> - 12c08: f0f0cf13 xori t5,ra,-241 - 12c0c: ff00feb7 lui t4,0xff00f - 12c10: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> - 12c14: 33a00193 li gp,826 - 12c18: 1ddf1663 bne t5,t4,12de4 - -00012c1c : - 12c1c: 0ff010b7 lui ra,0xff01 - 12c20: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12c24: 0f00cf13 xori t5,ra,240 - 12c28: 0ff01eb7 lui t4,0xff01 - 12c2c: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> - 12c30: 33b00193 li gp,827 - 12c34: 1bdf1863 bne t5,t4,12de4 - -00012c38 : - 12c38: 00ff10b7 lui ra,0xff1 - 12c3c: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> - 12c40: 70f0cf13 xori t5,ra,1807 - 12c44: 00ff1eb7 lui t4,0xff1 - 12c48: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> - 12c4c: 33c00193 li gp,828 - 12c50: 19df1a63 bne t5,t4,12de4 - -00012c54 : - 12c54: f00ff0b7 lui ra,0xf00ff - 12c58: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12c5c: 0f00cf13 xori t5,ra,240 - 12c60: f00ffeb7 lui t4,0xf00ff - 12c64: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> - 12c68: 33d00193 li gp,829 - 12c6c: 17df1c63 bne t5,t4,12de4 - -00012c70 : - 12c70: ff00f0b7 lui ra,0xff00f - 12c74: 70008093 addi ra,ra,1792 # ff00f700 <__global_pointer$+0xfeffaff8> - 12c78: 70f0c093 xori ra,ra,1807 - 12c7c: ff00feb7 lui t4,0xff00f - 12c80: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> - 12c84: 33e00193 li gp,830 - 12c88: 15d09e63 bne ra,t4,12de4 - -00012c8c : - 12c8c: 00000213 li tp,0 - 12c90: 0ff010b7 lui ra,0xff01 - 12c94: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12c98: 0f00cf13 xori t5,ra,240 - 12c9c: 000f0313 mv t1,t5 - 12ca0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12ca4: 00200293 li t0,2 - 12ca8: fe5214e3 bne tp,t0,12c90 - 12cac: 0ff01eb7 lui t4,0xff01 - 12cb0: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> - 12cb4: 33f00193 li gp,831 - 12cb8: 13d31663 bne t1,t4,12de4 - -00012cbc : - 12cbc: 00000213 li tp,0 - 12cc0: 00ff10b7 lui ra,0xff1 - 12cc4: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> - 12cc8: 70f0cf13 xori t5,ra,1807 - 12ccc: 00000013 nop - 12cd0: 000f0313 mv t1,t5 - 12cd4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12cd8: 00200293 li t0,2 - 12cdc: fe5212e3 bne tp,t0,12cc0 - 12ce0: 00ff1eb7 lui t4,0xff1 - 12ce4: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> - 12ce8: 34000193 li gp,832 - 12cec: 0fd31c63 bne t1,t4,12de4 - -00012cf0 : - 12cf0: 00000213 li tp,0 - 12cf4: f00ff0b7 lui ra,0xf00ff - 12cf8: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12cfc: 0f00cf13 xori t5,ra,240 - 12d00: 00000013 nop - 12d04: 00000013 nop - 12d08: 000f0313 mv t1,t5 - 12d0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12d10: 00200293 li t0,2 - 12d14: fe5210e3 bne tp,t0,12cf4 - 12d18: f00ffeb7 lui t4,0xf00ff - 12d1c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> - 12d20: 34100193 li gp,833 - 12d24: 0dd31063 bne t1,t4,12de4 - -00012d28 : - 12d28: 00000213 li tp,0 - 12d2c: 0ff010b7 lui ra,0xff01 - 12d30: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12d34: 0f00cf13 xori t5,ra,240 - 12d38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12d3c: 00200293 li t0,2 - 12d40: fe5216e3 bne tp,t0,12d2c - 12d44: 0ff01eb7 lui t4,0xff01 - 12d48: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> - 12d4c: 34200193 li gp,834 - 12d50: 09df1a63 bne t5,t4,12de4 - -00012d54 : - 12d54: 00000213 li tp,0 - 12d58: 00ff10b7 lui ra,0xff1 - 12d5c: fff08093 addi ra,ra,-1 # ff0fff <__global_pointer$+0xfdc8f7> - 12d60: 00000013 nop - 12d64: 00f0cf13 xori t5,ra,15 - 12d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12d6c: 00200293 li t0,2 - 12d70: fe5214e3 bne tp,t0,12d58 - 12d74: 00ff1eb7 lui t4,0xff1 - 12d78: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> - 12d7c: 34300193 li gp,835 - 12d80: 07df1263 bne t5,t4,12de4 - -00012d84 : - 12d84: 00000213 li tp,0 - 12d88: f00ff0b7 lui ra,0xf00ff - 12d8c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12d90: 00000013 nop - 12d94: 00000013 nop - 12d98: 0f00cf13 xori t5,ra,240 - 12d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12da0: 00200293 li t0,2 - 12da4: fe5212e3 bne tp,t0,12d88 - 12da8: f00ffeb7 lui t4,0xf00ff - 12dac: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> - 12db0: 34400193 li gp,836 - 12db4: 03df1863 bne t5,t4,12de4 - -00012db8 : - 12db8: 0f004093 xori ra,zero,240 - 12dbc: 0f000e93 li t4,240 - 12dc0: 34500193 li gp,837 - 12dc4: 03d09063 bne ra,t4,12de4 - -00012dc8 : - 12dc8: 00ff00b7 lui ra,0xff0 - 12dcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12dd0: 70f0c013 xori zero,ra,1807 - 12dd4: 00000e93 li t4,0 - 12dd8: 34600193 li gp,838 - 12ddc: 01d01463 bne zero,t4,12de4 - 12de0: 00301463 bne zero,gp,12de8 - -00012de4 : - 12de4: 00000a6f jal s4,12de4 - -00012de8 : - 12de8: 00100193 li gp,1 - -00012dec : - 12dec: 00000a6f jal s4,12dec - 12df0: c0001073 unimp - ... +00010084 : + 10084: 00000093 li ra,0 + 10088: 0000af13 slti t5,ra,0 + 1008c: 00000e93 li t4,0 + 10090: 20c00193 li gp,524 + 10094: 01df0463 beq t5,t4,1009c + 10098: 54d0206f j 12de4 + +0001009c : + 1009c: 00100093 li ra,1 + 100a0: 0010af13 slti t5,ra,1 + 100a4: 00000e93 li t4,0 + 100a8: 20d00193 li gp,525 + 100ac: 01df0463 beq t5,t4,100b4 + 100b0: 5350206f j 12de4 + +000100b4 : + 100b4: 00300093 li ra,3 + 100b8: 0070af13 slti t5,ra,7 + 100bc: 00100e93 li t4,1 + 100c0: 20e00193 li gp,526 + 100c4: 01df0463 beq t5,t4,100cc + 100c8: 51d0206f j 12de4 + +000100cc : + 100cc: 00700093 li ra,7 + 100d0: 0030af13 slti t5,ra,3 + 100d4: 00000e93 li t4,0 + 100d8: 20f00193 li gp,527 + 100dc: 01df0463 beq t5,t4,100e4 + 100e0: 5050206f j 12de4 + +000100e4 : + 100e4: 00000093 li ra,0 + 100e8: 8000af13 slti t5,ra,-2048 + 100ec: 00000e93 li t4,0 + 100f0: 21000193 li gp,528 + 100f4: 01df0463 beq t5,t4,100fc + 100f8: 4ed0206f j 12de4 + +000100fc : + 100fc: 800000b7 lui ra,0x80000 + 10100: 0000af13 slti t5,ra,0 + 10104: 00100e93 li t4,1 + 10108: 21100193 li gp,529 + 1010c: 01df0463 beq t5,t4,10114 + 10110: 4d50206f j 12de4 + +00010114 : + 10114: 800000b7 lui ra,0x80000 + 10118: 8000af13 slti t5,ra,-2048 + 1011c: 00100e93 li t4,1 + 10120: 21200193 li gp,530 + 10124: 01df0463 beq t5,t4,1012c + 10128: 4bd0206f j 12de4 + +0001012c : + 1012c: 00000093 li ra,0 + 10130: 7ff0af13 slti t5,ra,2047 + 10134: 00100e93 li t4,1 + 10138: 21300193 li gp,531 + 1013c: 01df0463 beq t5,t4,10144 + 10140: 4a50206f j 12de4 + +00010144 : + 10144: 800000b7 lui ra,0x80000 + 10148: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1014c: 0000af13 slti t5,ra,0 + 10150: 00000e93 li t4,0 + 10154: 21400193 li gp,532 + 10158: 01df0463 beq t5,t4,10160 + 1015c: 4890206f j 12de4 + +00010160 : + 10160: 800000b7 lui ra,0x80000 + 10164: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10168: 7ff0af13 slti t5,ra,2047 + 1016c: 00000e93 li t4,0 + 10170: 21500193 li gp,533 + 10174: 01df0463 beq t5,t4,1017c + 10178: 46d0206f j 12de4 + +0001017c : + 1017c: 800000b7 lui ra,0x80000 + 10180: 7ff0af13 slti t5,ra,2047 + 10184: 00100e93 li t4,1 + 10188: 21600193 li gp,534 + 1018c: 01df0463 beq t5,t4,10194 + 10190: 4550206f j 12de4 + +00010194 : + 10194: 800000b7 lui ra,0x80000 + 10198: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1019c: 8000af13 slti t5,ra,-2048 + 101a0: 00000e93 li t4,0 + 101a4: 21700193 li gp,535 + 101a8: 01df0463 beq t5,t4,101b0 + 101ac: 4390206f j 12de4 + +000101b0 : + 101b0: 00000093 li ra,0 + 101b4: fff0af13 slti t5,ra,-1 + 101b8: 00000e93 li t4,0 + 101bc: 21800193 li gp,536 + 101c0: 01df0463 beq t5,t4,101c8 + 101c4: 4210206f j 12de4 + +000101c8 : + 101c8: fff00093 li ra,-1 + 101cc: 0010af13 slti t5,ra,1 + 101d0: 00100e93 li t4,1 + 101d4: 21900193 li gp,537 + 101d8: 01df0463 beq t5,t4,101e0 + 101dc: 4090206f j 12de4 + +000101e0 : + 101e0: fff00093 li ra,-1 + 101e4: fff0af13 slti t5,ra,-1 + 101e8: 00000e93 li t4,0 + 101ec: 21a00193 li gp,538 + 101f0: 01df0463 beq t5,t4,101f8 + 101f4: 3f10206f j 12de4 + +000101f8 : + 101f8: 00b00093 li ra,11 + 101fc: 00d0a093 slti ra,ra,13 + 10200: 00100e93 li t4,1 + 10204: 21b00193 li gp,539 + 10208: 01d08463 beq ra,t4,10210 + 1020c: 3d90206f j 12de4 + +00010210 : + 10210: 00000213 li tp,0 + 10214: 00f00093 li ra,15 + 10218: 00a0af13 slti t5,ra,10 + 1021c: 000f0313 mv t1,t5 + 10220: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10224: 00200293 li t0,2 + 10228: fe5216e3 bne tp,t0,10214 + 1022c: 00000e93 li t4,0 + 10230: 21c00193 li gp,540 + 10234: 01d30463 beq t1,t4,1023c + 10238: 3ad0206f j 12de4 + +0001023c : + 1023c: 00000213 li tp,0 + 10240: 00a00093 li ra,10 + 10244: 0100af13 slti t5,ra,16 + 10248: 00000013 nop + 1024c: 000f0313 mv t1,t5 + 10250: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10254: 00200293 li t0,2 + 10258: fe5214e3 bne tp,t0,10240 + 1025c: 00100e93 li t4,1 + 10260: 21d00193 li gp,541 + 10264: 01d30463 beq t1,t4,1026c + 10268: 37d0206f j 12de4 + +0001026c : + 1026c: 00000213 li tp,0 + 10270: 01000093 li ra,16 + 10274: 0090af13 slti t5,ra,9 + 10278: 00000013 nop + 1027c: 00000013 nop + 10280: 000f0313 mv t1,t5 + 10284: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10288: 00200293 li t0,2 + 1028c: fe5212e3 bne tp,t0,10270 + 10290: 00000e93 li t4,0 + 10294: 21e00193 li gp,542 + 10298: 01d30463 beq t1,t4,102a0 + 1029c: 3490206f j 12de4 + +000102a0 : + 102a0: 00000213 li tp,0 + 102a4: 00b00093 li ra,11 + 102a8: 00f0af13 slti t5,ra,15 + 102ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102b0: 00200293 li t0,2 + 102b4: fe5218e3 bne tp,t0,102a4 + 102b8: 00100e93 li t4,1 + 102bc: 21f00193 li gp,543 + 102c0: 01df0463 beq t5,t4,102c8 + 102c4: 3210206f j 12de4 + +000102c8 : + 102c8: 00000213 li tp,0 + 102cc: 01100093 li ra,17 + 102d0: 00000013 nop + 102d4: 0080af13 slti t5,ra,8 + 102d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102dc: 00200293 li t0,2 + 102e0: fe5216e3 bne tp,t0,102cc + 102e4: 00000e93 li t4,0 + 102e8: 22000193 li gp,544 + 102ec: 01df0463 beq t5,t4,102f4 + 102f0: 2f50206f j 12de4 + +000102f4 : + 102f4: 00000213 li tp,0 + 102f8: 00c00093 li ra,12 + 102fc: 00000013 nop + 10300: 00000013 nop + 10304: 00e0af13 slti t5,ra,14 + 10308: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1030c: 00200293 li t0,2 + 10310: fe5214e3 bne tp,t0,102f8 + 10314: 00100e93 li t4,1 + 10318: 22100193 li gp,545 + 1031c: 01df0463 beq t5,t4,10324 + 10320: 2c50206f j 12de4 + +00010324 : + 10324: fff02093 slti ra,zero,-1 + 10328: 00000e93 li t4,0 + 1032c: 22200193 li gp,546 + 10330: 01d08463 beq ra,t4,10338 + 10334: 2b10206f j 12de4 + +00010338 : + 10338: 00ff00b7 lui ra,0xff0 + 1033c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 10340: fff0a013 slti zero,ra,-1 + 10344: 00000e93 li t4,0 + 10348: 22300193 li gp,547 + 1034c: 01d00463 beq zero,t4,10354 + 10350: 2950206f j 12de4 + +00010354 : + 10354: 00000093 li ra,0 + 10358: 0000bf13 sltiu t5,ra,0 + 1035c: 00000e93 li t4,0 + 10360: 22400193 li gp,548 + 10364: 01df0463 beq t5,t4,1036c + 10368: 27d0206f j 12de4 + +0001036c : + 1036c: 00100093 li ra,1 + 10370: 0010bf13 seqz t5,ra + 10374: 00000e93 li t4,0 + 10378: 22500193 li gp,549 + 1037c: 01df0463 beq t5,t4,10384 + 10380: 2650206f j 12de4 + +00010384 : + 10384: 00300093 li ra,3 + 10388: 0070bf13 sltiu t5,ra,7 + 1038c: 00100e93 li t4,1 + 10390: 22600193 li gp,550 + 10394: 01df0463 beq t5,t4,1039c + 10398: 24d0206f j 12de4 + +0001039c : + 1039c: 00700093 li ra,7 + 103a0: 0030bf13 sltiu t5,ra,3 + 103a4: 00000e93 li t4,0 + 103a8: 22700193 li gp,551 + 103ac: 01df0463 beq t5,t4,103b4 + 103b0: 2350206f j 12de4 + +000103b4 : + 103b4: 00000093 li ra,0 + 103b8: 8000bf13 sltiu t5,ra,-2048 + 103bc: 00100e93 li t4,1 + 103c0: 22800193 li gp,552 + 103c4: 01df0463 beq t5,t4,103cc + 103c8: 21d0206f j 12de4 + +000103cc : + 103cc: 800000b7 lui ra,0x80000 + 103d0: 0000bf13 sltiu t5,ra,0 + 103d4: 00000e93 li t4,0 + 103d8: 22900193 li gp,553 + 103dc: 01df0463 beq t5,t4,103e4 + 103e0: 2050206f j 12de4 + +000103e4 : + 103e4: 800000b7 lui ra,0x80000 + 103e8: 8000bf13 sltiu t5,ra,-2048 + 103ec: 00100e93 li t4,1 + 103f0: 22a00193 li gp,554 + 103f4: 01df0463 beq t5,t4,103fc + 103f8: 1ed0206f j 12de4 + +000103fc : + 103fc: 00000093 li ra,0 + 10400: 7ff0bf13 sltiu t5,ra,2047 + 10404: 00100e93 li t4,1 + 10408: 22b00193 li gp,555 + 1040c: 01df0463 beq t5,t4,10414 + 10410: 1d50206f j 12de4 + +00010414 : + 10414: 800000b7 lui ra,0x80000 + 10418: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1041c: 0000bf13 sltiu t5,ra,0 + 10420: 00000e93 li t4,0 + 10424: 22c00193 li gp,556 + 10428: 01df0463 beq t5,t4,10430 + 1042c: 1b90206f j 12de4 + +00010430 : + 10430: 800000b7 lui ra,0x80000 + 10434: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10438: 7ff0bf13 sltiu t5,ra,2047 + 1043c: 00000e93 li t4,0 + 10440: 22d00193 li gp,557 + 10444: 01df0463 beq t5,t4,1044c + 10448: 19d0206f j 12de4 + +0001044c : + 1044c: 800000b7 lui ra,0x80000 + 10450: 7ff0bf13 sltiu t5,ra,2047 + 10454: 00000e93 li t4,0 + 10458: 22e00193 li gp,558 + 1045c: 01df0463 beq t5,t4,10464 + 10460: 1850206f j 12de4 + +00010464 : + 10464: 800000b7 lui ra,0x80000 + 10468: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1046c: 8000bf13 sltiu t5,ra,-2048 + 10470: 00100e93 li t4,1 + 10474: 22f00193 li gp,559 + 10478: 01df0463 beq t5,t4,10480 + 1047c: 1690206f j 12de4 + +00010480 : + 10480: 00000093 li ra,0 + 10484: fff0bf13 sltiu t5,ra,-1 + 10488: 00100e93 li t4,1 + 1048c: 23000193 li gp,560 + 10490: 01df0463 beq t5,t4,10498 + 10494: 1510206f j 12de4 + +00010498 : + 10498: fff00093 li ra,-1 + 1049c: 0010bf13 seqz t5,ra + 104a0: 00000e93 li t4,0 + 104a4: 23100193 li gp,561 + 104a8: 01df0463 beq t5,t4,104b0 + 104ac: 1390206f j 12de4 + +000104b0 : + 104b0: fff00093 li ra,-1 + 104b4: fff0bf13 sltiu t5,ra,-1 + 104b8: 00000e93 li t4,0 + 104bc: 23200193 li gp,562 + 104c0: 01df0463 beq t5,t4,104c8 + 104c4: 1210206f j 12de4 + +000104c8 : + 104c8: 00b00093 li ra,11 + 104cc: 00d0b093 sltiu ra,ra,13 + 104d0: 00100e93 li t4,1 + 104d4: 23300193 li gp,563 + 104d8: 01d08463 beq ra,t4,104e0 + 104dc: 1090206f j 12de4 + +000104e0 : + 104e0: 00000213 li tp,0 + 104e4: 00f00093 li ra,15 + 104e8: 00a0bf13 sltiu t5,ra,10 + 104ec: 000f0313 mv t1,t5 + 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104f4: 00200293 li t0,2 + 104f8: fe5216e3 bne tp,t0,104e4 + 104fc: 00000e93 li t4,0 + 10500: 23400193 li gp,564 + 10504: 01d30463 beq t1,t4,1050c + 10508: 0dd0206f j 12de4 + +0001050c : + 1050c: 00000213 li tp,0 + 10510: 00a00093 li ra,10 + 10514: 0100bf13 sltiu t5,ra,16 + 10518: 00000013 nop + 1051c: 000f0313 mv t1,t5 + 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10524: 00200293 li t0,2 + 10528: fe5214e3 bne tp,t0,10510 + 1052c: 00100e93 li t4,1 + 10530: 23500193 li gp,565 + 10534: 01d30463 beq t1,t4,1053c + 10538: 0ad0206f j 12de4 + +0001053c : + 1053c: 00000213 li tp,0 + 10540: 01000093 li ra,16 + 10544: 0090bf13 sltiu t5,ra,9 + 10548: 00000013 nop + 1054c: 00000013 nop + 10550: 000f0313 mv t1,t5 + 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10558: 00200293 li t0,2 + 1055c: fe5212e3 bne tp,t0,10540 + 10560: 00000e93 li t4,0 + 10564: 23700193 li gp,567 + 10568: 01d30463 beq t1,t4,10570 + 1056c: 0790206f j 12de4 + +00010570 : + 10570: 00000213 li tp,0 + 10574: 00b00093 li ra,11 + 10578: 00f0bf13 sltiu t5,ra,15 + 1057c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10580: 00200293 li t0,2 + 10584: fe5218e3 bne tp,t0,10574 + 10588: 00100e93 li t4,1 + 1058c: 23800193 li gp,568 + 10590: 01df0463 beq t5,t4,10598 + 10594: 0510206f j 12de4 + +00010598 : + 10598: 00000213 li tp,0 + 1059c: 01100093 li ra,17 + 105a0: 00000013 nop + 105a4: 0080bf13 sltiu t5,ra,8 + 105a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 105ac: 00200293 li t0,2 + 105b0: fe5216e3 bne tp,t0,1059c + 105b4: 00000e93 li t4,0 + 105b8: 23900193 li gp,569 + 105bc: 01df0463 beq t5,t4,105c4 + 105c0: 0250206f j 12de4 + +000105c4 : + 105c4: 00000213 li tp,0 + 105c8: 00c00093 li ra,12 + 105cc: 00000013 nop + 105d0: 00000013 nop + 105d4: 00e0bf13 sltiu t5,ra,14 + 105d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 105dc: 00200293 li t0,2 + 105e0: fe5214e3 bne tp,t0,105c8 + 105e4: 00100e93 li t4,1 + 105e8: 23a00193 li gp,570 + 105ec: 01df0463 beq t5,t4,105f4 + 105f0: 7f40206f j 12de4 + +000105f4 : + 105f4: fff03093 sltiu ra,zero,-1 + 105f8: 00100e93 li t4,1 + 105fc: 23b00193 li gp,571 + 10600: 01d08463 beq ra,t4,10608 + 10604: 7e00206f j 12de4 + +00010608 : + 10608: 00ff00b7 lui ra,0xff0 + 1060c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 10610: fff0b013 sltiu zero,ra,-1 + 10614: 00000e93 li t4,0 + 10618: 23c00193 li gp,572 + 1061c: 01d00463 beq zero,t4,10624 + 10620: 7c40206f j 12de4 + +00010624 : + 10624: 00000093 li ra,0 + 10628: 00000113 li sp,0 + 1062c: 0020bf33 sltu t5,ra,sp + 10630: 00000e93 li t4,0 + 10634: 23d00193 li gp,573 + 10638: 01df0463 beq t5,t4,10640 + 1063c: 7a80206f j 12de4 + +00010640 : + 10640: 00100093 li ra,1 + 10644: 00100113 li sp,1 + 10648: 0020bf33 sltu t5,ra,sp + 1064c: 00000e93 li t4,0 + 10650: 23e00193 li gp,574 + 10654: 01df0463 beq t5,t4,1065c + 10658: 78c0206f j 12de4 + +0001065c : + 1065c: 00300093 li ra,3 + 10660: 00700113 li sp,7 + 10664: 0020bf33 sltu t5,ra,sp + 10668: 00100e93 li t4,1 + 1066c: 23f00193 li gp,575 + 10670: 01df0463 beq t5,t4,10678 + 10674: 7700206f j 12de4 + +00010678 : + 10678: 00700093 li ra,7 + 1067c: 00300113 li sp,3 + 10680: 0020bf33 sltu t5,ra,sp + 10684: 00000e93 li t4,0 + 10688: 24000193 li gp,576 + 1068c: 01df0463 beq t5,t4,10694 + 10690: 7540206f j 12de4 + +00010694 : + 10694: 00000093 li ra,0 + 10698: ffff8137 lui sp,0xffff8 + 1069c: 0020bf33 sltu t5,ra,sp + 106a0: 00100e93 li t4,1 + 106a4: 24100193 li gp,577 + 106a8: 01df0463 beq t5,t4,106b0 + 106ac: 7380206f j 12de4 + +000106b0 : + 106b0: 800000b7 lui ra,0x80000 + 106b4: 00000113 li sp,0 + 106b8: 0020bf33 sltu t5,ra,sp + 106bc: 00000e93 li t4,0 + 106c0: 24200193 li gp,578 + 106c4: 01df0463 beq t5,t4,106cc + 106c8: 71c0206f j 12de4 + +000106cc : + 106cc: 800000b7 lui ra,0x80000 + 106d0: ffff8137 lui sp,0xffff8 + 106d4: 0020bf33 sltu t5,ra,sp + 106d8: 00100e93 li t4,1 + 106dc: 24300193 li gp,579 + 106e0: 01df0463 beq t5,t4,106e8 + 106e4: 7000206f j 12de4 + +000106e8 : + 106e8: 00000093 li ra,0 + 106ec: 00008137 lui sp,0x8 + 106f0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 106f4: 0020bf33 sltu t5,ra,sp + 106f8: 00100e93 li t4,1 + 106fc: 24400193 li gp,580 + 10700: 01df0463 beq t5,t4,10708 + 10704: 6e00206f j 12de4 + +00010708 : + 10708: 800000b7 lui ra,0x80000 + 1070c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10710: 00000113 li sp,0 + 10714: 0020bf33 sltu t5,ra,sp + 10718: 00000e93 li t4,0 + 1071c: 24500193 li gp,581 + 10720: 01df0463 beq t5,t4,10728 + 10724: 6c00206f j 12de4 + +00010728 : + 10728: 800000b7 lui ra,0x80000 + 1072c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10730: 00008137 lui sp,0x8 + 10734: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10738: 0020bf33 sltu t5,ra,sp + 1073c: 00000e93 li t4,0 + 10740: 24600193 li gp,582 + 10744: 01df0463 beq t5,t4,1074c + 10748: 69c0206f j 12de4 + +0001074c : + 1074c: 800000b7 lui ra,0x80000 + 10750: 00008137 lui sp,0x8 + 10754: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10758: 0020bf33 sltu t5,ra,sp + 1075c: 00000e93 li t4,0 + 10760: 24700193 li gp,583 + 10764: 01df0463 beq t5,t4,1076c + 10768: 67c0206f j 12de4 + +0001076c : + 1076c: 800000b7 lui ra,0x80000 + 10770: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10774: ffff8137 lui sp,0xffff8 + 10778: 0020bf33 sltu t5,ra,sp + 1077c: 00100e93 li t4,1 + 10780: 24800193 li gp,584 + 10784: 01df0463 beq t5,t4,1078c + 10788: 65c0206f j 12de4 + +0001078c : + 1078c: 00000093 li ra,0 + 10790: fff00113 li sp,-1 + 10794: 0020bf33 sltu t5,ra,sp + 10798: 00100e93 li t4,1 + 1079c: 24900193 li gp,585 + 107a0: 01df0463 beq t5,t4,107a8 + 107a4: 6400206f j 12de4 + +000107a8 : + 107a8: fff00093 li ra,-1 + 107ac: 00100113 li sp,1 + 107b0: 0020bf33 sltu t5,ra,sp + 107b4: 00000e93 li t4,0 + 107b8: 24a00193 li gp,586 + 107bc: 01df0463 beq t5,t4,107c4 + 107c0: 6240206f j 12de4 + +000107c4 : + 107c4: fff00093 li ra,-1 + 107c8: fff00113 li sp,-1 + 107cc: 0020bf33 sltu t5,ra,sp + 107d0: 00000e93 li t4,0 + 107d4: 24b00193 li gp,587 + 107d8: 01df0463 beq t5,t4,107e0 + 107dc: 6080206f j 12de4 + +000107e0 : + 107e0: 00e00093 li ra,14 + 107e4: 00d00113 li sp,13 + 107e8: 0020b0b3 sltu ra,ra,sp + 107ec: 00000e93 li t4,0 + 107f0: 24c00193 li gp,588 + 107f4: 01d08463 beq ra,t4,107fc + 107f8: 5ec0206f j 12de4 + +000107fc : + 107fc: 00b00093 li ra,11 + 10800: 00d00113 li sp,13 + 10804: 0020b133 sltu sp,ra,sp + 10808: 00100e93 li t4,1 + 1080c: 24d00193 li gp,589 + 10810: 01d10463 beq sp,t4,10818 + 10814: 5d00206f j 12de4 + +00010818 : + 10818: 00d00093 li ra,13 + 1081c: 0010b0b3 sltu ra,ra,ra + 10820: 00000e93 li t4,0 + 10824: 24e00193 li gp,590 + 10828: 01d08463 beq ra,t4,10830 + 1082c: 5b80206f j 12de4 + +00010830 : + 10830: 00000213 li tp,0 + 10834: 00b00093 li ra,11 + 10838: 00d00113 li sp,13 + 1083c: 0020bf33 sltu t5,ra,sp + 10840: 000f0313 mv t1,t5 + 10844: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10848: 00200293 li t0,2 + 1084c: fe5214e3 bne tp,t0,10834 + 10850: 00100e93 li t4,1 + 10854: 24f00193 li gp,591 + 10858: 01d30463 beq t1,t4,10860 + 1085c: 5880206f j 12de4 + +00010860 : + 10860: 00000213 li tp,0 + 10864: 00e00093 li ra,14 + 10868: 00d00113 li sp,13 + 1086c: 0020bf33 sltu t5,ra,sp + 10870: 00000013 nop + 10874: 000f0313 mv t1,t5 + 10878: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1087c: 00200293 li t0,2 + 10880: fe5212e3 bne tp,t0,10864 + 10884: 00000e93 li t4,0 + 10888: 25000193 li gp,592 + 1088c: 01d30463 beq t1,t4,10894 + 10890: 5540206f j 12de4 + +00010894 : + 10894: 00000213 li tp,0 + 10898: 00c00093 li ra,12 + 1089c: 00d00113 li sp,13 + 108a0: 0020bf33 sltu t5,ra,sp + 108a4: 00000013 nop + 108a8: 00000013 nop + 108ac: 000f0313 mv t1,t5 + 108b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 108b4: 00200293 li t0,2 + 108b8: fe5210e3 bne tp,t0,10898 + 108bc: 00100e93 li t4,1 + 108c0: 25100193 li gp,593 + 108c4: 01d30463 beq t1,t4,108cc + 108c8: 51c0206f j 12de4 + +000108cc : + 108cc: 00000213 li tp,0 + 108d0: 00e00093 li ra,14 + 108d4: 00d00113 li sp,13 + 108d8: 0020bf33 sltu t5,ra,sp + 108dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 108e0: 00200293 li t0,2 + 108e4: fe5216e3 bne tp,t0,108d0 + 108e8: 00000e93 li t4,0 + 108ec: 25200193 li gp,594 + 108f0: 01df0463 beq t5,t4,108f8 + 108f4: 4f00206f j 12de4 + +000108f8 : + 108f8: 00000213 li tp,0 + 108fc: 00b00093 li ra,11 + 10900: 00d00113 li sp,13 + 10904: 00000013 nop + 10908: 0020bf33 sltu t5,ra,sp + 1090c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10910: 00200293 li t0,2 + 10914: fe5214e3 bne tp,t0,108fc + 10918: 00100e93 li t4,1 + 1091c: 25300193 li gp,595 + 10920: 01df0463 beq t5,t4,10928 + 10924: 4c00206f j 12de4 + +00010928 : + 10928: 00000213 li tp,0 + 1092c: 00f00093 li ra,15 + 10930: 00d00113 li sp,13 + 10934: 00000013 nop + 10938: 00000013 nop + 1093c: 0020bf33 sltu t5,ra,sp + 10940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10944: 00200293 li t0,2 + 10948: fe5212e3 bne tp,t0,1092c + 1094c: 00000e93 li t4,0 + 10950: 25400193 li gp,596 + 10954: 01df0463 beq t5,t4,1095c + 10958: 48c0206f j 12de4 + +0001095c : + 1095c: 00000213 li tp,0 + 10960: 00a00093 li ra,10 + 10964: 00000013 nop + 10968: 00d00113 li sp,13 + 1096c: 0020bf33 sltu t5,ra,sp + 10970: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10974: 00200293 li t0,2 + 10978: fe5214e3 bne tp,t0,10960 + 1097c: 00100e93 li t4,1 + 10980: 25500193 li gp,597 + 10984: 01df0463 beq t5,t4,1098c + 10988: 45c0206f j 12de4 + +0001098c : + 1098c: 00000213 li tp,0 + 10990: 01000093 li ra,16 + 10994: 00000013 nop + 10998: 00d00113 li sp,13 + 1099c: 00000013 nop + 109a0: 0020bf33 sltu t5,ra,sp + 109a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109a8: 00200293 li t0,2 + 109ac: fe5212e3 bne tp,t0,10990 + 109b0: 00000e93 li t4,0 + 109b4: 25600193 li gp,598 + 109b8: 01df0463 beq t5,t4,109c0 + 109bc: 4280206f j 12de4 + +000109c0 : + 109c0: 00000213 li tp,0 + 109c4: 00900093 li ra,9 + 109c8: 00000013 nop + 109cc: 00000013 nop + 109d0: 00d00113 li sp,13 + 109d4: 0020bf33 sltu t5,ra,sp + 109d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109dc: 00200293 li t0,2 + 109e0: fe5212e3 bne tp,t0,109c4 + 109e4: 00100e93 li t4,1 + 109e8: 25700193 li gp,599 + 109ec: 01df0463 beq t5,t4,109f4 + 109f0: 3f40206f j 12de4 + +000109f4 : + 109f4: 00000213 li tp,0 + 109f8: 00d00113 li sp,13 + 109fc: 01100093 li ra,17 + 10a00: 0020bf33 sltu t5,ra,sp + 10a04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a08: 00200293 li t0,2 + 10a0c: fe5216e3 bne tp,t0,109f8 + 10a10: 00000e93 li t4,0 + 10a14: 25900193 li gp,601 + 10a18: 01df0463 beq t5,t4,10a20 + 10a1c: 3c80206f j 12de4 + +00010a20 : + 10a20: 00000213 li tp,0 + 10a24: 00d00113 li sp,13 + 10a28: 00800093 li ra,8 + 10a2c: 00000013 nop + 10a30: 0020bf33 sltu t5,ra,sp + 10a34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a38: 00200293 li t0,2 + 10a3c: fe5214e3 bne tp,t0,10a24 + 10a40: 00100e93 li t4,1 + 10a44: 25a00193 li gp,602 + 10a48: 01df0463 beq t5,t4,10a50 + 10a4c: 3980206f j 12de4 + +00010a50 : + 10a50: 00000213 li tp,0 + 10a54: 00d00113 li sp,13 + 10a58: 01200093 li ra,18 + 10a5c: 00000013 nop + 10a60: 00000013 nop + 10a64: 0020bf33 sltu t5,ra,sp + 10a68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a6c: 00200293 li t0,2 + 10a70: fe5212e3 bne tp,t0,10a54 + 10a74: 00000e93 li t4,0 + 10a78: 25b00193 li gp,603 + 10a7c: 01df0463 beq t5,t4,10a84 + 10a80: 3640206f j 12de4 + +00010a84 : + 10a84: 00000213 li tp,0 + 10a88: 00d00113 li sp,13 + 10a8c: 00000013 nop + 10a90: 00700093 li ra,7 + 10a94: 0020bf33 sltu t5,ra,sp + 10a98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a9c: 00200293 li t0,2 + 10aa0: fe5214e3 bne tp,t0,10a88 + 10aa4: 00100e93 li t4,1 + 10aa8: 25c00193 li gp,604 + 10aac: 01df0463 beq t5,t4,10ab4 + 10ab0: 3340206f j 12de4 + +00010ab4 : + 10ab4: 00000213 li tp,0 + 10ab8: 00d00113 li sp,13 + 10abc: 00000013 nop + 10ac0: 01300093 li ra,19 + 10ac4: 00000013 nop + 10ac8: 0020bf33 sltu t5,ra,sp + 10acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ad0: 00200293 li t0,2 + 10ad4: fe5212e3 bne tp,t0,10ab8 + 10ad8: 00000e93 li t4,0 + 10adc: 25d00193 li gp,605 + 10ae0: 01df0463 beq t5,t4,10ae8 + 10ae4: 3000206f j 12de4 + +00010ae8 : + 10ae8: 00000213 li tp,0 + 10aec: 00d00113 li sp,13 + 10af0: 00000013 nop + 10af4: 00000013 nop + 10af8: 00600093 li ra,6 + 10afc: 0020bf33 sltu t5,ra,sp + 10b00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10b04: 00200293 li t0,2 + 10b08: fe5212e3 bne tp,t0,10aec + 10b0c: 00100e93 li t4,1 + 10b10: 25e00193 li gp,606 + 10b14: 01df0463 beq t5,t4,10b1c + 10b18: 2cc0206f j 12de4 + +00010b1c : + 10b1c: fff00093 li ra,-1 + 10b20: 00103133 snez sp,ra + 10b24: 00100e93 li t4,1 + 10b28: 25f00193 li gp,607 + 10b2c: 01d10463 beq sp,t4,10b34 + 10b30: 2b40206f j 12de4 + +00010b34 : + 10b34: fff00093 li ra,-1 + 10b38: 0000b133 sltu sp,ra,zero + 10b3c: 00000e93 li t4,0 + 10b40: 26000193 li gp,608 + 10b44: 01d10463 beq sp,t4,10b4c + 10b48: 29c0206f j 12de4 + +00010b4c : + 10b4c: 000030b3 snez ra,zero + 10b50: 00000e93 li t4,0 + 10b54: 26100193 li gp,609 + 10b58: 01d08463 beq ra,t4,10b60 + 10b5c: 2880206f j 12de4 + +00010b60 : + 10b60: 01000093 li ra,16 + 10b64: 01e00113 li sp,30 + 10b68: 0020b033 sltu zero,ra,sp + 10b6c: 00000e93 li t4,0 + 10b70: 26200193 li gp,610 + 10b74: 01d00463 beq zero,t4,10b7c + 10b78: 26c0206f j 12de4 + +00010b7c : + 10b7c: 800000b7 lui ra,0x80000 + 10b80: 00000113 li sp,0 + 10b84: 4020df33 sra t5,ra,sp + 10b88: 80000eb7 lui t4,0x80000 + 10b8c: 26300193 li gp,611 + 10b90: 01df0463 beq t5,t4,10b98 + 10b94: 2500206f j 12de4 + +00010b98 : + 10b98: 800000b7 lui ra,0x80000 + 10b9c: 00100113 li sp,1 + 10ba0: 4020df33 sra t5,ra,sp + 10ba4: c0000eb7 lui t4,0xc0000 + 10ba8: 26400193 li gp,612 + 10bac: 01df0463 beq t5,t4,10bb4 + 10bb0: 2340206f j 12de4 + +00010bb4 : + 10bb4: 800000b7 lui ra,0x80000 + 10bb8: 00700113 li sp,7 + 10bbc: 4020df33 sra t5,ra,sp + 10bc0: ff000eb7 lui t4,0xff000 + 10bc4: 26500193 li gp,613 + 10bc8: 01df0463 beq t5,t4,10bd0 + 10bcc: 2180206f j 12de4 + +00010bd0 : + 10bd0: 800000b7 lui ra,0x80000 + 10bd4: 00e00113 li sp,14 + 10bd8: 4020df33 sra t5,ra,sp + 10bdc: fffe0eb7 lui t4,0xfffe0 + 10be0: 26600193 li gp,614 + 10be4: 01df0463 beq t5,t4,10bec + 10be8: 1fc0206f j 12de4 + +00010bec : + 10bec: 800000b7 lui ra,0x80000 + 10bf0: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 10bf4: 01f00113 li sp,31 + 10bf8: 4020df33 sra t5,ra,sp + 10bfc: fff00e93 li t4,-1 + 10c00: 26700193 li gp,615 + 10c04: 01df0463 beq t5,t4,10c0c + 10c08: 1dc0206f j 12de4 + +00010c0c : + 10c0c: 800000b7 lui ra,0x80000 + 10c10: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c14: 00000113 li sp,0 + 10c18: 4020df33 sra t5,ra,sp + 10c1c: 80000eb7 lui t4,0x80000 + 10c20: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c24: 26800193 li gp,616 + 10c28: 01df0463 beq t5,t4,10c30 + 10c2c: 1b80206f j 12de4 + +00010c30 : + 10c30: 800000b7 lui ra,0x80000 + 10c34: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c38: 00100113 li sp,1 + 10c3c: 4020df33 sra t5,ra,sp + 10c40: 40000eb7 lui t4,0x40000 + 10c44: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> + 10c48: 26900193 li gp,617 + 10c4c: 01df0463 beq t5,t4,10c54 + 10c50: 1940206f j 12de4 + +00010c54 : + 10c54: 800000b7 lui ra,0x80000 + 10c58: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c5c: 00700113 li sp,7 + 10c60: 4020df33 sra t5,ra,sp + 10c64: 01000eb7 lui t4,0x1000 + 10c68: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> + 10c6c: 26a00193 li gp,618 + 10c70: 01df0463 beq t5,t4,10c78 + 10c74: 1700206f j 12de4 + +00010c78 : + 10c78: 800000b7 lui ra,0x80000 + 10c7c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c80: 00e00113 li sp,14 + 10c84: 4020df33 sra t5,ra,sp + 10c88: 00020eb7 lui t4,0x20 + 10c8c: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> + 10c90: 26b00193 li gp,619 + 10c94: 01df0463 beq t5,t4,10c9c + 10c98: 14c0206f j 12de4 + +00010c9c : + 10c9c: 800000b7 lui ra,0x80000 + 10ca0: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10ca4: 01f00113 li sp,31 + 10ca8: 4020df33 sra t5,ra,sp + 10cac: 00000e93 li t4,0 + 10cb0: 26c00193 li gp,620 + 10cb4: 01df0463 beq t5,t4,10cbc + 10cb8: 12c0206f j 12de4 + +00010cbc : + 10cbc: 818180b7 lui ra,0x81818 + 10cc0: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10cc4: 00000113 li sp,0 + 10cc8: 4020df33 sra t5,ra,sp + 10ccc: 81818eb7 lui t4,0x81818 + 10cd0: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> + 10cd4: 26d00193 li gp,621 + 10cd8: 01df0463 beq t5,t4,10ce0 + 10cdc: 1080206f j 12de4 + +00010ce0 : + 10ce0: 818180b7 lui ra,0x81818 + 10ce4: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10ce8: 00100113 li sp,1 + 10cec: 4020df33 sra t5,ra,sp + 10cf0: c0c0ceb7 lui t4,0xc0c0c + 10cf4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> + 10cf8: 26e00193 li gp,622 + 10cfc: 01df0463 beq t5,t4,10d04 + 10d00: 0e40206f j 12de4 + +00010d04 : + 10d04: 818180b7 lui ra,0x81818 + 10d08: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d0c: 00700113 li sp,7 + 10d10: 4020df33 sra t5,ra,sp + 10d14: ff030eb7 lui t4,0xff030 + 10d18: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> + 10d1c: 26f00193 li gp,623 + 10d20: 01df0463 beq t5,t4,10d28 + 10d24: 0c00206f j 12de4 + +00010d28 : + 10d28: 818180b7 lui ra,0x81818 + 10d2c: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d30: 00e00113 li sp,14 + 10d34: 4020df33 sra t5,ra,sp + 10d38: fffe0eb7 lui t4,0xfffe0 + 10d3c: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> + 10d40: 27000193 li gp,624 + 10d44: 01df0463 beq t5,t4,10d4c + 10d48: 09c0206f j 12de4 + +00010d4c : + 10d4c: 818180b7 lui ra,0x81818 + 10d50: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d54: 01f00113 li sp,31 + 10d58: 4020df33 sra t5,ra,sp + 10d5c: fff00e93 li t4,-1 + 10d60: 27100193 li gp,625 + 10d64: 01df0463 beq t5,t4,10d6c + 10d68: 07c0206f j 12de4 + +00010d6c : + 10d6c: 818180b7 lui ra,0x81818 + 10d70: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d74: fc000113 li sp,-64 + 10d78: 4020df33 sra t5,ra,sp + 10d7c: 81818eb7 lui t4,0x81818 + 10d80: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> + 10d84: 27200193 li gp,626 + 10d88: 01df0463 beq t5,t4,10d90 + 10d8c: 0580206f j 12de4 + +00010d90 : + 10d90: 818180b7 lui ra,0x81818 + 10d94: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d98: fc100113 li sp,-63 + 10d9c: 4020df33 sra t5,ra,sp + 10da0: c0c0ceb7 lui t4,0xc0c0c + 10da4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> + 10da8: 27300193 li gp,627 + 10dac: 01df0463 beq t5,t4,10db4 + 10db0: 0340206f j 12de4 + +00010db4 : + 10db4: 818180b7 lui ra,0x81818 + 10db8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10dbc: fc700113 li sp,-57 + 10dc0: 4020df33 sra t5,ra,sp + 10dc4: ff030eb7 lui t4,0xff030 + 10dc8: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> + 10dcc: 27400193 li gp,628 + 10dd0: 01df0463 beq t5,t4,10dd8 + 10dd4: 0100206f j 12de4 + +00010dd8 : + 10dd8: 818180b7 lui ra,0x81818 + 10ddc: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10de0: fce00113 li sp,-50 + 10de4: 4020df33 sra t5,ra,sp + 10de8: fffe0eb7 lui t4,0xfffe0 + 10dec: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> + 10df0: 27500193 li gp,629 + 10df4: 01df0463 beq t5,t4,10dfc + 10df8: 7ed0106f j 12de4 + +00010dfc : + 10dfc: 818180b7 lui ra,0x81818 + 10e00: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10e04: fff00113 li sp,-1 + 10e08: 4020df33 sra t5,ra,sp + 10e0c: fff00e93 li t4,-1 + 10e10: 27600193 li gp,630 + 10e14: 01df0463 beq t5,t4,10e1c + 10e18: 7cd0106f j 12de4 + +00010e1c : + 10e1c: 800000b7 lui ra,0x80000 + 10e20: 00700113 li sp,7 + 10e24: 4020d0b3 sra ra,ra,sp + 10e28: ff000eb7 lui t4,0xff000 + 10e2c: 27700193 li gp,631 + 10e30: 01d08463 beq ra,t4,10e38 + 10e34: 7b10106f j 12de4 + +00010e38 : + 10e38: 800000b7 lui ra,0x80000 + 10e3c: 00e00113 li sp,14 + 10e40: 4020d133 sra sp,ra,sp + 10e44: fffe0eb7 lui t4,0xfffe0 + 10e48: 27800193 li gp,632 + 10e4c: 01d10463 beq sp,t4,10e54 + 10e50: 7950106f j 12de4 + +00010e54 : + 10e54: 00700093 li ra,7 + 10e58: 4010d0b3 sra ra,ra,ra + 10e5c: 00000e93 li t4,0 + 10e60: 27900193 li gp,633 + 10e64: 01d08463 beq ra,t4,10e6c + 10e68: 77d0106f j 12de4 + +00010e6c : + 10e6c: 00000213 li tp,0 + 10e70: 800000b7 lui ra,0x80000 + 10e74: 00700113 li sp,7 + 10e78: 4020df33 sra t5,ra,sp + 10e7c: 000f0313 mv t1,t5 + 10e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10e84: 00200293 li t0,2 + 10e88: fe5214e3 bne tp,t0,10e70 + 10e8c: ff000eb7 lui t4,0xff000 + 10e90: 27a00193 li gp,634 + 10e94: 01d30463 beq t1,t4,10e9c + 10e98: 74d0106f j 12de4 + +00010e9c : + 10e9c: 00000213 li tp,0 + 10ea0: 800000b7 lui ra,0x80000 + 10ea4: 00e00113 li sp,14 + 10ea8: 4020df33 sra t5,ra,sp + 10eac: 00000013 nop + 10eb0: 000f0313 mv t1,t5 + 10eb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10eb8: 00200293 li t0,2 + 10ebc: fe5212e3 bne tp,t0,10ea0 + 10ec0: fffe0eb7 lui t4,0xfffe0 + 10ec4: 27b00193 li gp,635 + 10ec8: 01d30463 beq t1,t4,10ed0 + 10ecc: 7190106f j 12de4 + +00010ed0 : + 10ed0: 00000213 li tp,0 + 10ed4: 800000b7 lui ra,0x80000 + 10ed8: 01f00113 li sp,31 + 10edc: 4020df33 sra t5,ra,sp + 10ee0: 00000013 nop + 10ee4: 00000013 nop + 10ee8: 000f0313 mv t1,t5 + 10eec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ef0: 00200293 li t0,2 + 10ef4: fe5210e3 bne tp,t0,10ed4 + 10ef8: fff00e93 li t4,-1 + 10efc: 27c00193 li gp,636 + 10f00: 01d30463 beq t1,t4,10f08 + 10f04: 6e10106f j 12de4 + +00010f08 : + 10f08: 00000213 li tp,0 + 10f0c: 800000b7 lui ra,0x80000 + 10f10: 00700113 li sp,7 + 10f14: 4020df33 sra t5,ra,sp + 10f18: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f1c: 00200293 li t0,2 + 10f20: fe5216e3 bne tp,t0,10f0c + 10f24: ff000eb7 lui t4,0xff000 + 10f28: 27d00193 li gp,637 + 10f2c: 01df0463 beq t5,t4,10f34 + 10f30: 6b50106f j 12de4 + +00010f34 : + 10f34: 00000213 li tp,0 + 10f38: 800000b7 lui ra,0x80000 + 10f3c: 00e00113 li sp,14 + 10f40: 00000013 nop + 10f44: 4020df33 sra t5,ra,sp + 10f48: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f4c: 00200293 li t0,2 + 10f50: fe5214e3 bne tp,t0,10f38 + 10f54: fffe0eb7 lui t4,0xfffe0 + 10f58: 27e00193 li gp,638 + 10f5c: 01df0463 beq t5,t4,10f64 + 10f60: 6850106f j 12de4 + +00010f64 : + 10f64: 00000213 li tp,0 + 10f68: 800000b7 lui ra,0x80000 + 10f6c: 01f00113 li sp,31 + 10f70: 00000013 nop + 10f74: 00000013 nop + 10f78: 4020df33 sra t5,ra,sp + 10f7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f80: 00200293 li t0,2 + 10f84: fe5212e3 bne tp,t0,10f68 + 10f88: fff00e93 li t4,-1 + 10f8c: 27f00193 li gp,639 + 10f90: 01df0463 beq t5,t4,10f98 + 10f94: 6510106f j 12de4 + +00010f98 : + 10f98: 00000213 li tp,0 + 10f9c: 800000b7 lui ra,0x80000 + 10fa0: 00000013 nop + 10fa4: 00700113 li sp,7 + 10fa8: 4020df33 sra t5,ra,sp + 10fac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10fb0: 00200293 li t0,2 + 10fb4: fe5214e3 bne tp,t0,10f9c + 10fb8: ff000eb7 lui t4,0xff000 + 10fbc: 28000193 li gp,640 + 10fc0: 01df0463 beq t5,t4,10fc8 + 10fc4: 6210106f j 12de4 + +00010fc8 : + 10fc8: 00000213 li tp,0 + 10fcc: 800000b7 lui ra,0x80000 + 10fd0: 00000013 nop + 10fd4: 00e00113 li sp,14 + 10fd8: 00000013 nop + 10fdc: 4020df33 sra t5,ra,sp + 10fe0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10fe4: 00200293 li t0,2 + 10fe8: fe5212e3 bne tp,t0,10fcc + 10fec: fffe0eb7 lui t4,0xfffe0 + 10ff0: 28100193 li gp,641 + 10ff4: 01df0463 beq t5,t4,10ffc + 10ff8: 5ed0106f j 12de4 + +00010ffc : + 10ffc: 00000213 li tp,0 + 11000: 800000b7 lui ra,0x80000 + 11004: 00000013 nop + 11008: 00000013 nop + 1100c: 01f00113 li sp,31 + 11010: 4020df33 sra t5,ra,sp + 11014: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11018: 00200293 li t0,2 + 1101c: fe5212e3 bne tp,t0,11000 + 11020: fff00e93 li t4,-1 + 11024: 28200193 li gp,642 + 11028: 01df0463 beq t5,t4,11030 + 1102c: 5b90106f j 12de4 + +00011030 : + 11030: 00000213 li tp,0 + 11034: 00700113 li sp,7 + 11038: 800000b7 lui ra,0x80000 + 1103c: 4020df33 sra t5,ra,sp + 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11044: 00200293 li t0,2 + 11048: fe5216e3 bne tp,t0,11034 + 1104c: ff000eb7 lui t4,0xff000 + 11050: 28300193 li gp,643 + 11054: 01df0463 beq t5,t4,1105c + 11058: 58d0106f j 12de4 + +0001105c : + 1105c: 00000213 li tp,0 + 11060: 00e00113 li sp,14 + 11064: 800000b7 lui ra,0x80000 + 11068: 00000013 nop + 1106c: 4020df33 sra t5,ra,sp + 11070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11074: 00200293 li t0,2 + 11078: fe5214e3 bne tp,t0,11060 + 1107c: fffe0eb7 lui t4,0xfffe0 + 11080: 28400193 li gp,644 + 11084: 01df0463 beq t5,t4,1108c + 11088: 55d0106f j 12de4 + +0001108c : + 1108c: 00000213 li tp,0 + 11090: 01f00113 li sp,31 + 11094: 800000b7 lui ra,0x80000 + 11098: 00000013 nop + 1109c: 00000013 nop + 110a0: 4020df33 sra t5,ra,sp + 110a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110a8: 00200293 li t0,2 + 110ac: fe5212e3 bne tp,t0,11090 + 110b0: fff00e93 li t4,-1 + 110b4: 28500193 li gp,645 + 110b8: 01df0463 beq t5,t4,110c0 + 110bc: 5290106f j 12de4 + +000110c0 : + 110c0: 00000213 li tp,0 + 110c4: 00700113 li sp,7 + 110c8: 00000013 nop + 110cc: 800000b7 lui ra,0x80000 + 110d0: 4020df33 sra t5,ra,sp + 110d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110d8: 00200293 li t0,2 + 110dc: fe5214e3 bne tp,t0,110c4 + 110e0: ff000eb7 lui t4,0xff000 + 110e4: 28600193 li gp,646 + 110e8: 01df0463 beq t5,t4,110f0 + 110ec: 4f90106f j 12de4 + +000110f0 : + 110f0: 00000213 li tp,0 + 110f4: 00e00113 li sp,14 + 110f8: 00000013 nop + 110fc: 800000b7 lui ra,0x80000 + 11100: 00000013 nop + 11104: 4020df33 sra t5,ra,sp + 11108: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1110c: 00200293 li t0,2 + 11110: fe5212e3 bne tp,t0,110f4 + 11114: fffe0eb7 lui t4,0xfffe0 + 11118: 28700193 li gp,647 + 1111c: 01df0463 beq t5,t4,11124 + 11120: 4c50106f j 12de4 + +00011124 : + 11124: 00000213 li tp,0 + 11128: 01f00113 li sp,31 + 1112c: 00000013 nop + 11130: 00000013 nop + 11134: 800000b7 lui ra,0x80000 + 11138: 4020df33 sra t5,ra,sp + 1113c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11140: 00200293 li t0,2 + 11144: fe5212e3 bne tp,t0,11128 + 11148: fff00e93 li t4,-1 + 1114c: 28800193 li gp,648 + 11150: 01df0463 beq t5,t4,11158 + 11154: 4910106f j 12de4 + +00011158 : + 11158: 00f00093 li ra,15 + 1115c: 40105133 sra sp,zero,ra + 11160: 00000e93 li t4,0 + 11164: 28900193 li gp,649 + 11168: 01d10463 beq sp,t4,11170 + 1116c: 4790106f j 12de4 + +00011170 : + 11170: 02000093 li ra,32 + 11174: 4000d133 sra sp,ra,zero + 11178: 02000e93 li t4,32 + 1117c: 28a00193 li gp,650 + 11180: 01d10463 beq sp,t4,11188 + 11184: 4610106f j 12de4 + +00011188 : + 11188: 400050b3 sra ra,zero,zero + 1118c: 00000e93 li t4,0 + 11190: 28b00193 li gp,651 + 11194: 01d08463 beq ra,t4,1119c + 11198: 44d0106f j 12de4 + +0001119c : + 1119c: 40000093 li ra,1024 + 111a0: 00001137 lui sp,0x1 + 111a4: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> + 111a8: 4020d033 sra zero,ra,sp + 111ac: 00000e93 li t4,0 + 111b0: 28c00193 li gp,652 + 111b4: 01d00463 beq zero,t4,111bc + 111b8: 42d0106f j 12de4 + +000111bc : + 111bc: 00000093 li ra,0 + 111c0: 4000df13 srai t5,ra,0x0 + 111c4: 00000e93 li t4,0 + 111c8: 28d00193 li gp,653 + 111cc: 01df0463 beq t5,t4,111d4 + 111d0: 4150106f j 12de4 + +000111d4 : + 111d4: 800000b7 lui ra,0x80000 + 111d8: 4010df13 srai t5,ra,0x1 + 111dc: c0000eb7 lui t4,0xc0000 + 111e0: 28e00193 li gp,654 + 111e4: 01df0463 beq t5,t4,111ec + 111e8: 3fd0106f j 12de4 + +000111ec : + 111ec: 800000b7 lui ra,0x80000 + 111f0: 4070df13 srai t5,ra,0x7 + 111f4: ff000eb7 lui t4,0xff000 + 111f8: 28f00193 li gp,655 + 111fc: 01df0463 beq t5,t4,11204 + 11200: 3e50106f j 12de4 + +00011204 : + 11204: 800000b7 lui ra,0x80000 + 11208: 40e0df13 srai t5,ra,0xe + 1120c: fffe0eb7 lui t4,0xfffe0 + 11210: 29000193 li gp,656 + 11214: 01df0463 beq t5,t4,1121c + 11218: 3cd0106f j 12de4 + +0001121c : + 1121c: 800000b7 lui ra,0x80000 + 11220: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11224: 41f0df13 srai t5,ra,0x1f + 11228: fff00e93 li t4,-1 + 1122c: 29100193 li gp,657 + 11230: 01df0463 beq t5,t4,11238 + 11234: 3b10106f j 12de4 + +00011238 : + 11238: 800000b7 lui ra,0x80000 + 1123c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11240: 4010df13 srai t5,ra,0x1 + 11244: 40000eb7 lui t4,0x40000 + 11248: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> + 1124c: 29200193 li gp,658 + 11250: 01df0463 beq t5,t4,11258 + 11254: 3910106f j 12de4 + +00011258 : + 11258: 800000b7 lui ra,0x80000 + 1125c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11260: 4070df13 srai t5,ra,0x7 + 11264: 01000eb7 lui t4,0x1000 + 11268: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> + 1126c: 29300193 li gp,659 + 11270: 01df0463 beq t5,t4,11278 + 11274: 3710106f j 12de4 + +00011278 : + 11278: 800000b7 lui ra,0x80000 + 1127c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11280: 40e0df13 srai t5,ra,0xe + 11284: 00020eb7 lui t4,0x20 + 11288: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> + 1128c: 29400193 li gp,660 + 11290: 01df0463 beq t5,t4,11298 + 11294: 3510106f j 12de4 + +00011298 : + 11298: 800000b7 lui ra,0x80000 + 1129c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 112a0: 41f0df13 srai t5,ra,0x1f + 112a4: 00000e93 li t4,0 + 112a8: 29500193 li gp,661 + 112ac: 01df0463 beq t5,t4,112b4 + 112b0: 3350106f j 12de4 + +000112b4 : + 112b4: 818180b7 lui ra,0x81818 + 112b8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 112bc: 4000df13 srai t5,ra,0x0 + 112c0: 81818eb7 lui t4,0x81818 + 112c4: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> + 112c8: 29600193 li gp,662 + 112cc: 01df0463 beq t5,t4,112d4 + 112d0: 3150106f j 12de4 + +000112d4 : + 112d4: 818180b7 lui ra,0x81818 + 112d8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 112dc: 4010df13 srai t5,ra,0x1 + 112e0: c0c0ceb7 lui t4,0xc0c0c + 112e4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> + 112e8: 29700193 li gp,663 + 112ec: 01df0463 beq t5,t4,112f4 + 112f0: 2f50106f j 12de4 + +000112f4 : + 112f4: 818180b7 lui ra,0x81818 + 112f8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 112fc: 4070df13 srai t5,ra,0x7 + 11300: ff030eb7 lui t4,0xff030 + 11304: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> + 11308: 29800193 li gp,664 + 1130c: 01df0463 beq t5,t4,11314 + 11310: 2d50106f j 12de4 + +00011314 : + 11314: 818180b7 lui ra,0x81818 + 11318: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 1131c: 40e0df13 srai t5,ra,0xe + 11320: fffe0eb7 lui t4,0xfffe0 + 11324: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> + 11328: 29900193 li gp,665 + 1132c: 01df0463 beq t5,t4,11334 + 11330: 2b50106f j 12de4 + +00011334 : + 11334: 818180b7 lui ra,0x81818 + 11338: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 1133c: 41f0df13 srai t5,ra,0x1f + 11340: fff00e93 li t4,-1 + 11344: 29a00193 li gp,666 + 11348: 01df0463 beq t5,t4,11350 + 1134c: 2990106f j 12de4 + +00011350 : + 11350: 800000b7 lui ra,0x80000 + 11354: 4070d093 srai ra,ra,0x7 + 11358: ff000eb7 lui t4,0xff000 + 1135c: 29b00193 li gp,667 + 11360: 01d08463 beq ra,t4,11368 + 11364: 2810106f j 12de4 + +00011368 : + 11368: 00000213 li tp,0 + 1136c: 800000b7 lui ra,0x80000 + 11370: 4070df13 srai t5,ra,0x7 + 11374: 000f0313 mv t1,t5 + 11378: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1137c: 00200293 li t0,2 + 11380: fe5216e3 bne tp,t0,1136c + 11384: ff000eb7 lui t4,0xff000 + 11388: 29c00193 li gp,668 + 1138c: 01d30463 beq t1,t4,11394 + 11390: 2550106f j 12de4 + +00011394 : + 11394: 00000213 li tp,0 + 11398: 800000b7 lui ra,0x80000 + 1139c: 40e0df13 srai t5,ra,0xe + 113a0: 00000013 nop + 113a4: 000f0313 mv t1,t5 + 113a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 113ac: 00200293 li t0,2 + 113b0: fe5214e3 bne tp,t0,11398 + 113b4: fffe0eb7 lui t4,0xfffe0 + 113b8: 29d00193 li gp,669 + 113bc: 01d30463 beq t1,t4,113c4 + 113c0: 2250106f j 12de4 + +000113c4 : + 113c4: 00000213 li tp,0 + 113c8: 800000b7 lui ra,0x80000 + 113cc: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 113d0: 41f0df13 srai t5,ra,0x1f + 113d4: 00000013 nop + 113d8: 00000013 nop + 113dc: 000f0313 mv t1,t5 + 113e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 113e4: 00200293 li t0,2 + 113e8: fe5210e3 bne tp,t0,113c8 + 113ec: fff00e93 li t4,-1 + 113f0: 29e00193 li gp,670 + 113f4: 01d30463 beq t1,t4,113fc + 113f8: 1ed0106f j 12de4 + +000113fc : + 113fc: 00000213 li tp,0 + 11400: 800000b7 lui ra,0x80000 + 11404: 4070df13 srai t5,ra,0x7 + 11408: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1140c: 00200293 li t0,2 + 11410: fe5218e3 bne tp,t0,11400 + 11414: ff000eb7 lui t4,0xff000 + 11418: 29f00193 li gp,671 + 1141c: 01df0463 beq t5,t4,11424 + 11420: 1c50106f j 12de4 + +00011424 : + 11424: 00000213 li tp,0 + 11428: 800000b7 lui ra,0x80000 + 1142c: 00000013 nop + 11430: 40e0df13 srai t5,ra,0xe + 11434: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11438: 00200293 li t0,2 + 1143c: fe5216e3 bne tp,t0,11428 + 11440: fffe0eb7 lui t4,0xfffe0 + 11444: 2a000193 li gp,672 + 11448: 01df0463 beq t5,t4,11450 + 1144c: 1990106f j 12de4 + +00011450 : + 11450: 00000213 li tp,0 + 11454: 800000b7 lui ra,0x80000 + 11458: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 1145c: 00000013 nop + 11460: 00000013 nop + 11464: 41f0df13 srai t5,ra,0x1f + 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1146c: 00200293 li t0,2 + 11470: fe5212e3 bne tp,t0,11454 + 11474: fff00e93 li t4,-1 + 11478: 2a100193 li gp,673 + 1147c: 01df0463 beq t5,t4,11484 + 11480: 1650106f j 12de4 + +00011484 : + 11484: 40405093 srai ra,zero,0x4 + 11488: 00000e93 li t4,0 + 1148c: 2a200193 li gp,674 + 11490: 01d08463 beq ra,t4,11498 + 11494: 1510106f j 12de4 + +00011498 : + 11498: 02100093 li ra,33 + 1149c: 40a0d013 srai zero,ra,0xa + 114a0: 00000e93 li t4,0 + 114a4: 2a300193 li gp,675 + 114a8: 01d00463 beq zero,t4,114b0 + 114ac: 1390106f j 12de4 + +000114b0 : + 114b0: 800000b7 lui ra,0x80000 + 114b4: 00000113 li sp,0 + 114b8: 0020df33 srl t5,ra,sp + 114bc: 80000eb7 lui t4,0x80000 + 114c0: 2a400193 li gp,676 + 114c4: 01df0463 beq t5,t4,114cc + 114c8: 11d0106f j 12de4 + +000114cc : + 114cc: 800000b7 lui ra,0x80000 + 114d0: 00100113 li sp,1 + 114d4: 0020df33 srl t5,ra,sp + 114d8: 40000eb7 lui t4,0x40000 + 114dc: 2a500193 li gp,677 + 114e0: 01df0463 beq t5,t4,114e8 + 114e4: 1010106f j 12de4 + +000114e8 : + 114e8: 800000b7 lui ra,0x80000 + 114ec: 00700113 li sp,7 + 114f0: 0020df33 srl t5,ra,sp + 114f4: 01000eb7 lui t4,0x1000 + 114f8: 2a600193 li gp,678 + 114fc: 01df0463 beq t5,t4,11504 + 11500: 0e50106f j 12de4 + +00011504 : + 11504: 800000b7 lui ra,0x80000 + 11508: 00e00113 li sp,14 + 1150c: 0020df33 srl t5,ra,sp + 11510: 00020eb7 lui t4,0x20 + 11514: 2a700193 li gp,679 + 11518: 01df0463 beq t5,t4,11520 + 1151c: 0c90106f j 12de4 + +00011520 : + 11520: 800000b7 lui ra,0x80000 + 11524: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11528: 01f00113 li sp,31 + 1152c: 0020df33 srl t5,ra,sp + 11530: 00100e93 li t4,1 + 11534: 2a800193 li gp,680 + 11538: 01df0463 beq t5,t4,11540 + 1153c: 0a90106f j 12de4 + +00011540 : + 11540: fff00093 li ra,-1 + 11544: 00000113 li sp,0 + 11548: 0020df33 srl t5,ra,sp + 1154c: fff00e93 li t4,-1 + 11550: 2a900193 li gp,681 + 11554: 01df0463 beq t5,t4,1155c + 11558: 08d0106f j 12de4 + +0001155c : + 1155c: fff00093 li ra,-1 + 11560: 00100113 li sp,1 + 11564: 0020df33 srl t5,ra,sp + 11568: 80000eb7 lui t4,0x80000 + 1156c: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11570: 2aa00193 li gp,682 + 11574: 01df0463 beq t5,t4,1157c + 11578: 06d0106f j 12de4 + +0001157c : + 1157c: fff00093 li ra,-1 + 11580: 00700113 li sp,7 + 11584: 0020df33 srl t5,ra,sp + 11588: 02000eb7 lui t4,0x2000 + 1158c: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> + 11590: 2ab00193 li gp,683 + 11594: 01df0463 beq t5,t4,1159c + 11598: 04d0106f j 12de4 + +0001159c : + 1159c: fff00093 li ra,-1 + 115a0: 00e00113 li sp,14 + 115a4: 0020df33 srl t5,ra,sp + 115a8: 00040eb7 lui t4,0x40 + 115ac: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> + 115b0: 2ac00193 li gp,684 + 115b4: 01df0463 beq t5,t4,115bc + 115b8: 02d0106f j 12de4 + +000115bc : + 115bc: fff00093 li ra,-1 + 115c0: 01f00113 li sp,31 + 115c4: 0020df33 srl t5,ra,sp + 115c8: 00100e93 li t4,1 + 115cc: 2ad00193 li gp,685 + 115d0: 01df0463 beq t5,t4,115d8 + 115d4: 0110106f j 12de4 + +000115d8 : + 115d8: 212120b7 lui ra,0x21212 + 115dc: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 115e0: 00000113 li sp,0 + 115e4: 0020df33 srl t5,ra,sp + 115e8: 21212eb7 lui t4,0x21212 + 115ec: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> + 115f0: 2ae00193 li gp,686 + 115f4: 01df0463 beq t5,t4,115fc + 115f8: 7ec0106f j 12de4 + +000115fc : + 115fc: 212120b7 lui ra,0x21212 + 11600: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11604: 00100113 li sp,1 + 11608: 0020df33 srl t5,ra,sp + 1160c: 10909eb7 lui t4,0x10909 + 11610: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> + 11614: 2af00193 li gp,687 + 11618: 01df0463 beq t5,t4,11620 + 1161c: 7c80106f j 12de4 + +00011620 : + 11620: 212120b7 lui ra,0x21212 + 11624: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11628: 00700113 li sp,7 + 1162c: 0020df33 srl t5,ra,sp + 11630: 00424eb7 lui t4,0x424 + 11634: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> + 11638: 2b000193 li gp,688 + 1163c: 01df0463 beq t5,t4,11644 + 11640: 7a40106f j 12de4 + +00011644 : + 11644: 212120b7 lui ra,0x21212 + 11648: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 1164c: 00e00113 li sp,14 + 11650: 0020df33 srl t5,ra,sp + 11654: 00008eb7 lui t4,0x8 + 11658: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> + 1165c: 2b100193 li gp,689 + 11660: 01df0463 beq t5,t4,11668 + 11664: 7800106f j 12de4 + +00011668 : + 11668: 212120b7 lui ra,0x21212 + 1166c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11670: 01f00113 li sp,31 + 11674: 0020df33 srl t5,ra,sp + 11678: 00000e93 li t4,0 + 1167c: 2b200193 li gp,690 + 11680: 01df0463 beq t5,t4,11688 + 11684: 7600106f j 12de4 + +00011688 : + 11688: 212120b7 lui ra,0x21212 + 1168c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11690: fc000113 li sp,-64 + 11694: 0020df33 srl t5,ra,sp + 11698: 21212eb7 lui t4,0x21212 + 1169c: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> + 116a0: 2b300193 li gp,691 + 116a4: 01df0463 beq t5,t4,116ac + 116a8: 73c0106f j 12de4 + +000116ac : + 116ac: 212120b7 lui ra,0x21212 + 116b0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 116b4: fc100113 li sp,-63 + 116b8: 0020df33 srl t5,ra,sp + 116bc: 10909eb7 lui t4,0x10909 + 116c0: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> + 116c4: 2b400193 li gp,692 + 116c8: 01df0463 beq t5,t4,116d0 + 116cc: 7180106f j 12de4 + +000116d0 : + 116d0: 212120b7 lui ra,0x21212 + 116d4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 116d8: fc700113 li sp,-57 + 116dc: 0020df33 srl t5,ra,sp + 116e0: 00424eb7 lui t4,0x424 + 116e4: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> + 116e8: 2b500193 li gp,693 + 116ec: 01df0463 beq t5,t4,116f4 + 116f0: 6f40106f j 12de4 + +000116f4 : + 116f4: 212120b7 lui ra,0x21212 + 116f8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 116fc: fce00113 li sp,-50 + 11700: 0020df33 srl t5,ra,sp + 11704: 00008eb7 lui t4,0x8 + 11708: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> + 1170c: 2b600193 li gp,694 + 11710: 01df0463 beq t5,t4,11718 + 11714: 6d00106f j 12de4 + +00011718 : + 11718: 212120b7 lui ra,0x21212 + 1171c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11720: fff00113 li sp,-1 + 11724: 0020df33 srl t5,ra,sp + 11728: 00000e93 li t4,0 + 1172c: 2b700193 li gp,695 + 11730: 01df0463 beq t5,t4,11738 + 11734: 6b00106f j 12de4 + +00011738 : + 11738: 800000b7 lui ra,0x80000 + 1173c: 00700113 li sp,7 + 11740: 0020d0b3 srl ra,ra,sp + 11744: 01000eb7 lui t4,0x1000 + 11748: 2b800193 li gp,696 + 1174c: 01d08463 beq ra,t4,11754 + 11750: 6940106f j 12de4 + +00011754 : + 11754: 800000b7 lui ra,0x80000 + 11758: 00e00113 li sp,14 + 1175c: 0020d133 srl sp,ra,sp + 11760: 00020eb7 lui t4,0x20 + 11764: 2b900193 li gp,697 + 11768: 01d10463 beq sp,t4,11770 + 1176c: 6780106f j 12de4 + +00011770 : + 11770: 00700093 li ra,7 + 11774: 0010d0b3 srl ra,ra,ra + 11778: 00000e93 li t4,0 + 1177c: 2ba00193 li gp,698 + 11780: 01d08463 beq ra,t4,11788 + 11784: 6600106f j 12de4 + +00011788 : + 11788: 00000213 li tp,0 + 1178c: 800000b7 lui ra,0x80000 + 11790: 00700113 li sp,7 + 11794: 0020df33 srl t5,ra,sp + 11798: 000f0313 mv t1,t5 + 1179c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117a0: 00200293 li t0,2 + 117a4: fe5214e3 bne tp,t0,1178c + 117a8: 01000eb7 lui t4,0x1000 + 117ac: 2bb00193 li gp,699 + 117b0: 01d30463 beq t1,t4,117b8 + 117b4: 6300106f j 12de4 + +000117b8 : + 117b8: 00000213 li tp,0 + 117bc: 800000b7 lui ra,0x80000 + 117c0: 00e00113 li sp,14 + 117c4: 0020df33 srl t5,ra,sp + 117c8: 00000013 nop + 117cc: 000f0313 mv t1,t5 + 117d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117d4: 00200293 li t0,2 + 117d8: fe5212e3 bne tp,t0,117bc + 117dc: 00020eb7 lui t4,0x20 + 117e0: 2bc00193 li gp,700 + 117e4: 01d30463 beq t1,t4,117ec + 117e8: 5fc0106f j 12de4 + +000117ec : + 117ec: 00000213 li tp,0 + 117f0: 800000b7 lui ra,0x80000 + 117f4: 01f00113 li sp,31 + 117f8: 0020df33 srl t5,ra,sp + 117fc: 00000013 nop + 11800: 00000013 nop + 11804: 000f0313 mv t1,t5 + 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1180c: 00200293 li t0,2 + 11810: fe5210e3 bne tp,t0,117f0 + 11814: 00100e93 li t4,1 + 11818: 2bd00193 li gp,701 + 1181c: 01d30463 beq t1,t4,11824 + 11820: 5c40106f j 12de4 + +00011824 : + 11824: 00000213 li tp,0 + 11828: 800000b7 lui ra,0x80000 + 1182c: 00700113 li sp,7 + 11830: 0020df33 srl t5,ra,sp + 11834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11838: 00200293 li t0,2 + 1183c: fe5216e3 bne tp,t0,11828 + 11840: 01000eb7 lui t4,0x1000 + 11844: 2be00193 li gp,702 + 11848: 01df0463 beq t5,t4,11850 + 1184c: 5980106f j 12de4 + +00011850 : + 11850: 00000213 li tp,0 + 11854: 800000b7 lui ra,0x80000 + 11858: 00e00113 li sp,14 + 1185c: 00000013 nop + 11860: 0020df33 srl t5,ra,sp + 11864: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11868: 00200293 li t0,2 + 1186c: fe5214e3 bne tp,t0,11854 + 11870: 00020eb7 lui t4,0x20 + 11874: 2bf00193 li gp,703 + 11878: 01df0463 beq t5,t4,11880 + 1187c: 5680106f j 12de4 + +00011880 : + 11880: 00000213 li tp,0 + 11884: 800000b7 lui ra,0x80000 + 11888: 01f00113 li sp,31 + 1188c: 00000013 nop + 11890: 00000013 nop + 11894: 0020df33 srl t5,ra,sp + 11898: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1189c: 00200293 li t0,2 + 118a0: fe5212e3 bne tp,t0,11884 + 118a4: 00100e93 li t4,1 + 118a8: 2c000193 li gp,704 + 118ac: 01df0463 beq t5,t4,118b4 + 118b0: 5340106f j 12de4 + +000118b4 : + 118b4: 00000213 li tp,0 + 118b8: 800000b7 lui ra,0x80000 + 118bc: 00000013 nop + 118c0: 00700113 li sp,7 + 118c4: 0020df33 srl t5,ra,sp + 118c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118cc: 00200293 li t0,2 + 118d0: fe5214e3 bne tp,t0,118b8 + 118d4: 01000eb7 lui t4,0x1000 + 118d8: 2c100193 li gp,705 + 118dc: 01df0463 beq t5,t4,118e4 + 118e0: 5040106f j 12de4 + +000118e4 : + 118e4: 00000213 li tp,0 + 118e8: 800000b7 lui ra,0x80000 + 118ec: 00000013 nop + 118f0: 00e00113 li sp,14 + 118f4: 00000013 nop + 118f8: 0020df33 srl t5,ra,sp + 118fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11900: 00200293 li t0,2 + 11904: fe5212e3 bne tp,t0,118e8 + 11908: 00020eb7 lui t4,0x20 + 1190c: 2c200193 li gp,706 + 11910: 01df0463 beq t5,t4,11918 + 11914: 4d00106f j 12de4 + +00011918 : + 11918: 00000213 li tp,0 + 1191c: 800000b7 lui ra,0x80000 + 11920: 00000013 nop + 11924: 00000013 nop + 11928: 01f00113 li sp,31 + 1192c: 0020df33 srl t5,ra,sp + 11930: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11934: 00200293 li t0,2 + 11938: fe5212e3 bne tp,t0,1191c + 1193c: 00100e93 li t4,1 + 11940: 2c300193 li gp,707 + 11944: 01df0463 beq t5,t4,1194c + 11948: 49c0106f j 12de4 + +0001194c : + 1194c: 00000213 li tp,0 + 11950: 00700113 li sp,7 + 11954: 800000b7 lui ra,0x80000 + 11958: 0020df33 srl t5,ra,sp + 1195c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11960: 00200293 li t0,2 + 11964: fe5216e3 bne tp,t0,11950 + 11968: 01000eb7 lui t4,0x1000 + 1196c: 2c400193 li gp,708 + 11970: 01df0463 beq t5,t4,11978 + 11974: 4700106f j 12de4 + +00011978 : + 11978: 00000213 li tp,0 + 1197c: 00e00113 li sp,14 + 11980: 800000b7 lui ra,0x80000 + 11984: 00000013 nop + 11988: 0020df33 srl t5,ra,sp + 1198c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11990: 00200293 li t0,2 + 11994: fe5214e3 bne tp,t0,1197c + 11998: 00020eb7 lui t4,0x20 + 1199c: 2c500193 li gp,709 + 119a0: 01df0463 beq t5,t4,119a8 + 119a4: 4400106f j 12de4 + +000119a8 : + 119a8: 00000213 li tp,0 + 119ac: 01f00113 li sp,31 + 119b0: 800000b7 lui ra,0x80000 + 119b4: 00000013 nop + 119b8: 00000013 nop + 119bc: 0020df33 srl t5,ra,sp + 119c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 119c4: 00200293 li t0,2 + 119c8: fe5212e3 bne tp,t0,119ac + 119cc: 00100e93 li t4,1 + 119d0: 2c600193 li gp,710 + 119d4: 01df0463 beq t5,t4,119dc + 119d8: 40c0106f j 12de4 + +000119dc : + 119dc: 00000213 li tp,0 + 119e0: 00700113 li sp,7 + 119e4: 00000013 nop + 119e8: 800000b7 lui ra,0x80000 + 119ec: 0020df33 srl t5,ra,sp + 119f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 119f4: 00200293 li t0,2 + 119f8: fe5214e3 bne tp,t0,119e0 + 119fc: 01000eb7 lui t4,0x1000 + 11a00: 2c700193 li gp,711 + 11a04: 01df0463 beq t5,t4,11a0c + 11a08: 3dc0106f j 12de4 + +00011a0c : + 11a0c: 00000213 li tp,0 + 11a10: 00e00113 li sp,14 + 11a14: 00000013 nop + 11a18: 800000b7 lui ra,0x80000 + 11a1c: 00000013 nop + 11a20: 0020df33 srl t5,ra,sp + 11a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11a28: 00200293 li t0,2 + 11a2c: fe5212e3 bne tp,t0,11a10 + 11a30: 00020eb7 lui t4,0x20 + 11a34: 2c800193 li gp,712 + 11a38: 01df0463 beq t5,t4,11a40 + 11a3c: 3a80106f j 12de4 + +00011a40 : + 11a40: 00000213 li tp,0 + 11a44: 01f00113 li sp,31 + 11a48: 00000013 nop + 11a4c: 00000013 nop + 11a50: 800000b7 lui ra,0x80000 + 11a54: 0020df33 srl t5,ra,sp + 11a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11a5c: 00200293 li t0,2 + 11a60: fe5212e3 bne tp,t0,11a44 + 11a64: 00100e93 li t4,1 + 11a68: 2c900193 li gp,713 + 11a6c: 01df0463 beq t5,t4,11a74 + 11a70: 3740106f j 12de4 + +00011a74 : + 11a74: 00f00093 li ra,15 + 11a78: 00105133 srl sp,zero,ra + 11a7c: 00000e93 li t4,0 + 11a80: 2ca00193 li gp,714 + 11a84: 01d10463 beq sp,t4,11a8c + 11a88: 35c0106f j 12de4 + +00011a8c : + 11a8c: 02000093 li ra,32 + 11a90: 0000d133 srl sp,ra,zero + 11a94: 02000e93 li t4,32 + 11a98: 2cb00193 li gp,715 + 11a9c: 01d10463 beq sp,t4,11aa4 + 11aa0: 3440106f j 12de4 + +00011aa4 : + 11aa4: 000050b3 srl ra,zero,zero + 11aa8: 00000e93 li t4,0 + 11aac: 2cc00193 li gp,716 + 11ab0: 01d08463 beq ra,t4,11ab8 + 11ab4: 3300106f j 12de4 + +00011ab8 : + 11ab8: 40000093 li ra,1024 + 11abc: 00001137 lui sp,0x1 + 11ac0: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> + 11ac4: 0020d033 srl zero,ra,sp + 11ac8: 00000e93 li t4,0 + 11acc: 2cd00193 li gp,717 + 11ad0: 01d00463 beq zero,t4,11ad8 + 11ad4: 3100106f j 12de4 + +00011ad8 : + 11ad8: 800000b7 lui ra,0x80000 + 11adc: 00000113 li sp,0 + 11ae0: 0020df33 srl t5,ra,sp + 11ae4: 80000eb7 lui t4,0x80000 + 11ae8: 2ce00193 li gp,718 + 11aec: 01df0463 beq t5,t4,11af4 + 11af0: 2f40106f j 12de4 + +00011af4 : + 11af4: 800000b7 lui ra,0x80000 + 11af8: 00100113 li sp,1 + 11afc: 0020df33 srl t5,ra,sp + 11b00: 40000eb7 lui t4,0x40000 + 11b04: 2cf00193 li gp,719 + 11b08: 01df0463 beq t5,t4,11b10 + 11b0c: 2d80106f j 12de4 + +00011b10 : + 11b10: 800000b7 lui ra,0x80000 + 11b14: 00700113 li sp,7 + 11b18: 0020df33 srl t5,ra,sp + 11b1c: 01000eb7 lui t4,0x1000 + 11b20: 2d000193 li gp,720 + 11b24: 01df0463 beq t5,t4,11b2c + 11b28: 2bc0106f j 12de4 + +00011b2c : + 11b2c: 800000b7 lui ra,0x80000 + 11b30: 00e00113 li sp,14 + 11b34: 0020df33 srl t5,ra,sp + 11b38: 00020eb7 lui t4,0x20 + 11b3c: 2d100193 li gp,721 + 11b40: 01df0463 beq t5,t4,11b48 + 11b44: 2a00106f j 12de4 + +00011b48 : + 11b48: 800000b7 lui ra,0x80000 + 11b4c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11b50: 01f00113 li sp,31 + 11b54: 0020df33 srl t5,ra,sp + 11b58: 00100e93 li t4,1 + 11b5c: 2d200193 li gp,722 + 11b60: 01df0463 beq t5,t4,11b68 + 11b64: 2800106f j 12de4 + +00011b68 : + 11b68: fff00093 li ra,-1 + 11b6c: 00000113 li sp,0 + 11b70: 0020df33 srl t5,ra,sp + 11b74: fff00e93 li t4,-1 + 11b78: 2d300193 li gp,723 + 11b7c: 01df0463 beq t5,t4,11b84 + 11b80: 2640106f j 12de4 + +00011b84 : + 11b84: fff00093 li ra,-1 + 11b88: 00100113 li sp,1 + 11b8c: 0020df33 srl t5,ra,sp + 11b90: 80000eb7 lui t4,0x80000 + 11b94: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11b98: 2d400193 li gp,724 + 11b9c: 01df0463 beq t5,t4,11ba4 + 11ba0: 2440106f j 12de4 + +00011ba4 : + 11ba4: fff00093 li ra,-1 + 11ba8: 00700113 li sp,7 + 11bac: 0020df33 srl t5,ra,sp + 11bb0: 02000eb7 lui t4,0x2000 + 11bb4: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> + 11bb8: 2d500193 li gp,725 + 11bbc: 01df0463 beq t5,t4,11bc4 + 11bc0: 2240106f j 12de4 + +00011bc4 : + 11bc4: fff00093 li ra,-1 + 11bc8: 00e00113 li sp,14 + 11bcc: 0020df33 srl t5,ra,sp + 11bd0: 00040eb7 lui t4,0x40 + 11bd4: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> + 11bd8: 2d600193 li gp,726 + 11bdc: 01df0463 beq t5,t4,11be4 + 11be0: 2040106f j 12de4 + +00011be4 : + 11be4: fff00093 li ra,-1 + 11be8: 01f00113 li sp,31 + 11bec: 0020df33 srl t5,ra,sp + 11bf0: 00100e93 li t4,1 + 11bf4: 2d700193 li gp,727 + 11bf8: 01df0463 beq t5,t4,11c00 + 11bfc: 1e80106f j 12de4 + +00011c00 : + 11c00: 212120b7 lui ra,0x21212 + 11c04: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c08: 00000113 li sp,0 + 11c0c: 0020df33 srl t5,ra,sp + 11c10: 21212eb7 lui t4,0x21212 + 11c14: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> + 11c18: 2d800193 li gp,728 + 11c1c: 01df0463 beq t5,t4,11c24 + 11c20: 1c40106f j 12de4 + +00011c24 : + 11c24: 212120b7 lui ra,0x21212 + 11c28: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c2c: 00100113 li sp,1 + 11c30: 0020df33 srl t5,ra,sp + 11c34: 10909eb7 lui t4,0x10909 + 11c38: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> + 11c3c: 2d900193 li gp,729 + 11c40: 01df0463 beq t5,t4,11c48 + 11c44: 1a00106f j 12de4 + +00011c48 : + 11c48: 212120b7 lui ra,0x21212 + 11c4c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c50: 00700113 li sp,7 + 11c54: 0020df33 srl t5,ra,sp + 11c58: 00424eb7 lui t4,0x424 + 11c5c: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> + 11c60: 2da00193 li gp,730 + 11c64: 01df0463 beq t5,t4,11c6c + 11c68: 17c0106f j 12de4 + +00011c6c : + 11c6c: 212120b7 lui ra,0x21212 + 11c70: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c74: 00e00113 li sp,14 + 11c78: 0020df33 srl t5,ra,sp + 11c7c: 00008eb7 lui t4,0x8 + 11c80: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> + 11c84: 2db00193 li gp,731 + 11c88: 01df0463 beq t5,t4,11c90 + 11c8c: 1580106f j 12de4 + +00011c90 : + 11c90: 212120b7 lui ra,0x21212 + 11c94: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c98: 01f00113 li sp,31 + 11c9c: 0020df33 srl t5,ra,sp + 11ca0: 00000e93 li t4,0 + 11ca4: 2dc00193 li gp,732 + 11ca8: 01df0463 beq t5,t4,11cb0 + 11cac: 1380106f j 12de4 + +00011cb0 : + 11cb0: 800000b7 lui ra,0x80000 + 11cb4: 0070d093 srli ra,ra,0x7 + 11cb8: 01000eb7 lui t4,0x1000 + 11cbc: 2dd00193 li gp,733 + 11cc0: 01d08463 beq ra,t4,11cc8 + 11cc4: 1200106f j 12de4 + +00011cc8 : + 11cc8: 00000213 li tp,0 + 11ccc: 800000b7 lui ra,0x80000 + 11cd0: 0070df13 srli t5,ra,0x7 + 11cd4: 000f0313 mv t1,t5 + 11cd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11cdc: 00200293 li t0,2 + 11ce0: fe5216e3 bne tp,t0,11ccc + 11ce4: 01000eb7 lui t4,0x1000 + 11ce8: 2de00193 li gp,734 + 11cec: 01d30463 beq t1,t4,11cf4 + 11cf0: 0f40106f j 12de4 + +00011cf4 : + 11cf4: 00000213 li tp,0 + 11cf8: 800000b7 lui ra,0x80000 + 11cfc: 00e0df13 srli t5,ra,0xe + 11d00: 00000013 nop + 11d04: 000f0313 mv t1,t5 + 11d08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d0c: 00200293 li t0,2 + 11d10: fe5214e3 bne tp,t0,11cf8 + 11d14: 00020eb7 lui t4,0x20 + 11d18: 2df00193 li gp,735 + 11d1c: 01d30463 beq t1,t4,11d24 + 11d20: 0c40106f j 12de4 + +00011d24 : + 11d24: 00000213 li tp,0 + 11d28: 800000b7 lui ra,0x80000 + 11d2c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11d30: 01f0df13 srli t5,ra,0x1f + 11d34: 00000013 nop + 11d38: 00000013 nop + 11d3c: 000f0313 mv t1,t5 + 11d40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d44: 00200293 li t0,2 + 11d48: fe5210e3 bne tp,t0,11d28 + 11d4c: 00100e93 li t4,1 + 11d50: 2e000193 li gp,736 + 11d54: 01d30463 beq t1,t4,11d5c + 11d58: 08c0106f j 12de4 + +00011d5c : + 11d5c: 00000213 li tp,0 + 11d60: 800000b7 lui ra,0x80000 + 11d64: 0070df13 srli t5,ra,0x7 + 11d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d6c: 00200293 li t0,2 + 11d70: fe5218e3 bne tp,t0,11d60 + 11d74: 01000eb7 lui t4,0x1000 + 11d78: 2e100193 li gp,737 + 11d7c: 01df0463 beq t5,t4,11d84 + 11d80: 0640106f j 12de4 + +00011d84 : + 11d84: 00000213 li tp,0 + 11d88: 800000b7 lui ra,0x80000 + 11d8c: 00000013 nop + 11d90: 00e0df13 srli t5,ra,0xe + 11d94: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d98: 00200293 li t0,2 + 11d9c: fe5216e3 bne tp,t0,11d88 + 11da0: 00020eb7 lui t4,0x20 + 11da4: 2e200193 li gp,738 + 11da8: 01df0463 beq t5,t4,11db0 + 11dac: 0380106f j 12de4 + +00011db0 : + 11db0: 00000213 li tp,0 + 11db4: 800000b7 lui ra,0x80000 + 11db8: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11dbc: 00000013 nop + 11dc0: 00000013 nop + 11dc4: 01f0df13 srli t5,ra,0x1f + 11dc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11dcc: 00200293 li t0,2 + 11dd0: fe5212e3 bne tp,t0,11db4 + 11dd4: 00100e93 li t4,1 + 11dd8: 2e300193 li gp,739 + 11ddc: 01df0463 beq t5,t4,11de4 + 11de0: 0040106f j 12de4 + +00011de4 : + 11de4: 00405093 srli ra,zero,0x4 + 11de8: 00000e93 li t4,0 + 11dec: 2e400193 li gp,740 + 11df0: 7fd09ae3 bne ra,t4,12de4 + +00011df4 : + 11df4: 02100093 li ra,33 + 11df8: 00a0d013 srli zero,ra,0xa + 11dfc: 00000e93 li t4,0 + 11e00: 2e500193 li gp,741 + 11e04: 7fd010e3 bne zero,t4,12de4 + +00011e08 : + 11e08: 00000093 li ra,0 + 11e0c: 00000113 li sp,0 + 11e10: 40208f33 sub t5,ra,sp + 11e14: 00000e93 li t4,0 + 11e18: 2e600193 li gp,742 + 11e1c: 7ddf14e3 bne t5,t4,12de4 + +00011e20 : + 11e20: 00100093 li ra,1 + 11e24: 00100113 li sp,1 + 11e28: 40208f33 sub t5,ra,sp + 11e2c: 00000e93 li t4,0 + 11e30: 2e700193 li gp,743 + 11e34: 7bdf18e3 bne t5,t4,12de4 + +00011e38 : + 11e38: 00300093 li ra,3 + 11e3c: 00700113 li sp,7 + 11e40: 40208f33 sub t5,ra,sp + 11e44: ffc00e93 li t4,-4 + 11e48: 2e800193 li gp,744 + 11e4c: 79df1ce3 bne t5,t4,12de4 + +00011e50 : + 11e50: 00000093 li ra,0 + 11e54: ffff8137 lui sp,0xffff8 + 11e58: 40208f33 sub t5,ra,sp + 11e5c: 00008eb7 lui t4,0x8 + 11e60: 2e900193 li gp,745 + 11e64: 79df10e3 bne t5,t4,12de4 + +00011e68 : + 11e68: 800000b7 lui ra,0x80000 + 11e6c: 00000113 li sp,0 + 11e70: 40208f33 sub t5,ra,sp + 11e74: 80000eb7 lui t4,0x80000 + 11e78: 2ea00193 li gp,746 + 11e7c: 77df14e3 bne t5,t4,12de4 + +00011e80 : + 11e80: 800000b7 lui ra,0x80000 + 11e84: ffff8137 lui sp,0xffff8 + 11e88: 40208f33 sub t5,ra,sp + 11e8c: 80008eb7 lui t4,0x80008 + 11e90: 2eb00193 li gp,747 + 11e94: 75df18e3 bne t5,t4,12de4 + +00011e98 : + 11e98: 00000093 li ra,0 + 11e9c: 00008137 lui sp,0x8 + 11ea0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 11ea4: 40208f33 sub t5,ra,sp + 11ea8: ffff8eb7 lui t4,0xffff8 + 11eac: 001e8e93 addi t4,t4,1 # ffff8001 <__global_pointer$+0xfffe38f9> + 11eb0: 2ec00193 li gp,748 + 11eb4: 73df18e3 bne t5,t4,12de4 + +00011eb8 : + 11eb8: 800000b7 lui ra,0x80000 + 11ebc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11ec0: 00000113 li sp,0 + 11ec4: 40208f33 sub t5,ra,sp + 11ec8: 80000eb7 lui t4,0x80000 + 11ecc: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11ed0: 2ed00193 li gp,749 + 11ed4: 71df18e3 bne t5,t4,12de4 + +00011ed8 : + 11ed8: 800000b7 lui ra,0x80000 + 11edc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11ee0: 00008137 lui sp,0x8 + 11ee4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 11ee8: 40208f33 sub t5,ra,sp + 11eec: 7fff8eb7 lui t4,0x7fff8 + 11ef0: 2ee00193 li gp,750 + 11ef4: 6fdf18e3 bne t5,t4,12de4 + +00011ef8 : + 11ef8: 800000b7 lui ra,0x80000 + 11efc: 00008137 lui sp,0x8 + 11f00: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 11f04: 40208f33 sub t5,ra,sp + 11f08: 7fff8eb7 lui t4,0x7fff8 + 11f0c: 001e8e93 addi t4,t4,1 # 7fff8001 <__global_pointer$+0x7ffe38f9> + 11f10: 2ef00193 li gp,751 + 11f14: 6ddf18e3 bne t5,t4,12de4 + +00011f18 : + 11f18: 800000b7 lui ra,0x80000 + 11f1c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11f20: ffff8137 lui sp,0xffff8 + 11f24: 40208f33 sub t5,ra,sp + 11f28: 80008eb7 lui t4,0x80008 + 11f2c: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff38f7> + 11f30: 2f000193 li gp,752 + 11f34: 6bdf18e3 bne t5,t4,12de4 + +00011f38 : + 11f38: 00000093 li ra,0 + 11f3c: fff00113 li sp,-1 + 11f40: 40208f33 sub t5,ra,sp + 11f44: 00100e93 li t4,1 + 11f48: 2f100193 li gp,753 + 11f4c: 69df1ce3 bne t5,t4,12de4 + +00011f50 : + 11f50: fff00093 li ra,-1 + 11f54: 00100113 li sp,1 + 11f58: 40208f33 sub t5,ra,sp + 11f5c: ffe00e93 li t4,-2 + 11f60: 2f200193 li gp,754 + 11f64: 69df10e3 bne t5,t4,12de4 + +00011f68 : + 11f68: fff00093 li ra,-1 + 11f6c: fff00113 li sp,-1 + 11f70: 40208f33 sub t5,ra,sp + 11f74: 00000e93 li t4,0 + 11f78: 2f300193 li gp,755 + 11f7c: 67df14e3 bne t5,t4,12de4 + +00011f80 : + 11f80: 00d00093 li ra,13 + 11f84: 00b00113 li sp,11 + 11f88: 402080b3 sub ra,ra,sp + 11f8c: 00200e93 li t4,2 + 11f90: 2f400193 li gp,756 + 11f94: 65d098e3 bne ra,t4,12de4 + +00011f98 : + 11f98: 00e00093 li ra,14 + 11f9c: 00b00113 li sp,11 + 11fa0: 40208133 sub sp,ra,sp + 11fa4: 00300e93 li t4,3 + 11fa8: 2f500193 li gp,757 + 11fac: 63d11ce3 bne sp,t4,12de4 + +00011fb0 : + 11fb0: 00d00093 li ra,13 + 11fb4: 401080b3 sub ra,ra,ra + 11fb8: 00000e93 li t4,0 + 11fbc: 2f600193 li gp,758 + 11fc0: 63d092e3 bne ra,t4,12de4 + +00011fc4 : + 11fc4: 00000213 li tp,0 + 11fc8: 00d00093 li ra,13 + 11fcc: 00b00113 li sp,11 + 11fd0: 40208f33 sub t5,ra,sp + 11fd4: 000f0313 mv t1,t5 + 11fd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11fdc: 00200293 li t0,2 + 11fe0: fe5214e3 bne tp,t0,11fc8 + 11fe4: 00200e93 li t4,2 + 11fe8: 2f700193 li gp,759 + 11fec: 5fd31ce3 bne t1,t4,12de4 + +00011ff0 : + 11ff0: 00000213 li tp,0 + 11ff4: 00e00093 li ra,14 + 11ff8: 00b00113 li sp,11 + 11ffc: 40208f33 sub t5,ra,sp + 12000: 00000013 nop + 12004: 000f0313 mv t1,t5 + 12008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1200c: 00200293 li t0,2 + 12010: fe5212e3 bne tp,t0,11ff4 + 12014: 00300e93 li t4,3 + 12018: 2f800193 li gp,760 + 1201c: 5dd314e3 bne t1,t4,12de4 + +00012020 : + 12020: 00000213 li tp,0 + 12024: 00f00093 li ra,15 + 12028: 00b00113 li sp,11 + 1202c: 40208f33 sub t5,ra,sp + 12030: 00000013 nop + 12034: 00000013 nop + 12038: 000f0313 mv t1,t5 + 1203c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12040: 00200293 li t0,2 + 12044: fe5210e3 bne tp,t0,12024 + 12048: 00400e93 li t4,4 + 1204c: 2f900193 li gp,761 + 12050: 59d31ae3 bne t1,t4,12de4 + +00012054 : + 12054: 00000213 li tp,0 + 12058: 00d00093 li ra,13 + 1205c: 00b00113 li sp,11 + 12060: 40208f33 sub t5,ra,sp + 12064: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12068: 00200293 li t0,2 + 1206c: fe5216e3 bne tp,t0,12058 + 12070: 00200e93 li t4,2 + 12074: 2fa00193 li gp,762 + 12078: 57df16e3 bne t5,t4,12de4 + +0001207c : + 1207c: 00000213 li tp,0 + 12080: 00e00093 li ra,14 + 12084: 00b00113 li sp,11 + 12088: 00000013 nop + 1208c: 40208f33 sub t5,ra,sp + 12090: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12094: 00200293 li t0,2 + 12098: fe5214e3 bne tp,t0,12080 + 1209c: 00300e93 li t4,3 + 120a0: 2fb00193 li gp,763 + 120a4: 55df10e3 bne t5,t4,12de4 + +000120a8 : + 120a8: 00000213 li tp,0 + 120ac: 00f00093 li ra,15 + 120b0: 00b00113 li sp,11 + 120b4: 00000013 nop + 120b8: 00000013 nop + 120bc: 40208f33 sub t5,ra,sp + 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120c4: 00200293 li t0,2 + 120c8: fe5212e3 bne tp,t0,120ac + 120cc: 00400e93 li t4,4 + 120d0: 2fc00193 li gp,764 + 120d4: 51df18e3 bne t5,t4,12de4 + +000120d8 : + 120d8: 00000213 li tp,0 + 120dc: 00d00093 li ra,13 + 120e0: 00000013 nop + 120e4: 00b00113 li sp,11 + 120e8: 40208f33 sub t5,ra,sp + 120ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120f0: 00200293 li t0,2 + 120f4: fe5214e3 bne tp,t0,120dc + 120f8: 00200e93 li t4,2 + 120fc: 2fd00193 li gp,765 + 12100: 4fdf12e3 bne t5,t4,12de4 + +00012104 : + 12104: 00000213 li tp,0 + 12108: 00e00093 li ra,14 + 1210c: 00000013 nop + 12110: 00b00113 li sp,11 + 12114: 00000013 nop + 12118: 40208f33 sub t5,ra,sp + 1211c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12120: 00200293 li t0,2 + 12124: fe5212e3 bne tp,t0,12108 + 12128: 00300e93 li t4,3 + 1212c: 2fe00193 li gp,766 + 12130: 4bdf1ae3 bne t5,t4,12de4 + +00012134 : + 12134: 00000213 li tp,0 + 12138: 00f00093 li ra,15 + 1213c: 00000013 nop + 12140: 00000013 nop + 12144: 00b00113 li sp,11 + 12148: 40208f33 sub t5,ra,sp + 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12150: 00200293 li t0,2 + 12154: fe5212e3 bne tp,t0,12138 + 12158: 00400e93 li t4,4 + 1215c: 2ff00193 li gp,767 + 12160: 49df12e3 bne t5,t4,12de4 + +00012164 : + 12164: 00000213 li tp,0 + 12168: 00b00113 li sp,11 + 1216c: 00d00093 li ra,13 + 12170: 40208f33 sub t5,ra,sp + 12174: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12178: 00200293 li t0,2 + 1217c: fe5216e3 bne tp,t0,12168 + 12180: 00200e93 li t4,2 + 12184: 30000193 li gp,768 + 12188: 45df1ee3 bne t5,t4,12de4 + +0001218c : + 1218c: 00000213 li tp,0 + 12190: 00b00113 li sp,11 + 12194: 00e00093 li ra,14 + 12198: 00000013 nop + 1219c: 40208f33 sub t5,ra,sp + 121a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121a4: 00200293 li t0,2 + 121a8: fe5214e3 bne tp,t0,12190 + 121ac: 00300e93 li t4,3 + 121b0: 30100193 li gp,769 + 121b4: 43df18e3 bne t5,t4,12de4 + +000121b8 : + 121b8: 00000213 li tp,0 + 121bc: 00b00113 li sp,11 + 121c0: 00f00093 li ra,15 + 121c4: 00000013 nop + 121c8: 00000013 nop + 121cc: 40208f33 sub t5,ra,sp + 121d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121d4: 00200293 li t0,2 + 121d8: fe5212e3 bne tp,t0,121bc + 121dc: 00400e93 li t4,4 + 121e0: 30200193 li gp,770 + 121e4: 41df10e3 bne t5,t4,12de4 + +000121e8 : + 121e8: 00000213 li tp,0 + 121ec: 00b00113 li sp,11 + 121f0: 00000013 nop + 121f4: 00d00093 li ra,13 + 121f8: 40208f33 sub t5,ra,sp + 121fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12200: 00200293 li t0,2 + 12204: fe5214e3 bne tp,t0,121ec + 12208: 00200e93 li t4,2 + 1220c: 30300193 li gp,771 + 12210: 3ddf1ae3 bne t5,t4,12de4 + +00012214 : + 12214: 00000213 li tp,0 + 12218: 00b00113 li sp,11 + 1221c: 00000013 nop + 12220: 00e00093 li ra,14 + 12224: 00000013 nop + 12228: 40208f33 sub t5,ra,sp + 1222c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12230: 00200293 li t0,2 + 12234: fe5212e3 bne tp,t0,12218 + 12238: 00300e93 li t4,3 + 1223c: 30400193 li gp,772 + 12240: 3bdf12e3 bne t5,t4,12de4 + +00012244 : + 12244: 00000213 li tp,0 + 12248: 00b00113 li sp,11 + 1224c: 00000013 nop + 12250: 00000013 nop + 12254: 00f00093 li ra,15 + 12258: 40208f33 sub t5,ra,sp + 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12260: 00200293 li t0,2 + 12264: fe5212e3 bne tp,t0,12248 + 12268: 00400e93 li t4,4 + 1226c: 30500193 li gp,773 + 12270: 37df1ae3 bne t5,t4,12de4 + +00012274 : + 12274: ff100093 li ra,-15 + 12278: 40100133 neg sp,ra + 1227c: 00f00e93 li t4,15 + 12280: 30600193 li gp,774 + 12284: 37d110e3 bne sp,t4,12de4 + +00012288 : + 12288: 02000093 li ra,32 + 1228c: 40008133 sub sp,ra,zero + 12290: 02000e93 li t4,32 + 12294: 30700193 li gp,775 + 12298: 35d116e3 bne sp,t4,12de4 + +0001229c : + 1229c: 400000b3 neg ra,zero + 122a0: 00000e93 li t4,0 + 122a4: 30800193 li gp,776 + 122a8: 33d09ee3 bne ra,t4,12de4 + +000122ac : + 122ac: 01000093 li ra,16 + 122b0: 01e00113 li sp,30 + 122b4: 40208033 sub zero,ra,sp + 122b8: 00000e93 li t4,0 + 122bc: 30900193 li gp,777 + 122c0: 33d012e3 bne zero,t4,12de4 + +000122c4 : + 122c4: 00002097 auipc ra,0x2 + 122c8: b8c08093 addi ra,ra,-1140 # 13e50 + 122cc: 00aa0137 lui sp,0xaa0 + 122d0: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> + 122d4: 0020a023 sw sp,0(ra) + 122d8: 0000af03 lw t5,0(ra) + 122dc: 00aa0eb7 lui t4,0xaa0 + 122e0: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> + 122e4: 30a00193 li gp,778 + 122e8: 2fdf1ee3 bne t5,t4,12de4 + +000122ec : + 122ec: 00002097 auipc ra,0x2 + 122f0: b6408093 addi ra,ra,-1180 # 13e50 + 122f4: aa00b137 lui sp,0xaa00b + 122f8: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 122fc: 0020a223 sw sp,4(ra) + 12300: 0040af03 lw t5,4(ra) + 12304: aa00beb7 lui t4,0xaa00b + 12308: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 1230c: 30b00193 li gp,779 + 12310: 2ddf1ae3 bne t5,t4,12de4 + +00012314 : + 12314: 00002097 auipc ra,0x2 + 12318: b3c08093 addi ra,ra,-1220 # 13e50 + 1231c: 0aa01137 lui sp,0xaa01 + 12320: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 12324: 0020a423 sw sp,8(ra) + 12328: 0080af03 lw t5,8(ra) + 1232c: 0aa01eb7 lui t4,0xaa01 + 12330: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 12334: 30c00193 li gp,780 + 12338: 2bdf16e3 bne t5,t4,12de4 + +0001233c : + 1233c: 00002097 auipc ra,0x2 + 12340: b1408093 addi ra,ra,-1260 # 13e50 + 12344: a00aa137 lui sp,0xa00aa + 12348: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> + 1234c: 0020a623 sw sp,12(ra) + 12350: 00c0af03 lw t5,12(ra) + 12354: a00aaeb7 lui t4,0xa00aa + 12358: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> + 1235c: 30d00193 li gp,781 + 12360: 29df12e3 bne t5,t4,12de4 + +00012364 : + 12364: 00002097 auipc ra,0x2 + 12368: b0808093 addi ra,ra,-1272 # 13e6c + 1236c: 00aa0137 lui sp,0xaa0 + 12370: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> + 12374: fe20aa23 sw sp,-12(ra) + 12378: ff40af03 lw t5,-12(ra) + 1237c: 00aa0eb7 lui t4,0xaa0 + 12380: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> + 12384: 30e00193 li gp,782 + 12388: 25df1ee3 bne t5,t4,12de4 + +0001238c : + 1238c: 00002097 auipc ra,0x2 + 12390: ae008093 addi ra,ra,-1312 # 13e6c + 12394: aa00b137 lui sp,0xaa00b + 12398: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 1239c: fe20ac23 sw sp,-8(ra) + 123a0: ff80af03 lw t5,-8(ra) + 123a4: aa00beb7 lui t4,0xaa00b + 123a8: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 123ac: 30f00193 li gp,783 + 123b0: 23df1ae3 bne t5,t4,12de4 + +000123b4 : + 123b4: 00002097 auipc ra,0x2 + 123b8: ab808093 addi ra,ra,-1352 # 13e6c + 123bc: 0aa01137 lui sp,0xaa01 + 123c0: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 123c4: fe20ae23 sw sp,-4(ra) + 123c8: ffc0af03 lw t5,-4(ra) + 123cc: 0aa01eb7 lui t4,0xaa01 + 123d0: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 123d4: 31000193 li gp,784 + 123d8: 21df16e3 bne t5,t4,12de4 + +000123dc : + 123dc: 00002097 auipc ra,0x2 + 123e0: a9008093 addi ra,ra,-1392 # 13e6c + 123e4: a00aa137 lui sp,0xa00aa + 123e8: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> + 123ec: 0020a023 sw sp,0(ra) + 123f0: 0000af03 lw t5,0(ra) + 123f4: a00aaeb7 lui t4,0xa00aa + 123f8: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> + 123fc: 31100193 li gp,785 + 12400: 1fdf12e3 bne t5,t4,12de4 + +00012404 : + 12404: 00002097 auipc ra,0x2 + 12408: a6c08093 addi ra,ra,-1428 # 13e70 + 1240c: 12345137 lui sp,0x12345 + 12410: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12330f70> + 12414: fe008213 addi tp,ra,-32 + 12418: 02222023 sw sp,32(tp) # 20 <_start-0x10060> + 1241c: 0000a283 lw t0,0(ra) + 12420: 12345eb7 lui t4,0x12345 + 12424: 678e8e93 addi t4,t4,1656 # 12345678 <__global_pointer$+0x12330f70> + 12428: 31200193 li gp,786 + 1242c: 1bd29ce3 bne t0,t4,12de4 + +00012430 : + 12430: 00002097 auipc ra,0x2 + 12434: a4008093 addi ra,ra,-1472 # 13e70 + 12438: 58213137 lui sp,0x58213 + 1243c: 09810113 addi sp,sp,152 # 58213098 <__global_pointer$+0x581fe990> + 12440: ffd08093 addi ra,ra,-3 + 12444: 0020a3a3 sw sp,7(ra) + 12448: 00002217 auipc tp,0x2 + 1244c: a2c20213 addi tp,tp,-1492 # 13e74 + 12450: 00022283 lw t0,0(tp) # 0 <_start-0x10080> + 12454: 58213eb7 lui t4,0x58213 + 12458: 098e8e93 addi t4,t4,152 # 58213098 <__global_pointer$+0x581fe990> + 1245c: 31300193 li gp,787 + 12460: 19d292e3 bne t0,t4,12de4 + +00012464 : + 12464: 31400193 li gp,788 + 12468: 00000213 li tp,0 + 1246c: aabbd0b7 lui ra,0xaabbd + 12470: cdd08093 addi ra,ra,-803 # aabbccdd <__global_pointer$+0xaaba85d5> + 12474: 00002117 auipc sp,0x2 + 12478: 9dc10113 addi sp,sp,-1572 # 13e50 + 1247c: 00112023 sw ra,0(sp) + 12480: 00012f03 lw t5,0(sp) + 12484: aabbdeb7 lui t4,0xaabbd + 12488: cdde8e93 addi t4,t4,-803 # aabbccdd <__global_pointer$+0xaaba85d5> + 1248c: 15df1ce3 bne t5,t4,12de4 + 12490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12494: 00200293 li t0,2 + 12498: fc521ae3 bne tp,t0,1246c + +0001249c : + 1249c: 31500193 li gp,789 + 124a0: 00000213 li tp,0 + 124a4: daabc0b7 lui ra,0xdaabc + 124a8: ccd08093 addi ra,ra,-819 # daabbccd <__global_pointer$+0xdaaa75c5> + 124ac: 00002117 auipc sp,0x2 + 124b0: 9a410113 addi sp,sp,-1628 # 13e50 + 124b4: 00000013 nop + 124b8: 00112223 sw ra,4(sp) + 124bc: 00412f03 lw t5,4(sp) + 124c0: daabceb7 lui t4,0xdaabc + 124c4: ccde8e93 addi t4,t4,-819 # daabbccd <__global_pointer$+0xdaaa75c5> + 124c8: 11df1ee3 bne t5,t4,12de4 + 124cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 124d0: 00200293 li t0,2 + 124d4: fc5218e3 bne tp,t0,124a4 + +000124d8 : + 124d8: 31600193 li gp,790 + 124dc: 00000213 li tp,0 + 124e0: ddaac0b7 lui ra,0xddaac + 124e4: bcc08093 addi ra,ra,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> + 124e8: 00002117 auipc sp,0x2 + 124ec: 96810113 addi sp,sp,-1688 # 13e50 + 124f0: 00000013 nop + 124f4: 00000013 nop + 124f8: 00112423 sw ra,8(sp) + 124fc: 00812f03 lw t5,8(sp) + 12500: ddaaceb7 lui t4,0xddaac + 12504: bcce8e93 addi t4,t4,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> + 12508: 0ddf1ee3 bne t5,t4,12de4 + 1250c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12510: 00200293 li t0,2 + 12514: fc5216e3 bne tp,t0,124e0 + +00012518 : + 12518: 31700193 li gp,791 + 1251c: 00000213 li tp,0 + 12520: cddab0b7 lui ra,0xcddab + 12524: bbc08093 addi ra,ra,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> + 12528: 00000013 nop + 1252c: 00002117 auipc sp,0x2 + 12530: 92410113 addi sp,sp,-1756 # 13e50 + 12534: 00112623 sw ra,12(sp) + 12538: 00c12f03 lw t5,12(sp) + 1253c: cddabeb7 lui t4,0xcddab + 12540: bbce8e93 addi t4,t4,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> + 12544: 0bdf10e3 bne t5,t4,12de4 + 12548: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1254c: 00200293 li t0,2 + 12550: fc5218e3 bne tp,t0,12520 + +00012554 : + 12554: 31800193 li gp,792 + 12558: 00000213 li tp,0 + 1255c: ccddb0b7 lui ra,0xccddb + 12560: abb08093 addi ra,ra,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> + 12564: 00000013 nop + 12568: 00002117 auipc sp,0x2 + 1256c: 8e810113 addi sp,sp,-1816 # 13e50 + 12570: 00000013 nop + 12574: 00112823 sw ra,16(sp) + 12578: 01012f03 lw t5,16(sp) + 1257c: ccddbeb7 lui t4,0xccddb + 12580: abbe8e93 addi t4,t4,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> + 12584: 07df10e3 bne t5,t4,12de4 + 12588: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1258c: 00200293 li t0,2 + 12590: fc5216e3 bne tp,t0,1255c + +00012594 : + 12594: 31900193 li gp,793 + 12598: 00000213 li tp,0 + 1259c: bccde0b7 lui ra,0xbccde + 125a0: aab08093 addi ra,ra,-1365 # bccddaab <__global_pointer$+0xbccc93a3> + 125a4: 00000013 nop + 125a8: 00000013 nop + 125ac: 00002117 auipc sp,0x2 + 125b0: 8a410113 addi sp,sp,-1884 # 13e50 + 125b4: 00112a23 sw ra,20(sp) + 125b8: 01412f03 lw t5,20(sp) + 125bc: bccdeeb7 lui t4,0xbccde + 125c0: aabe8e93 addi t4,t4,-1365 # bccddaab <__global_pointer$+0xbccc93a3> + 125c4: 03df10e3 bne t5,t4,12de4 + 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125cc: 00200293 li t0,2 + 125d0: fc5216e3 bne tp,t0,1259c + +000125d4 : + 125d4: 31a00193 li gp,794 + 125d8: 00000213 li tp,0 + 125dc: 00002117 auipc sp,0x2 + 125e0: 87410113 addi sp,sp,-1932 # 13e50 + 125e4: 001120b7 lui ra,0x112 + 125e8: 23308093 addi ra,ra,563 # 112233 <__global_pointer$+0xfdb2b> + 125ec: 00112023 sw ra,0(sp) + 125f0: 00012f03 lw t5,0(sp) + 125f4: 00112eb7 lui t4,0x112 + 125f8: 233e8e93 addi t4,t4,563 # 112233 <__global_pointer$+0xfdb2b> + 125fc: 7fdf1463 bne t5,t4,12de4 + 12600: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12604: 00200293 li t0,2 + 12608: fc521ae3 bne tp,t0,125dc + +0001260c : + 1260c: 31b00193 li gp,795 + 12610: 00000213 li tp,0 + 12614: 00002117 auipc sp,0x2 + 12618: 83c10113 addi sp,sp,-1988 # 13e50 + 1261c: 300110b7 lui ra,0x30011 + 12620: 22308093 addi ra,ra,547 # 30011223 <__global_pointer$+0x2fffcb1b> + 12624: 00000013 nop + 12628: 00112223 sw ra,4(sp) + 1262c: 00412f03 lw t5,4(sp) + 12630: 30011eb7 lui t4,0x30011 + 12634: 223e8e93 addi t4,t4,547 # 30011223 <__global_pointer$+0x2fffcb1b> + 12638: 7bdf1663 bne t5,t4,12de4 + 1263c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12640: 00200293 li t0,2 + 12644: fc5218e3 bne tp,t0,12614 + +00012648 : + 12648: 31c00193 li gp,796 + 1264c: 00000213 li tp,0 + 12650: 00002117 auipc sp,0x2 + 12654: 80010113 addi sp,sp,-2048 # 13e50 + 12658: 330010b7 lui ra,0x33001 + 1265c: 12208093 addi ra,ra,290 # 33001122 <__global_pointer$+0x32feca1a> + 12660: 00000013 nop + 12664: 00000013 nop + 12668: 00112423 sw ra,8(sp) + 1266c: 00812f03 lw t5,8(sp) + 12670: 33001eb7 lui t4,0x33001 + 12674: 122e8e93 addi t4,t4,290 # 33001122 <__global_pointer$+0x32feca1a> + 12678: 77df1663 bne t5,t4,12de4 + 1267c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12680: 00200293 li t0,2 + 12684: fc5216e3 bne tp,t0,12650 + +00012688 : + 12688: 31d00193 li gp,797 + 1268c: 00000213 li tp,0 + 12690: 00001117 auipc sp,0x1 + 12694: 7c010113 addi sp,sp,1984 # 13e50 + 12698: 00000013 nop + 1269c: 233000b7 lui ra,0x23300 + 126a0: 11208093 addi ra,ra,274 # 23300112 <__global_pointer$+0x232eba0a> + 126a4: 00112623 sw ra,12(sp) + 126a8: 00c12f03 lw t5,12(sp) + 126ac: 23300eb7 lui t4,0x23300 + 126b0: 112e8e93 addi t4,t4,274 # 23300112 <__global_pointer$+0x232eba0a> + 126b4: 73df1863 bne t5,t4,12de4 + 126b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 126bc: 00200293 li t0,2 + 126c0: fc5218e3 bne tp,t0,12690 + +000126c4 : + 126c4: 31e00193 li gp,798 + 126c8: 00000213 li tp,0 + 126cc: 00001117 auipc sp,0x1 + 126d0: 78410113 addi sp,sp,1924 # 13e50 + 126d4: 00000013 nop + 126d8: 223300b7 lui ra,0x22330 + 126dc: 01108093 addi ra,ra,17 # 22330011 <__global_pointer$+0x2231b909> + 126e0: 00000013 nop + 126e4: 00112823 sw ra,16(sp) + 126e8: 01012f03 lw t5,16(sp) + 126ec: 22330eb7 lui t4,0x22330 + 126f0: 011e8e93 addi t4,t4,17 # 22330011 <__global_pointer$+0x2231b909> + 126f4: 6fdf1863 bne t5,t4,12de4 + 126f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 126fc: 00200293 li t0,2 + 12700: fc5216e3 bne tp,t0,126cc + +00012704 : + 12704: 31f00193 li gp,799 + 12708: 00000213 li tp,0 + 1270c: 00001117 auipc sp,0x1 + 12710: 74410113 addi sp,sp,1860 # 13e50 + 12714: 00000013 nop + 12718: 00000013 nop + 1271c: 122330b7 lui ra,0x12233 + 12720: 00108093 addi ra,ra,1 # 12233001 <__global_pointer$+0x1221e8f9> + 12724: 00112a23 sw ra,20(sp) + 12728: 01412f03 lw t5,20(sp) + 1272c: 12233eb7 lui t4,0x12233 + 12730: 001e8e93 addi t4,t4,1 # 12233001 <__global_pointer$+0x1221e8f9> + 12734: 6bdf1863 bne t5,t4,12de4 + 12738: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1273c: 00200293 li t0,2 + 12740: fc5216e3 bne tp,t0,1270c + +00012744 : + 12744: ff0100b7 lui ra,0xff010 + 12748: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 1274c: 0f0f1137 lui sp,0xf0f1 + 12750: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12754: 0020cf33 xor t5,ra,sp + 12758: f00ffeb7 lui t4,0xf00ff + 1275c: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12760: 32000193 li gp,800 + 12764: 69df1063 bne t5,t4,12de4 + +00012768 : + 12768: 0ff010b7 lui ra,0xff01 + 1276c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12770: f0f0f137 lui sp,0xf0f0f + 12774: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12778: 0020cf33 xor t5,ra,sp + 1277c: ff010eb7 lui t4,0xff010 + 12780: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12784: 32100193 li gp,801 + 12788: 65df1e63 bne t5,t4,12de4 + +0001278c : + 1278c: 00ff00b7 lui ra,0xff0 + 12790: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12794: 0f0f1137 lui sp,0xf0f1 + 12798: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 1279c: 0020cf33 xor t5,ra,sp + 127a0: 0ff01eb7 lui t4,0xff01 + 127a4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 127a8: 32200193 li gp,802 + 127ac: 63df1c63 bne t5,t4,12de4 + +000127b0 : + 127b0: f00ff0b7 lui ra,0xf00ff + 127b4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 127b8: f0f0f137 lui sp,0xf0f0f + 127bc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 127c0: 0020cf33 xor t5,ra,sp + 127c4: 00ff0eb7 lui t4,0xff0 + 127c8: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> + 127cc: 32300193 li gp,803 + 127d0: 61df1a63 bne t5,t4,12de4 + +000127d4 : + 127d4: ff0100b7 lui ra,0xff010 + 127d8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 127dc: 0f0f1137 lui sp,0xf0f1 + 127e0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 127e4: 0020c0b3 xor ra,ra,sp + 127e8: f00ffeb7 lui t4,0xf00ff + 127ec: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 127f0: 32400193 li gp,804 + 127f4: 5fd09863 bne ra,t4,12de4 + +000127f8 : + 127f8: ff0100b7 lui ra,0xff010 + 127fc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12800: 0f0f1137 lui sp,0xf0f1 + 12804: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12808: 0020c133 xor sp,ra,sp + 1280c: f00ffeb7 lui t4,0xf00ff + 12810: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12814: 32500193 li gp,805 + 12818: 5dd11663 bne sp,t4,12de4 + +0001281c : + 1281c: ff0100b7 lui ra,0xff010 + 12820: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12824: 0010c0b3 xor ra,ra,ra + 12828: 00000e93 li t4,0 + 1282c: 32600193 li gp,806 + 12830: 5bd09a63 bne ra,t4,12de4 + +00012834 : + 12834: 00000213 li tp,0 + 12838: ff0100b7 lui ra,0xff010 + 1283c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12840: 0f0f1137 lui sp,0xf0f1 + 12844: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12848: 0020cf33 xor t5,ra,sp + 1284c: 000f0313 mv t1,t5 + 12850: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12854: 00200293 li t0,2 + 12858: fe5210e3 bne tp,t0,12838 + 1285c: f00ffeb7 lui t4,0xf00ff + 12860: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12864: 32700193 li gp,807 + 12868: 57d31e63 bne t1,t4,12de4 + +0001286c : + 1286c: 00000213 li tp,0 + 12870: 0ff010b7 lui ra,0xff01 + 12874: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12878: f0f0f137 lui sp,0xf0f0f + 1287c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12880: 0020cf33 xor t5,ra,sp + 12884: 00000013 nop + 12888: 000f0313 mv t1,t5 + 1288c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12890: 00200293 li t0,2 + 12894: fc521ee3 bne tp,t0,12870 + 12898: ff010eb7 lui t4,0xff010 + 1289c: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 128a0: 32800193 li gp,808 + 128a4: 55d31063 bne t1,t4,12de4 + +000128a8 : + 128a8: 00000213 li tp,0 + 128ac: 00ff00b7 lui ra,0xff0 + 128b0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 128b4: 0f0f1137 lui sp,0xf0f1 + 128b8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 128bc: 0020cf33 xor t5,ra,sp + 128c0: 00000013 nop + 128c4: 00000013 nop + 128c8: 000f0313 mv t1,t5 + 128cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 128d0: 00200293 li t0,2 + 128d4: fc521ce3 bne tp,t0,128ac + 128d8: 0ff01eb7 lui t4,0xff01 + 128dc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 128e0: 32900193 li gp,809 + 128e4: 51d31063 bne t1,t4,12de4 + +000128e8 : + 128e8: 00000213 li tp,0 + 128ec: ff0100b7 lui ra,0xff010 + 128f0: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 128f4: 0f0f1137 lui sp,0xf0f1 + 128f8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 128fc: 0020cf33 xor t5,ra,sp + 12900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12904: 00200293 li t0,2 + 12908: fe5212e3 bne tp,t0,128ec + 1290c: f00ffeb7 lui t4,0xf00ff + 12910: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12914: 32a00193 li gp,810 + 12918: 4ddf1663 bne t5,t4,12de4 + +0001291c : + 1291c: 00000213 li tp,0 + 12920: 0ff010b7 lui ra,0xff01 + 12924: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12928: f0f0f137 lui sp,0xf0f0f + 1292c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12930: 00000013 nop + 12934: 0020cf33 xor t5,ra,sp + 12938: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1293c: 00200293 li t0,2 + 12940: fe5210e3 bne tp,t0,12920 + 12944: ff010eb7 lui t4,0xff010 + 12948: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 1294c: 32b00193 li gp,811 + 12950: 49df1a63 bne t5,t4,12de4 + +00012954 : + 12954: 00000213 li tp,0 + 12958: 00ff00b7 lui ra,0xff0 + 1295c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12960: 0f0f1137 lui sp,0xf0f1 + 12964: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12968: 00000013 nop + 1296c: 00000013 nop + 12970: 0020cf33 xor t5,ra,sp + 12974: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12978: 00200293 li t0,2 + 1297c: fc521ee3 bne tp,t0,12958 + 12980: 0ff01eb7 lui t4,0xff01 + 12984: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12988: 32c00193 li gp,812 + 1298c: 45df1c63 bne t5,t4,12de4 + +00012990 : + 12990: 00000213 li tp,0 + 12994: ff0100b7 lui ra,0xff010 + 12998: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 1299c: 00000013 nop + 129a0: 0f0f1137 lui sp,0xf0f1 + 129a4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 129a8: 0020cf33 xor t5,ra,sp + 129ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129b0: 00200293 li t0,2 + 129b4: fe5210e3 bne tp,t0,12994 + 129b8: f00ffeb7 lui t4,0xf00ff + 129bc: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 129c0: 32d00193 li gp,813 + 129c4: 43df1063 bne t5,t4,12de4 + +000129c8 : + 129c8: 00000213 li tp,0 + 129cc: 0ff010b7 lui ra,0xff01 + 129d0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 129d4: 00000013 nop + 129d8: f0f0f137 lui sp,0xf0f0f + 129dc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 129e0: 00000013 nop + 129e4: 0020cf33 xor t5,ra,sp + 129e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129ec: 00200293 li t0,2 + 129f0: fc521ee3 bne tp,t0,129cc + 129f4: ff010eb7 lui t4,0xff010 + 129f8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 129fc: 32e00193 li gp,814 + 12a00: 3fdf1263 bne t5,t4,12de4 + +00012a04 : + 12a04: 00000213 li tp,0 + 12a08: 00ff00b7 lui ra,0xff0 + 12a0c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12a10: 00000013 nop + 12a14: 00000013 nop + 12a18: 0f0f1137 lui sp,0xf0f1 + 12a1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12a20: 0020cf33 xor t5,ra,sp + 12a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a28: 00200293 li t0,2 + 12a2c: fc521ee3 bne tp,t0,12a08 + 12a30: 0ff01eb7 lui t4,0xff01 + 12a34: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12a38: 32f00193 li gp,815 + 12a3c: 3bdf1463 bne t5,t4,12de4 + +00012a40 : + 12a40: 00000213 li tp,0 + 12a44: 0f0f1137 lui sp,0xf0f1 + 12a48: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12a4c: ff0100b7 lui ra,0xff010 + 12a50: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12a54: 0020cf33 xor t5,ra,sp + 12a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a5c: 00200293 li t0,2 + 12a60: fe5212e3 bne tp,t0,12a44 + 12a64: f00ffeb7 lui t4,0xf00ff + 12a68: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12a6c: 33000193 li gp,816 + 12a70: 37df1a63 bne t5,t4,12de4 + +00012a74 : + 12a74: 00000213 li tp,0 + 12a78: f0f0f137 lui sp,0xf0f0f + 12a7c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12a80: 0ff010b7 lui ra,0xff01 + 12a84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12a88: 00000013 nop + 12a8c: 0020cf33 xor t5,ra,sp + 12a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a94: 00200293 li t0,2 + 12a98: fe5210e3 bne tp,t0,12a78 + 12a9c: ff010eb7 lui t4,0xff010 + 12aa0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12aa4: 33100193 li gp,817 + 12aa8: 33df1e63 bne t5,t4,12de4 + +00012aac : + 12aac: 00000213 li tp,0 + 12ab0: 0f0f1137 lui sp,0xf0f1 + 12ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12ab8: 00ff00b7 lui ra,0xff0 + 12abc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12ac0: 00000013 nop + 12ac4: 00000013 nop + 12ac8: 0020cf33 xor t5,ra,sp + 12acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12ad0: 00200293 li t0,2 + 12ad4: fc521ee3 bne tp,t0,12ab0 + 12ad8: 0ff01eb7 lui t4,0xff01 + 12adc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12ae0: 33200193 li gp,818 + 12ae4: 31df1063 bne t5,t4,12de4 + +00012ae8 : + 12ae8: 00000213 li tp,0 + 12aec: 0f0f1137 lui sp,0xf0f1 + 12af0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12af4: 00000013 nop + 12af8: ff0100b7 lui ra,0xff010 + 12afc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12b00: 0020cf33 xor t5,ra,sp + 12b04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12b08: 00200293 li t0,2 + 12b0c: fe5210e3 bne tp,t0,12aec + 12b10: f00ffeb7 lui t4,0xf00ff + 12b14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12b18: 33300193 li gp,819 + 12b1c: 2ddf1463 bne t5,t4,12de4 + +00012b20 : + 12b20: 00000213 li tp,0 + 12b24: f0f0f137 lui sp,0xf0f0f + 12b28: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12b2c: 00000013 nop + 12b30: 0ff010b7 lui ra,0xff01 + 12b34: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12b38: 00000013 nop + 12b3c: 0020cf33 xor t5,ra,sp + 12b40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12b44: 00200293 li t0,2 + 12b48: fc521ee3 bne tp,t0,12b24 + 12b4c: ff010eb7 lui t4,0xff010 + 12b50: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12b54: 33400193 li gp,820 + 12b58: 29df1663 bne t5,t4,12de4 + +00012b5c : + 12b5c: 00000213 li tp,0 + 12b60: 0f0f1137 lui sp,0xf0f1 + 12b64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12b68: 00000013 nop + 12b6c: 00000013 nop + 12b70: 00ff00b7 lui ra,0xff0 + 12b74: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12b78: 0020cf33 xor t5,ra,sp + 12b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12b80: 00200293 li t0,2 + 12b84: fc521ee3 bne tp,t0,12b60 + 12b88: 0ff01eb7 lui t4,0xff01 + 12b8c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12b90: 33500193 li gp,821 + 12b94: 25df1863 bne t5,t4,12de4 + +00012b98 : + 12b98: ff0100b7 lui ra,0xff010 + 12b9c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12ba0: 00104133 xor sp,zero,ra + 12ba4: ff010eb7 lui t4,0xff010 + 12ba8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12bac: 33600193 li gp,822 + 12bb0: 23d11a63 bne sp,t4,12de4 + +00012bb4 : + 12bb4: 00ff00b7 lui ra,0xff0 + 12bb8: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12bbc: 0000c133 xor sp,ra,zero + 12bc0: 00ff0eb7 lui t4,0xff0 + 12bc4: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12bc8: 33700193 li gp,823 + 12bcc: 21d11c63 bne sp,t4,12de4 + +00012bd0 : + 12bd0: 000040b3 xor ra,zero,zero + 12bd4: 00000e93 li t4,0 + 12bd8: 33800193 li gp,824 + 12bdc: 21d09463 bne ra,t4,12de4 + +00012be0 : + 12be0: 111110b7 lui ra,0x11111 + 12be4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fca09> + 12be8: 22222137 lui sp,0x22222 + 12bec: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220db1a> + 12bf0: 0020c033 xor zero,ra,sp + 12bf4: 00000e93 li t4,0 + 12bf8: 33900193 li gp,825 + 12bfc: 1fd01463 bne zero,t4,12de4 + +00012c00 : + 12c00: 00ff10b7 lui ra,0xff1 + 12c04: f0008093 addi ra,ra,-256 # ff0f00 <__global_pointer$+0xfdc7f8> + 12c08: f0f0cf13 xori t5,ra,-241 + 12c0c: ff00feb7 lui t4,0xff00f + 12c10: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> + 12c14: 33a00193 li gp,826 + 12c18: 1ddf1663 bne t5,t4,12de4 + +00012c1c : + 12c1c: 0ff010b7 lui ra,0xff01 + 12c20: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12c24: 0f00cf13 xori t5,ra,240 + 12c28: 0ff01eb7 lui t4,0xff01 + 12c2c: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> + 12c30: 33b00193 li gp,827 + 12c34: 1bdf1863 bne t5,t4,12de4 + +00012c38 : + 12c38: 00ff10b7 lui ra,0xff1 + 12c3c: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> + 12c40: 70f0cf13 xori t5,ra,1807 + 12c44: 00ff1eb7 lui t4,0xff1 + 12c48: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> + 12c4c: 33c00193 li gp,828 + 12c50: 19df1a63 bne t5,t4,12de4 + +00012c54 : + 12c54: f00ff0b7 lui ra,0xf00ff + 12c58: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12c5c: 0f00cf13 xori t5,ra,240 + 12c60: f00ffeb7 lui t4,0xf00ff + 12c64: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> + 12c68: 33d00193 li gp,829 + 12c6c: 17df1c63 bne t5,t4,12de4 + +00012c70 : + 12c70: ff00f0b7 lui ra,0xff00f + 12c74: 70008093 addi ra,ra,1792 # ff00f700 <__global_pointer$+0xfeffaff8> + 12c78: 70f0c093 xori ra,ra,1807 + 12c7c: ff00feb7 lui t4,0xff00f + 12c80: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> + 12c84: 33e00193 li gp,830 + 12c88: 15d09e63 bne ra,t4,12de4 + +00012c8c : + 12c8c: 00000213 li tp,0 + 12c90: 0ff010b7 lui ra,0xff01 + 12c94: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12c98: 0f00cf13 xori t5,ra,240 + 12c9c: 000f0313 mv t1,t5 + 12ca0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12ca4: 00200293 li t0,2 + 12ca8: fe5214e3 bne tp,t0,12c90 + 12cac: 0ff01eb7 lui t4,0xff01 + 12cb0: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> + 12cb4: 33f00193 li gp,831 + 12cb8: 13d31663 bne t1,t4,12de4 + +00012cbc : + 12cbc: 00000213 li tp,0 + 12cc0: 00ff10b7 lui ra,0xff1 + 12cc4: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> + 12cc8: 70f0cf13 xori t5,ra,1807 + 12ccc: 00000013 nop + 12cd0: 000f0313 mv t1,t5 + 12cd4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12cd8: 00200293 li t0,2 + 12cdc: fe5212e3 bne tp,t0,12cc0 + 12ce0: 00ff1eb7 lui t4,0xff1 + 12ce4: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> + 12ce8: 34000193 li gp,832 + 12cec: 0fd31c63 bne t1,t4,12de4 + +00012cf0 : + 12cf0: 00000213 li tp,0 + 12cf4: f00ff0b7 lui ra,0xf00ff + 12cf8: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12cfc: 0f00cf13 xori t5,ra,240 + 12d00: 00000013 nop + 12d04: 00000013 nop + 12d08: 000f0313 mv t1,t5 + 12d0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12d10: 00200293 li t0,2 + 12d14: fe5210e3 bne tp,t0,12cf4 + 12d18: f00ffeb7 lui t4,0xf00ff + 12d1c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> + 12d20: 34100193 li gp,833 + 12d24: 0dd31063 bne t1,t4,12de4 + +00012d28 : + 12d28: 00000213 li tp,0 + 12d2c: 0ff010b7 lui ra,0xff01 + 12d30: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12d34: 0f00cf13 xori t5,ra,240 + 12d38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12d3c: 00200293 li t0,2 + 12d40: fe5216e3 bne tp,t0,12d2c + 12d44: 0ff01eb7 lui t4,0xff01 + 12d48: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> + 12d4c: 34200193 li gp,834 + 12d50: 09df1a63 bne t5,t4,12de4 + +00012d54 : + 12d54: 00000213 li tp,0 + 12d58: 00ff10b7 lui ra,0xff1 + 12d5c: fff08093 addi ra,ra,-1 # ff0fff <__global_pointer$+0xfdc8f7> + 12d60: 00000013 nop + 12d64: 00f0cf13 xori t5,ra,15 + 12d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12d6c: 00200293 li t0,2 + 12d70: fe5214e3 bne tp,t0,12d58 + 12d74: 00ff1eb7 lui t4,0xff1 + 12d78: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> + 12d7c: 34300193 li gp,835 + 12d80: 07df1263 bne t5,t4,12de4 + +00012d84 : + 12d84: 00000213 li tp,0 + 12d88: f00ff0b7 lui ra,0xf00ff + 12d8c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12d90: 00000013 nop + 12d94: 00000013 nop + 12d98: 0f00cf13 xori t5,ra,240 + 12d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12da0: 00200293 li t0,2 + 12da4: fe5212e3 bne tp,t0,12d88 + 12da8: f00ffeb7 lui t4,0xf00ff + 12dac: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> + 12db0: 34400193 li gp,836 + 12db4: 03df1863 bne t5,t4,12de4 + +00012db8 : + 12db8: 0f004093 xori ra,zero,240 + 12dbc: 0f000e93 li t4,240 + 12dc0: 34500193 li gp,837 + 12dc4: 03d09063 bne ra,t4,12de4 + +00012dc8 : + 12dc8: 00ff00b7 lui ra,0xff0 + 12dcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12dd0: 70f0c013 xori zero,ra,1807 + 12dd4: 00000e93 li t4,0 + 12dd8: 34600193 li gp,838 + 12ddc: 01d01463 bne zero,t4,12de4 + 12de0: 00301463 bne zero,gp,12de8 + +00012de4 : + 12de4: 00000a6f jal s4,12de4 + +00012de8 : + 12de8: 00100193 li gp,1 + +00012dec : + 12dec: 00000a6f jal s4,12dec diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt b/SIM-CPU/rv32i_test/c_instr_stream.txt similarity index 72% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt rename to SIM-CPU/rv32i_test/c_instr_stream.txt index f8cf387..5d04213 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt +++ b/SIM-CPU/rv32i_test/c_instr_stream.txt @@ -1,4002 +1,2908 @@ -00000013 -00000093 -0000af13 -00000e93 -20c00193 -01df0463 -54d0206f -00100093 -0010af13 -00000e93 -20d00193 -01df0463 -5350206f -00300093 -0070af13 -00100e93 -20e00193 -01df0463 -51d0206f -00700093 -0030af13 -00000e93 -20f00193 -01df0463 -5050206f -00000093 -8000af13 -00000e93 -21000193 -01df0463 -4ed0206f -800000b7 -0000af13 -00100e93 -21100193 -01df0463 -4d50206f -800000b7 -8000af13 -00100e93 -21200193 -01df0463 -4bd0206f -00000093 -7ff0af13 -00100e93 -21300193 -01df0463 -4a50206f -800000b7 -fff08093 -0000af13 -00000e93 -21400193 -01df0463 -4890206f -800000b7 -fff08093 -7ff0af13 -00000e93 -21500193 -01df0463 -46d0206f -800000b7 -7ff0af13 -00100e93 -21600193 -01df0463 -4550206f -800000b7 -fff08093 -8000af13 -00000e93 -21700193 -01df0463 -4390206f -00000093 -fff0af13 -00000e93 -21800193 -01df0463 -4210206f -fff00093 -0010af13 -00100e93 -21900193 -01df0463 -4090206f -fff00093 -fff0af13 -00000e93 -21a00193 -01df0463 -3f10206f -00b00093 -00d0a093 -00100e93 -21b00193 -01d08463 -3d90206f -00000213 -00f00093 -00a0af13 -000f0313 -00120213 -00200293 -fe5216e3 -00000e93 -21c00193 -01d30463 -3ad0206f -00000213 -00a00093 -0100af13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -21d00193 -01d30463 -37d0206f -00000213 -01000093 -0090af13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -21e00193 -01d30463 -3490206f -00000213 -00b00093 -00f0af13 -00120213 -00200293 -fe5218e3 -00100e93 -21f00193 -01df0463 -3210206f -00000213 -01100093 -00000013 -0080af13 -00120213 -00200293 -fe5216e3 -00000e93 -22000193 -01df0463 -2f50206f -00000213 -00c00093 -00000013 -00000013 -00e0af13 -00120213 -00200293 -fe5214e3 -00100e93 -22100193 -01df0463 -2c50206f -fff02093 -00000e93 -22200193 -01d08463 -2b10206f -00ff00b7 -0ff08093 -fff0a013 -00000e93 -22300193 -01d00463 -2950206f -00000093 -0000bf13 -00000e93 -22400193 -01df0463 -27d0206f -00100093 -0010bf13 -00000e93 -22500193 -01df0463 -2650206f -00300093 -0070bf13 -00100e93 -22600193 -01df0463 -24d0206f -00700093 -0030bf13 -00000e93 -22700193 -01df0463 -2350206f -00000093 -8000bf13 -00100e93 -22800193 -01df0463 -21d0206f -800000b7 -0000bf13 -00000e93 -22900193 -01df0463 -2050206f -800000b7 -8000bf13 -00100e93 -22a00193 -01df0463 -1ed0206f -00000093 -7ff0bf13 -00100e93 -22b00193 -01df0463 -1d50206f -800000b7 -fff08093 -0000bf13 -00000e93 -22c00193 -01df0463 -1b90206f -800000b7 -fff08093 -7ff0bf13 -00000e93 -22d00193 -01df0463 -19d0206f -800000b7 -7ff0bf13 -00000e93 -22e00193 -01df0463 -1850206f -800000b7 -fff08093 -8000bf13 -00100e93 -22f00193 -01df0463 -1690206f -00000093 -fff0bf13 -00100e93 -23000193 -01df0463 -1510206f -fff00093 -0010bf13 -00000e93 -23100193 -01df0463 -1390206f -fff00093 -fff0bf13 -00000e93 -23200193 -01df0463 -1210206f -00b00093 -00d0b093 -00100e93 -23300193 -01d08463 -1090206f -00000213 -00f00093 -00a0bf13 -000f0313 -00120213 -00200293 -fe5216e3 -00000e93 -23400193 -01d30463 -0dd0206f -00000213 -00a00093 -0100bf13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -23500193 -01d30463 -0ad0206f -00000213 -01000093 -0090bf13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -23700193 -01d30463 -0790206f -00000213 -00b00093 -00f0bf13 -00120213 -00200293 -fe5218e3 -00100e93 -23800193 -01df0463 -0510206f -00000213 -01100093 -00000013 -0080bf13 -00120213 -00200293 -fe5216e3 -00000e93 -23900193 -01df0463 -0250206f -00000213 -00c00093 -00000013 -00000013 -00e0bf13 -00120213 -00200293 -fe5214e3 -00100e93 -23a00193 -01df0463 -7f40206f -fff03093 -00100e93 -23b00193 -01d08463 -7e00206f -00ff00b7 -0ff08093 -fff0b013 -00000e93 -23c00193 -01d00463 -7c40206f -00000093 -00000113 -0020bf33 -00000e93 -23d00193 -01df0463 -7a80206f -00100093 -00100113 -0020bf33 -00000e93 -23e00193 -01df0463 -78c0206f -00300093 -00700113 -0020bf33 -00100e93 -23f00193 -01df0463 -7700206f -00700093 -00300113 -0020bf33 -00000e93 -24000193 -01df0463 -7540206f -00000093 -ffff8137 -0020bf33 -00100e93 -24100193 -01df0463 -7380206f -800000b7 -00000113 -0020bf33 -00000e93 -24200193 -01df0463 -71c0206f -800000b7 -ffff8137 -0020bf33 -00100e93 -24300193 -01df0463 -7000206f -00000093 -00008137 -fff10113 -0020bf33 -00100e93 -24400193 -01df0463 -6e00206f -800000b7 -fff08093 -00000113 -0020bf33 -00000e93 -24500193 -01df0463 -6c00206f -800000b7 -fff08093 -00008137 -fff10113 -0020bf33 -00000e93 -24600193 -01df0463 -69c0206f -800000b7 -00008137 -fff10113 -0020bf33 -00000e93 -24700193 -01df0463 -67c0206f -800000b7 -fff08093 -ffff8137 -0020bf33 -00100e93 -24800193 -01df0463 -65c0206f -00000093 -fff00113 -0020bf33 -00100e93 -24900193 -01df0463 -6400206f -fff00093 -00100113 -0020bf33 -00000e93 -24a00193 -01df0463 -6240206f -fff00093 -fff00113 -0020bf33 -00000e93 -24b00193 -01df0463 -6080206f -00e00093 -00d00113 -0020b0b3 -00000e93 -24c00193 -01d08463 -5ec0206f -00b00093 -00d00113 -0020b133 -00100e93 -24d00193 -01d10463 -5d00206f -00d00093 -0010b0b3 -00000e93 -24e00193 -01d08463 -5b80206f -00000213 -00b00093 -00d00113 -0020bf33 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -24f00193 -01d30463 -5880206f -00000213 -00e00093 -00d00113 -0020bf33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -25000193 -01d30463 -5540206f -00000213 -00c00093 -00d00113 -0020bf33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -25100193 -01d30463 -51c0206f -00000213 -00e00093 -00d00113 -0020bf33 -00120213 -00200293 -fe5216e3 -00000e93 -25200193 -01df0463 -4f00206f -00000213 -00b00093 -00d00113 -00000013 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25300193 -01df0463 -4c00206f -00000213 -00f00093 -00d00113 -00000013 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25400193 -01df0463 -48c0206f -00000213 -00a00093 -00000013 -00d00113 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25500193 -01df0463 -45c0206f -00000213 -01000093 -00000013 -00d00113 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25600193 -01df0463 -4280206f -00000213 -00900093 -00000013 -00000013 -00d00113 -0020bf33 -00120213 -00200293 -fe5212e3 -00100e93 -25700193 -01df0463 -3f40206f -00000213 -00d00113 -01100093 -0020bf33 -00120213 -00200293 -fe5216e3 -00000e93 -25900193 -01df0463 -3c80206f -00000213 -00d00113 -00800093 -00000013 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25a00193 -01df0463 -3980206f -00000213 -00d00113 -01200093 -00000013 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25b00193 -01df0463 -3640206f -00000213 -00d00113 -00000013 -00700093 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25c00193 -01df0463 -3340206f -00000213 -00d00113 -00000013 -01300093 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25d00193 -01df0463 -3000206f -00000213 -00d00113 -00000013 -00000013 -00600093 -0020bf33 -00120213 -00200293 -fe5212e3 -00100e93 -25e00193 -01df0463 -2cc0206f -fff00093 -00103133 -00100e93 -25f00193 -01d10463 -2b40206f -fff00093 -0000b133 -00000e93 -26000193 -01d10463 -29c0206f -000030b3 -00000e93 -26100193 -01d08463 -2880206f -01000093 -01e00113 -0020b033 -00000e93 -26200193 -01d00463 -26c0206f -800000b7 -00000113 -4020df33 -80000eb7 -26300193 -01df0463 -2500206f -800000b7 -00100113 -4020df33 -c0000eb7 -26400193 -01df0463 -2340206f -800000b7 -00700113 -4020df33 -ff000eb7 -26500193 -01df0463 -2180206f -800000b7 -00e00113 -4020df33 -fffe0eb7 -26600193 -01df0463 -1fc0206f -800000b7 -00108093 -01f00113 -4020df33 -fff00e93 -26700193 -01df0463 -1dc0206f -800000b7 -fff08093 -00000113 -4020df33 -80000eb7 -fffe8e93 -26800193 -01df0463 -1b80206f -800000b7 -fff08093 -00100113 -4020df33 -40000eb7 -fffe8e93 -26900193 -01df0463 -1940206f -800000b7 -fff08093 -00700113 -4020df33 -01000eb7 -fffe8e93 -26a00193 -01df0463 -1700206f -800000b7 -fff08093 -00e00113 -4020df33 -00020eb7 -fffe8e93 -26b00193 -01df0463 -14c0206f -800000b7 -fff08093 -01f00113 -4020df33 -00000e93 -26c00193 -01df0463 -12c0206f -818180b7 -18108093 -00000113 -4020df33 -81818eb7 -181e8e93 -26d00193 -01df0463 -1080206f -818180b7 -18108093 -00100113 -4020df33 -c0c0ceb7 -0c0e8e93 -26e00193 -01df0463 -0e40206f -818180b7 -18108093 -00700113 -4020df33 -ff030eb7 -303e8e93 -26f00193 -01df0463 -0c00206f -818180b7 -18108093 -00e00113 -4020df33 -fffe0eb7 -606e8e93 -27000193 -01df0463 -09c0206f -818180b7 -18108093 -01f00113 -4020df33 -fff00e93 -27100193 -01df0463 -07c0206f -818180b7 -18108093 -fc000113 -4020df33 -81818eb7 -181e8e93 -27200193 -01df0463 -0580206f -818180b7 -18108093 -fc100113 -4020df33 -c0c0ceb7 -0c0e8e93 -27300193 -01df0463 -0340206f -818180b7 -18108093 -fc700113 -4020df33 -ff030eb7 -303e8e93 -27400193 -01df0463 -0100206f -818180b7 -18108093 -fce00113 -4020df33 -fffe0eb7 -606e8e93 -27500193 -01df0463 -7ed0106f -818180b7 -18108093 -fff00113 -4020df33 -fff00e93 -27600193 -01df0463 -7cd0106f -800000b7 -00700113 -4020d0b3 -ff000eb7 -27700193 -01d08463 -7b10106f -800000b7 -00e00113 -4020d133 -fffe0eb7 -27800193 -01d10463 -7950106f -00700093 -4010d0b3 -00000e93 -27900193 -01d08463 -77d0106f -00000213 -800000b7 -00700113 -4020df33 -000f0313 -00120213 -00200293 -fe5214e3 -ff000eb7 -27a00193 -01d30463 -74d0106f -00000213 -800000b7 -00e00113 -4020df33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -fffe0eb7 -27b00193 -01d30463 -7190106f -00000213 -800000b7 -01f00113 -4020df33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -fff00e93 -27c00193 -01d30463 -6e10106f -00000213 -800000b7 -00700113 -4020df33 -00120213 -00200293 -fe5216e3 -ff000eb7 -27d00193 -01df0463 -6b50106f -00000213 -800000b7 -00e00113 -00000013 -4020df33 -00120213 -00200293 -fe5214e3 -fffe0eb7 -27e00193 -01df0463 -6850106f -00000213 -800000b7 -01f00113 -00000013 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -27f00193 -01df0463 -6510106f -00000213 -800000b7 -00000013 -00700113 -4020df33 -00120213 -00200293 -fe5214e3 -ff000eb7 -28000193 -01df0463 -6210106f -00000213 -800000b7 -00000013 -00e00113 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fffe0eb7 -28100193 -01df0463 -5ed0106f -00000213 -800000b7 -00000013 -00000013 -01f00113 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -28200193 -01df0463 -5b90106f -00000213 -00700113 -800000b7 -4020df33 -00120213 -00200293 -fe5216e3 -ff000eb7 -28300193 -01df0463 -58d0106f -00000213 -00e00113 -800000b7 -00000013 -4020df33 -00120213 -00200293 -fe5214e3 -fffe0eb7 -28400193 -01df0463 -55d0106f -00000213 -01f00113 -800000b7 -00000013 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -28500193 -01df0463 -5290106f -00000213 -00700113 -00000013 -800000b7 -4020df33 -00120213 -00200293 -fe5214e3 -ff000eb7 -28600193 -01df0463 -4f90106f -00000213 -00e00113 -00000013 -800000b7 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fffe0eb7 -28700193 -01df0463 -4c50106f -00000213 -01f00113 -00000013 -00000013 -800000b7 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -28800193 -01df0463 -4910106f -00f00093 -40105133 -00000e93 -28900193 -01d10463 -4790106f -02000093 -4000d133 -02000e93 -28a00193 -01d10463 -4610106f -400050b3 -00000e93 -28b00193 -01d08463 -44d0106f -40000093 -00001137 -80010113 -4020d033 -00000e93 -28c00193 -01d00463 -42d0106f -00000093 -4000df13 -00000e93 -28d00193 -01df0463 -4150106f -800000b7 -4010df13 -c0000eb7 -28e00193 -01df0463 -3fd0106f -800000b7 -4070df13 -ff000eb7 -28f00193 -01df0463 -3e50106f -800000b7 -40e0df13 -fffe0eb7 -29000193 -01df0463 -3cd0106f -800000b7 -00108093 -41f0df13 -fff00e93 -29100193 -01df0463 -3b10106f -800000b7 -fff08093 -4010df13 -40000eb7 -fffe8e93 -29200193 -01df0463 -3910106f -800000b7 -fff08093 -4070df13 -01000eb7 -fffe8e93 -29300193 -01df0463 -3710106f -800000b7 -fff08093 -40e0df13 -00020eb7 -fffe8e93 -29400193 -01df0463 -3510106f -800000b7 -fff08093 -41f0df13 -00000e93 -29500193 -01df0463 -3350106f -818180b7 -18108093 -4000df13 -81818eb7 -181e8e93 -29600193 -01df0463 -3150106f -818180b7 -18108093 -4010df13 -c0c0ceb7 -0c0e8e93 -29700193 -01df0463 -2f50106f -818180b7 -18108093 -4070df13 -ff030eb7 -303e8e93 -29800193 -01df0463 -2d50106f -818180b7 -18108093 -40e0df13 -fffe0eb7 -606e8e93 -29900193 -01df0463 -2b50106f -818180b7 -18108093 -41f0df13 -fff00e93 -29a00193 -01df0463 -2990106f -800000b7 -4070d093 -ff000eb7 -29b00193 -01d08463 -2810106f -00000213 -800000b7 -4070df13 -000f0313 -00120213 -00200293 -fe5216e3 -ff000eb7 -29c00193 -01d30463 -2550106f -00000213 -800000b7 -40e0df13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -fffe0eb7 -29d00193 -01d30463 -2250106f -00000213 -800000b7 -00108093 -41f0df13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -fff00e93 -29e00193 -01d30463 -1ed0106f -00000213 -800000b7 -4070df13 -00120213 -00200293 -fe5218e3 -ff000eb7 -29f00193 -01df0463 -1c50106f -00000213 -800000b7 -00000013 -40e0df13 -00120213 -00200293 -fe5216e3 -fffe0eb7 -2a000193 -01df0463 -1990106f -00000213 -800000b7 -00108093 -00000013 -00000013 -41f0df13 -00120213 -00200293 -fe5212e3 -fff00e93 -2a100193 -01df0463 -1650106f -40405093 -00000e93 -2a200193 -01d08463 -1510106f -02100093 -40a0d013 -00000e93 -2a300193 -01d00463 -1390106f -800000b7 -00000113 -0020df33 -80000eb7 -2a400193 -01df0463 -11d0106f -800000b7 -00100113 -0020df33 -40000eb7 -2a500193 -01df0463 -1010106f -800000b7 -00700113 -0020df33 -01000eb7 -2a600193 -01df0463 -0e50106f -800000b7 -00e00113 -0020df33 -00020eb7 -2a700193 -01df0463 -0c90106f -800000b7 -00108093 -01f00113 -0020df33 -00100e93 -2a800193 -01df0463 -0a90106f -fff00093 -00000113 -0020df33 -fff00e93 -2a900193 -01df0463 -08d0106f -fff00093 -00100113 -0020df33 -80000eb7 -fffe8e93 -2aa00193 -01df0463 -06d0106f -fff00093 -00700113 -0020df33 -02000eb7 -fffe8e93 -2ab00193 -01df0463 -04d0106f -fff00093 -00e00113 -0020df33 -00040eb7 -fffe8e93 -2ac00193 -01df0463 -02d0106f -fff00093 -01f00113 -0020df33 -00100e93 -2ad00193 -01df0463 -0110106f -212120b7 -12108093 -00000113 -0020df33 -21212eb7 -121e8e93 -2ae00193 -01df0463 -7ec0106f -212120b7 -12108093 -00100113 -0020df33 -10909eb7 -090e8e93 -2af00193 -01df0463 -7c80106f -212120b7 -12108093 -00700113 -0020df33 -00424eb7 -242e8e93 -2b000193 -01df0463 -7a40106f -212120b7 -12108093 -00e00113 -0020df33 -00008eb7 -484e8e93 -2b100193 -01df0463 -7800106f -212120b7 -12108093 -01f00113 -0020df33 -00000e93 -2b200193 -01df0463 -7600106f -212120b7 -12108093 -fc000113 -0020df33 -21212eb7 -121e8e93 -2b300193 -01df0463 -73c0106f -212120b7 -12108093 -fc100113 -0020df33 -10909eb7 -090e8e93 -2b400193 -01df0463 -7180106f -212120b7 -12108093 -fc700113 -0020df33 -00424eb7 -242e8e93 -2b500193 -01df0463 -6f40106f -212120b7 -12108093 -fce00113 -0020df33 -00008eb7 -484e8e93 -2b600193 -01df0463 -6d00106f -212120b7 -12108093 -fff00113 -0020df33 -00000e93 -2b700193 -01df0463 -6b00106f -800000b7 -00700113 -0020d0b3 -01000eb7 -2b800193 -01d08463 -6940106f -800000b7 -00e00113 -0020d133 -00020eb7 -2b900193 -01d10463 -6780106f -00700093 -0010d0b3 -00000e93 -2ba00193 -01d08463 -6600106f -00000213 -800000b7 -00700113 -0020df33 -000f0313 -00120213 -00200293 -fe5214e3 -01000eb7 -2bb00193 -01d30463 -6300106f -00000213 -800000b7 -00e00113 -0020df33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00020eb7 -2bc00193 -01d30463 -5fc0106f -00000213 -800000b7 -01f00113 -0020df33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -2bd00193 -01d30463 -5c40106f -00000213 -800000b7 -00700113 -0020df33 -00120213 -00200293 -fe5216e3 -01000eb7 -2be00193 -01df0463 -5980106f -00000213 -800000b7 -00e00113 -00000013 -0020df33 -00120213 -00200293 -fe5214e3 -00020eb7 -2bf00193 -01df0463 -5680106f -00000213 -800000b7 -01f00113 -00000013 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c000193 -01df0463 -5340106f -00000213 -800000b7 -00000013 -00700113 -0020df33 -00120213 -00200293 -fe5214e3 -01000eb7 -2c100193 -01df0463 -5040106f -00000213 -800000b7 -00000013 -00e00113 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00020eb7 -2c200193 -01df0463 -4d00106f -00000213 -800000b7 -00000013 -00000013 -01f00113 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c300193 -01df0463 -49c0106f -00000213 -00700113 -800000b7 -0020df33 -00120213 -00200293 -fe5216e3 -01000eb7 -2c400193 -01df0463 -4700106f -00000213 -00e00113 -800000b7 -00000013 -0020df33 -00120213 -00200293 -fe5214e3 -00020eb7 -2c500193 -01df0463 -4400106f -00000213 -01f00113 -800000b7 -00000013 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c600193 -01df0463 -40c0106f -00000213 -00700113 -00000013 -800000b7 -0020df33 -00120213 -00200293 -fe5214e3 -01000eb7 -2c700193 -01df0463 -3dc0106f -00000213 -00e00113 -00000013 -800000b7 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00020eb7 -2c800193 -01df0463 -3a80106f -00000213 -01f00113 -00000013 -00000013 -800000b7 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c900193 -01df0463 -3740106f -00f00093 -00105133 -00000e93 -2ca00193 -01d10463 -35c0106f -02000093 -0000d133 -02000e93 -2cb00193 -01d10463 -3440106f -000050b3 -00000e93 -2cc00193 -01d08463 -3300106f -40000093 -00001137 -80010113 -0020d033 -00000e93 -2cd00193 -01d00463 -3100106f -800000b7 -00000113 -0020df33 -80000eb7 -2ce00193 -01df0463 -2f40106f -800000b7 -00100113 -0020df33 -40000eb7 -2cf00193 -01df0463 -2d80106f -800000b7 -00700113 -0020df33 -01000eb7 -2d000193 -01df0463 -2bc0106f -800000b7 -00e00113 -0020df33 -00020eb7 -2d100193 -01df0463 -2a00106f -800000b7 -00108093 -01f00113 -0020df33 -00100e93 -2d200193 -01df0463 -2800106f -fff00093 -00000113 -0020df33 -fff00e93 -2d300193 -01df0463 -2640106f -fff00093 -00100113 -0020df33 -80000eb7 -fffe8e93 -2d400193 -01df0463 -2440106f -fff00093 -00700113 -0020df33 -02000eb7 -fffe8e93 -2d500193 -01df0463 -2240106f -fff00093 -00e00113 -0020df33 -00040eb7 -fffe8e93 -2d600193 -01df0463 -2040106f -fff00093 -01f00113 -0020df33 -00100e93 -2d700193 -01df0463 -1e80106f -212120b7 -12108093 -00000113 -0020df33 -21212eb7 -121e8e93 -2d800193 -01df0463 -1c40106f -212120b7 -12108093 -00100113 -0020df33 -10909eb7 -090e8e93 -2d900193 -01df0463 -1a00106f -212120b7 -12108093 -00700113 -0020df33 -00424eb7 -242e8e93 -2da00193 -01df0463 -17c0106f -212120b7 -12108093 -00e00113 -0020df33 -00008eb7 -484e8e93 -2db00193 -01df0463 -1580106f -212120b7 -12108093 -01f00113 -0020df33 -00000e93 -2dc00193 -01df0463 -1380106f -800000b7 -0070d093 -01000eb7 -2dd00193 -01d08463 -1200106f -00000213 -800000b7 -0070df13 -000f0313 -00120213 -00200293 -fe5216e3 -01000eb7 -2de00193 -01d30463 -0f40106f -00000213 -800000b7 -00e0df13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00020eb7 -2df00193 -01d30463 -0c40106f -00000213 -800000b7 -00108093 -01f0df13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -2e000193 -01d30463 -08c0106f -00000213 -800000b7 -0070df13 -00120213 -00200293 -fe5218e3 -01000eb7 -2e100193 -01df0463 -0640106f -00000213 -800000b7 -00000013 -00e0df13 -00120213 -00200293 -fe5216e3 -00020eb7 -2e200193 -01df0463 -0380106f -00000213 -800000b7 -00108093 -00000013 -00000013 -01f0df13 -00120213 -00200293 -fe5212e3 -00100e93 -2e300193 -01df0463 -0040106f -00405093 -00000e93 -2e400193 -7fd09ae3 -02100093 -00a0d013 -00000e93 -2e500193 -7fd010e3 -00000093 -00000113 -40208f33 -00000e93 -2e600193 -7ddf14e3 -00100093 -00100113 -40208f33 -00000e93 -2e700193 -7bdf18e3 -00300093 -00700113 -40208f33 -ffc00e93 -2e800193 -79df1ce3 -00000093 -ffff8137 -40208f33 -00008eb7 -2e900193 -79df10e3 -800000b7 -00000113 -40208f33 -80000eb7 -2ea00193 -77df14e3 -800000b7 -ffff8137 -40208f33 -80008eb7 -2eb00193 -75df18e3 -00000093 -00008137 -fff10113 -40208f33 -ffff8eb7 -001e8e93 -2ec00193 -73df18e3 -800000b7 -fff08093 -00000113 -40208f33 -80000eb7 -fffe8e93 -2ed00193 -71df18e3 -800000b7 -fff08093 -00008137 -fff10113 -40208f33 -7fff8eb7 -2ee00193 -6fdf18e3 -800000b7 -00008137 -fff10113 -40208f33 -7fff8eb7 -001e8e93 -2ef00193 -6ddf18e3 -800000b7 -fff08093 -ffff8137 -40208f33 -80008eb7 -fffe8e93 -2f000193 -6bdf18e3 -00000093 -fff00113 -40208f33 -00100e93 -2f100193 -69df1ce3 -fff00093 -00100113 -40208f33 -ffe00e93 -2f200193 -69df10e3 -fff00093 -fff00113 -40208f33 -00000e93 -2f300193 -67df14e3 -00d00093 -00b00113 -402080b3 -00200e93 -2f400193 -65d098e3 -00e00093 -00b00113 -40208133 -00300e93 -2f500193 -63d11ce3 -00d00093 -401080b3 -00000e93 -2f600193 -63d092e3 -00000213 -00d00093 -00b00113 -40208f33 -000f0313 -00120213 -00200293 -fe5214e3 -00200e93 -2f700193 -5fd31ce3 -00000213 -00e00093 -00b00113 -40208f33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00300e93 -2f800193 -5dd314e3 -00000213 -00f00093 -00b00113 -40208f33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00400e93 -2f900193 -59d31ae3 -00000213 -00d00093 -00b00113 -40208f33 -00120213 -00200293 -fe5216e3 -00200e93 -2fa00193 -57df16e3 -00000213 -00e00093 -00b00113 -00000013 -40208f33 -00120213 -00200293 -fe5214e3 -00300e93 -2fb00193 -55df10e3 -00000213 -00f00093 -00b00113 -00000013 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -2fc00193 -51df18e3 -00000213 -00d00093 -00000013 -00b00113 -40208f33 -00120213 -00200293 -fe5214e3 -00200e93 -2fd00193 -4fdf12e3 -00000213 -00e00093 -00000013 -00b00113 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00300e93 -2fe00193 -4bdf1ae3 -00000213 -00f00093 -00000013 -00000013 -00b00113 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -2ff00193 -49df12e3 -00000213 -00b00113 -00d00093 -40208f33 -00120213 -00200293 -fe5216e3 -00200e93 -30000193 -45df1ee3 -00000213 -00b00113 -00e00093 -00000013 -40208f33 -00120213 -00200293 -fe5214e3 -00300e93 -30100193 -43df18e3 -00000213 -00b00113 -00f00093 -00000013 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -30200193 -41df10e3 -00000213 -00b00113 -00000013 -00d00093 -40208f33 -00120213 -00200293 -fe5214e3 -00200e93 -30300193 -3ddf1ae3 -00000213 -00b00113 -00000013 -00e00093 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00300e93 -30400193 -3bdf12e3 -00000213 -00b00113 -00000013 -00000013 -00f00093 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -30500193 -37df1ae3 -ff100093 -40100133 -00f00e93 -30600193 -37d110e3 -02000093 -40008133 -02000e93 -30700193 -35d116e3 -400000b3 -00000e93 -30800193 -33d09ee3 -01000093 -01e00113 -40208033 -00000e93 -30900193 -33d012e3 -00002097 -b8c08093 -00aa0137 -0aa10113 -0020a023 -0000af03 -00aa0eb7 -0aae8e93 -30a00193 -2fdf1ee3 -00002097 -b6408093 -aa00b137 -a0010113 -0020a223 -0040af03 -aa00beb7 -a00e8e93 -30b00193 -2ddf1ae3 -00002097 -b3c08093 -0aa01137 -aa010113 -0020a423 -0080af03 -0aa01eb7 -aa0e8e93 -30c00193 -2bdf16e3 -00002097 -b1408093 -a00aa137 -00a10113 -0020a623 -00c0af03 -a00aaeb7 -00ae8e93 -30d00193 -29df12e3 -00002097 -b0808093 -00aa0137 -0aa10113 -fe20aa23 -ff40af03 -00aa0eb7 -0aae8e93 -30e00193 -25df1ee3 -00002097 -ae008093 -aa00b137 -a0010113 -fe20ac23 -ff80af03 -aa00beb7 -a00e8e93 -30f00193 -23df1ae3 -00002097 -ab808093 -0aa01137 -aa010113 -fe20ae23 -ffc0af03 -0aa01eb7 -aa0e8e93 -31000193 -21df16e3 -00002097 -a9008093 -a00aa137 -00a10113 -0020a023 -0000af03 -a00aaeb7 -00ae8e93 -31100193 -1fdf12e3 -00002097 -a6c08093 -12345137 -67810113 -fe008213 -02222023 -0000a283 -12345eb7 -678e8e93 -31200193 -1bd29ce3 -00002097 -a4008093 -58213137 -09810113 -ffd08093 -0020a3a3 -00002217 -a2c20213 -00022283 -58213eb7 -098e8e93 -31300193 -19d292e3 -31400193 -00000213 -aabbd0b7 -cdd08093 -00002117 -9dc10113 -00112023 -00012f03 -aabbdeb7 -cdde8e93 -15df1ce3 -00120213 -00200293 -fc521ae3 -31500193 -00000213 -daabc0b7 -ccd08093 -00002117 -9a410113 -00000013 -00112223 -00412f03 -daabceb7 -ccde8e93 -11df1ee3 -00120213 -00200293 -fc5218e3 -31600193 -00000213 -ddaac0b7 -bcc08093 -00002117 -96810113 -00000013 -00000013 -00112423 -00812f03 -ddaaceb7 -bcce8e93 -0ddf1ee3 -00120213 -00200293 -fc5216e3 -31700193 -00000213 -cddab0b7 -bbc08093 -00000013 -00002117 -92410113 -00112623 -00c12f03 -cddabeb7 -bbce8e93 -0bdf10e3 -00120213 -00200293 -fc5218e3 -31800193 -00000213 -ccddb0b7 -abb08093 -00000013 -00002117 -8e810113 -00000013 -00112823 -01012f03 -ccddbeb7 -abbe8e93 -07df10e3 -00120213 -00200293 -fc5216e3 -31900193 -00000213 -bccde0b7 -aab08093 -00000013 -00000013 -00002117 -8a410113 -00112a23 -01412f03 -bccdeeb7 -aabe8e93 -03df10e3 -00120213 -00200293 -fc5216e3 -31a00193 -00000213 -00002117 -87410113 -001120b7 -23308093 -00112023 -00012f03 -00112eb7 -233e8e93 -7fdf1463 -00120213 -00200293 -fc521ae3 -31b00193 -00000213 -00002117 -83c10113 -300110b7 -22308093 -00000013 -00112223 -00412f03 -30011eb7 -223e8e93 -7bdf1663 -00120213 -00200293 -fc5218e3 -31c00193 -00000213 -00002117 -80010113 -330010b7 -12208093 -00000013 -00000013 -00112423 -00812f03 -33001eb7 -122e8e93 -77df1663 -00120213 -00200293 -fc5216e3 -31d00193 -00000213 -00001117 -7c010113 -00000013 -233000b7 -11208093 -00112623 -00c12f03 -23300eb7 -112e8e93 -73df1863 -00120213 -00200293 -fc5218e3 -31e00193 -00000213 -00001117 -78410113 -00000013 -223300b7 -01108093 -00000013 -00112823 -01012f03 -22330eb7 -011e8e93 -6fdf1863 -00120213 -00200293 -fc5216e3 -31f00193 -00000213 -00001117 -74410113 -00000013 -00000013 -122330b7 -00108093 -00112a23 -01412f03 -12233eb7 -001e8e93 -6bdf1863 -00120213 -00200293 -fc5216e3 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020cf33 -f00ffeb7 -00fe8e93 -32000193 -69df1063 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020cf33 -ff010eb7 -f00e8e93 -32100193 -65df1e63 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020cf33 -0ff01eb7 -ff0e8e93 -32200193 -63df1c63 -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020cf33 -00ff0eb7 -0ffe8e93 -32300193 -61df1a63 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c0b3 -f00ffeb7 -00fe8e93 -32400193 -5fd09863 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c133 -f00ffeb7 -00fe8e93 -32500193 -5dd11663 -ff0100b7 -f0008093 -0010c0b3 -00000e93 -32600193 -5bd09a63 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020cf33 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -32700193 -57d31e63 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020cf33 -00000013 -000f0313 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -32800193 -55d31063 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020cf33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fc521ce3 -0ff01eb7 -ff0e8e93 -32900193 -51d31063 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020cf33 -00120213 -00200293 -fe5212e3 -f00ffeb7 -00fe8e93 -32a00193 -4ddf1663 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020cf33 -00120213 -00200293 -fe5210e3 -ff010eb7 -f00e8e93 -32b00193 -49df1a63 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -32c00193 -45df1c63 -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020cf33 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -32d00193 -43df1063 -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -32e00193 -3fdf1263 -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -32f00193 -3bdf1463 -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020cf33 -00120213 -00200293 -fe5212e3 -f00ffeb7 -00fe8e93 -33000193 -37df1a63 -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020cf33 -00120213 -00200293 -fe5210e3 -ff010eb7 -f00e8e93 -33100193 -33df1e63 -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -33200193 -31df1063 -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020cf33 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -33300193 -2ddf1463 -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -33400193 -29df1663 -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -33500193 -25df1863 -ff0100b7 -f0008093 -00104133 -ff010eb7 -f00e8e93 -33600193 -23d11a63 -00ff00b7 -0ff08093 -0000c133 -00ff0eb7 -0ffe8e93 -33700193 -21d11c63 -000040b3 -00000e93 -33800193 -21d09463 -111110b7 -11108093 -22222137 -22210113 -0020c033 -00000e93 -33900193 -1fd01463 -00ff10b7 -f0008093 -f0f0cf13 -ff00feb7 -00fe8e93 -33a00193 -1ddf1663 -0ff010b7 -ff008093 -0f00cf13 -0ff01eb7 -f00e8e93 -33b00193 -1bdf1863 -00ff10b7 -8ff08093 -70f0cf13 -00ff1eb7 -ff0e8e93 -33c00193 -19df1a63 -f00ff0b7 -00f08093 -0f00cf13 -f00ffeb7 -0ffe8e93 -33d00193 -17df1c63 -ff00f0b7 -70008093 -70f0c093 -ff00feb7 -00fe8e93 -33e00193 -15d09e63 -00000213 -0ff010b7 -ff008093 -0f00cf13 -000f0313 -00120213 -00200293 -fe5214e3 -0ff01eb7 -f00e8e93 -33f00193 -13d31663 -00000213 -00ff10b7 -8ff08093 -70f0cf13 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00ff1eb7 -ff0e8e93 -34000193 -0fd31c63 -00000213 -f00ff0b7 -00f08093 -0f00cf13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -0ffe8e93 -34100193 -0dd31063 -00000213 -0ff010b7 -ff008093 -0f00cf13 -00120213 -00200293 -fe5216e3 -0ff01eb7 -f00e8e93 -34200193 -09df1a63 -00000213 -00ff10b7 -fff08093 -00000013 -00f0cf13 -00120213 -00200293 -fe5214e3 -00ff1eb7 -ff0e8e93 -34300193 -07df1263 -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -0f00cf13 -00120213 -00200293 -fe5212e3 -f00ffeb7 -0ffe8e93 -34400193 -03df1863 -0f004093 -0f000e93 -34500193 -03d09063 -00ff00b7 -0ff08093 -70f0c013 -00000e93 -34600193 -01d01463 -00301463 -00000a6f -00100193 -00000a6f -c0001073 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0ff000ff -efefefef -efefefef -0000efef -ff0000ff -f00f0ff0 -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -00000000 -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 -14d68693 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 +00000013 +00000093 +0000af13 +00000e93 +20c00193 +01df0463 +54d0206f +00100093 +0010af13 +00000e93 +20d00193 +01df0463 +5350206f +00300093 +0070af13 +00100e93 +20e00193 +01df0463 +51d0206f +00700093 +0030af13 +00000e93 +20f00193 +01df0463 +5050206f +00000093 +8000af13 +00000e93 +21000193 +01df0463 +4ed0206f +800000b7 +0000af13 +00100e93 +21100193 +01df0463 +4d50206f +800000b7 +8000af13 +00100e93 +21200193 +01df0463 +4bd0206f +00000093 +7ff0af13 +00100e93 +21300193 +01df0463 +4a50206f +800000b7 +fff08093 +0000af13 +00000e93 +21400193 +01df0463 +4890206f +800000b7 +fff08093 +7ff0af13 +00000e93 +21500193 +01df0463 +46d0206f +800000b7 +7ff0af13 +00100e93 +21600193 +01df0463 +4550206f +800000b7 +fff08093 +8000af13 +00000e93 +21700193 +01df0463 +4390206f +00000093 +fff0af13 +00000e93 +21800193 +01df0463 +4210206f +fff00093 +0010af13 +00100e93 +21900193 +01df0463 +4090206f +fff00093 +fff0af13 +00000e93 +21a00193 +01df0463 +3f10206f +00b00093 +00d0a093 +00100e93 +21b00193 +01d08463 +3d90206f +00000213 +00f00093 +00a0af13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +21c00193 +01d30463 +3ad0206f +00000213 +00a00093 +0100af13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +21d00193 +01d30463 +37d0206f +00000213 +01000093 +0090af13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +21e00193 +01d30463 +3490206f +00000213 +00b00093 +00f0af13 +00120213 +00200293 +fe5218e3 +00100e93 +21f00193 +01df0463 +3210206f +00000213 +01100093 +00000013 +0080af13 +00120213 +00200293 +fe5216e3 +00000e93 +22000193 +01df0463 +2f50206f +00000213 +00c00093 +00000013 +00000013 +00e0af13 +00120213 +00200293 +fe5214e3 +00100e93 +22100193 +01df0463 +2c50206f +fff02093 +00000e93 +22200193 +01d08463 +2b10206f +00ff00b7 +0ff08093 +fff0a013 +00000e93 +22300193 +01d00463 +2950206f +00000093 +0000bf13 +00000e93 +22400193 +01df0463 +27d0206f +00100093 +0010bf13 +00000e93 +22500193 +01df0463 +2650206f +00300093 +0070bf13 +00100e93 +22600193 +01df0463 +24d0206f +00700093 +0030bf13 +00000e93 +22700193 +01df0463 +2350206f +00000093 +8000bf13 +00100e93 +22800193 +01df0463 +21d0206f +800000b7 +0000bf13 +00000e93 +22900193 +01df0463 +2050206f +800000b7 +8000bf13 +00100e93 +22a00193 +01df0463 +1ed0206f +00000093 +7ff0bf13 +00100e93 +22b00193 +01df0463 +1d50206f +800000b7 +fff08093 +0000bf13 +00000e93 +22c00193 +01df0463 +1b90206f +800000b7 +fff08093 +7ff0bf13 +00000e93 +22d00193 +01df0463 +19d0206f +800000b7 +7ff0bf13 +00000e93 +22e00193 +01df0463 +1850206f +800000b7 +fff08093 +8000bf13 +00100e93 +22f00193 +01df0463 +1690206f +00000093 +fff0bf13 +00100e93 +23000193 +01df0463 +1510206f +fff00093 +0010bf13 +00000e93 +23100193 +01df0463 +1390206f +fff00093 +fff0bf13 +00000e93 +23200193 +01df0463 +1210206f +00b00093 +00d0b093 +00100e93 +23300193 +01d08463 +1090206f +00000213 +00f00093 +00a0bf13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +23400193 +01d30463 +0dd0206f +00000213 +00a00093 +0100bf13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +23500193 +01d30463 +0ad0206f +00000213 +01000093 +0090bf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +23700193 +01d30463 +0790206f +00000213 +00b00093 +00f0bf13 +00120213 +00200293 +fe5218e3 +00100e93 +23800193 +01df0463 +0510206f +00000213 +01100093 +00000013 +0080bf13 +00120213 +00200293 +fe5216e3 +00000e93 +23900193 +01df0463 +0250206f +00000213 +00c00093 +00000013 +00000013 +00e0bf13 +00120213 +00200293 +fe5214e3 +00100e93 +23a00193 +01df0463 +7f40206f +fff03093 +00100e93 +23b00193 +01d08463 +7e00206f +00ff00b7 +0ff08093 +fff0b013 +00000e93 +23c00193 +01d00463 +7c40206f +00000093 +00000113 +0020bf33 +00000e93 +23d00193 +01df0463 +7a80206f +00100093 +00100113 +0020bf33 +00000e93 +23e00193 +01df0463 +78c0206f +00300093 +00700113 +0020bf33 +00100e93 +23f00193 +01df0463 +7700206f +00700093 +00300113 +0020bf33 +00000e93 +24000193 +01df0463 +7540206f +00000093 +ffff8137 +0020bf33 +00100e93 +24100193 +01df0463 +7380206f +800000b7 +00000113 +0020bf33 +00000e93 +24200193 +01df0463 +71c0206f +800000b7 +ffff8137 +0020bf33 +00100e93 +24300193 +01df0463 +7000206f +00000093 +00008137 +fff10113 +0020bf33 +00100e93 +24400193 +01df0463 +6e00206f +800000b7 +fff08093 +00000113 +0020bf33 +00000e93 +24500193 +01df0463 +6c00206f +800000b7 +fff08093 +00008137 +fff10113 +0020bf33 +00000e93 +24600193 +01df0463 +69c0206f +800000b7 +00008137 +fff10113 +0020bf33 +00000e93 +24700193 +01df0463 +67c0206f +800000b7 +fff08093 +ffff8137 +0020bf33 +00100e93 +24800193 +01df0463 +65c0206f +00000093 +fff00113 +0020bf33 +00100e93 +24900193 +01df0463 +6400206f +fff00093 +00100113 +0020bf33 +00000e93 +24a00193 +01df0463 +6240206f +fff00093 +fff00113 +0020bf33 +00000e93 +24b00193 +01df0463 +6080206f +00e00093 +00d00113 +0020b0b3 +00000e93 +24c00193 +01d08463 +5ec0206f +00b00093 +00d00113 +0020b133 +00100e93 +24d00193 +01d10463 +5d00206f +00d00093 +0010b0b3 +00000e93 +24e00193 +01d08463 +5b80206f +00000213 +00b00093 +00d00113 +0020bf33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +24f00193 +01d30463 +5880206f +00000213 +00e00093 +00d00113 +0020bf33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +25000193 +01d30463 +5540206f +00000213 +00c00093 +00d00113 +0020bf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +25100193 +01d30463 +51c0206f +00000213 +00e00093 +00d00113 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +25200193 +01df0463 +4f00206f +00000213 +00b00093 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25300193 +01df0463 +4c00206f +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25400193 +01df0463 +48c0206f +00000213 +00a00093 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25500193 +01df0463 +45c0206f +00000213 +01000093 +00000013 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25600193 +01df0463 +4280206f +00000213 +00900093 +00000013 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +25700193 +01df0463 +3f40206f +00000213 +00d00113 +01100093 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +25900193 +01df0463 +3c80206f +00000213 +00d00113 +00800093 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25a00193 +01df0463 +3980206f +00000213 +00d00113 +01200093 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25b00193 +01df0463 +3640206f +00000213 +00d00113 +00000013 +00700093 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25c00193 +01df0463 +3340206f +00000213 +00d00113 +00000013 +01300093 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25d00193 +01df0463 +3000206f +00000213 +00d00113 +00000013 +00000013 +00600093 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +25e00193 +01df0463 +2cc0206f +fff00093 +00103133 +00100e93 +25f00193 +01d10463 +2b40206f +fff00093 +0000b133 +00000e93 +26000193 +01d10463 +29c0206f +000030b3 +00000e93 +26100193 +01d08463 +2880206f +01000093 +01e00113 +0020b033 +00000e93 +26200193 +01d00463 +26c0206f +800000b7 +00000113 +4020df33 +80000eb7 +26300193 +01df0463 +2500206f +800000b7 +00100113 +4020df33 +c0000eb7 +26400193 +01df0463 +2340206f +800000b7 +00700113 +4020df33 +ff000eb7 +26500193 +01df0463 +2180206f +800000b7 +00e00113 +4020df33 +fffe0eb7 +26600193 +01df0463 +1fc0206f +800000b7 +00108093 +01f00113 +4020df33 +fff00e93 +26700193 +01df0463 +1dc0206f +800000b7 +fff08093 +00000113 +4020df33 +80000eb7 +fffe8e93 +26800193 +01df0463 +1b80206f +800000b7 +fff08093 +00100113 +4020df33 +40000eb7 +fffe8e93 +26900193 +01df0463 +1940206f +800000b7 +fff08093 +00700113 +4020df33 +01000eb7 +fffe8e93 +26a00193 +01df0463 +1700206f +800000b7 +fff08093 +00e00113 +4020df33 +00020eb7 +fffe8e93 +26b00193 +01df0463 +14c0206f +800000b7 +fff08093 +01f00113 +4020df33 +00000e93 +26c00193 +01df0463 +12c0206f +818180b7 +18108093 +00000113 +4020df33 +81818eb7 +181e8e93 +26d00193 +01df0463 +1080206f +818180b7 +18108093 +00100113 +4020df33 +c0c0ceb7 +0c0e8e93 +26e00193 +01df0463 +0e40206f +818180b7 +18108093 +00700113 +4020df33 +ff030eb7 +303e8e93 +26f00193 +01df0463 +0c00206f +818180b7 +18108093 +00e00113 +4020df33 +fffe0eb7 +606e8e93 +27000193 +01df0463 +09c0206f +818180b7 +18108093 +01f00113 +4020df33 +fff00e93 +27100193 +01df0463 +07c0206f +818180b7 +18108093 +fc000113 +4020df33 +81818eb7 +181e8e93 +27200193 +01df0463 +0580206f +818180b7 +18108093 +fc100113 +4020df33 +c0c0ceb7 +0c0e8e93 +27300193 +01df0463 +0340206f +818180b7 +18108093 +fc700113 +4020df33 +ff030eb7 +303e8e93 +27400193 +01df0463 +0100206f +818180b7 +18108093 +fce00113 +4020df33 +fffe0eb7 +606e8e93 +27500193 +01df0463 +7ed0106f +818180b7 +18108093 +fff00113 +4020df33 +fff00e93 +27600193 +01df0463 +7cd0106f +800000b7 +00700113 +4020d0b3 +ff000eb7 +27700193 +01d08463 +7b10106f +800000b7 +00e00113 +4020d133 +fffe0eb7 +27800193 +01d10463 +7950106f +00700093 +4010d0b3 +00000e93 +27900193 +01d08463 +77d0106f +00000213 +800000b7 +00700113 +4020df33 +000f0313 +00120213 +00200293 +fe5214e3 +ff000eb7 +27a00193 +01d30463 +74d0106f +00000213 +800000b7 +00e00113 +4020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +fffe0eb7 +27b00193 +01d30463 +7190106f +00000213 +800000b7 +01f00113 +4020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +27c00193 +01d30463 +6e10106f +00000213 +800000b7 +00700113 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +27d00193 +01df0463 +6b50106f +00000213 +800000b7 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +27e00193 +01df0463 +6850106f +00000213 +800000b7 +01f00113 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +27f00193 +01df0463 +6510106f +00000213 +800000b7 +00000013 +00700113 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +28000193 +01df0463 +6210106f +00000213 +800000b7 +00000013 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +28100193 +01df0463 +5ed0106f +00000213 +800000b7 +00000013 +00000013 +01f00113 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +28200193 +01df0463 +5b90106f +00000213 +00700113 +800000b7 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +28300193 +01df0463 +58d0106f +00000213 +00e00113 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +28400193 +01df0463 +55d0106f +00000213 +01f00113 +800000b7 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +28500193 +01df0463 +5290106f +00000213 +00700113 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +28600193 +01df0463 +4f90106f +00000213 +00e00113 +00000013 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +28700193 +01df0463 +4c50106f +00000213 +01f00113 +00000013 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +28800193 +01df0463 +4910106f +00f00093 +40105133 +00000e93 +28900193 +01d10463 +4790106f +02000093 +4000d133 +02000e93 +28a00193 +01d10463 +4610106f +400050b3 +00000e93 +28b00193 +01d08463 +44d0106f +40000093 +00001137 +80010113 +4020d033 +00000e93 +28c00193 +01d00463 +42d0106f +00000093 +4000df13 +00000e93 +28d00193 +01df0463 +4150106f +800000b7 +4010df13 +c0000eb7 +28e00193 +01df0463 +3fd0106f +800000b7 +4070df13 +ff000eb7 +28f00193 +01df0463 +3e50106f +800000b7 +40e0df13 +fffe0eb7 +29000193 +01df0463 +3cd0106f +800000b7 +00108093 +41f0df13 +fff00e93 +29100193 +01df0463 +3b10106f +800000b7 +fff08093 +4010df13 +40000eb7 +fffe8e93 +29200193 +01df0463 +3910106f +800000b7 +fff08093 +4070df13 +01000eb7 +fffe8e93 +29300193 +01df0463 +3710106f +800000b7 +fff08093 +40e0df13 +00020eb7 +fffe8e93 +29400193 +01df0463 +3510106f +800000b7 +fff08093 +41f0df13 +00000e93 +29500193 +01df0463 +3350106f +818180b7 +18108093 +4000df13 +81818eb7 +181e8e93 +29600193 +01df0463 +3150106f +818180b7 +18108093 +4010df13 +c0c0ceb7 +0c0e8e93 +29700193 +01df0463 +2f50106f +818180b7 +18108093 +4070df13 +ff030eb7 +303e8e93 +29800193 +01df0463 +2d50106f +818180b7 +18108093 +40e0df13 +fffe0eb7 +606e8e93 +29900193 +01df0463 +2b50106f +818180b7 +18108093 +41f0df13 +fff00e93 +29a00193 +01df0463 +2990106f +800000b7 +4070d093 +ff000eb7 +29b00193 +01d08463 +2810106f +00000213 +800000b7 +4070df13 +000f0313 +00120213 +00200293 +fe5216e3 +ff000eb7 +29c00193 +01d30463 +2550106f +00000213 +800000b7 +40e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +fffe0eb7 +29d00193 +01d30463 +2250106f +00000213 +800000b7 +00108093 +41f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +29e00193 +01d30463 +1ed0106f +00000213 +800000b7 +4070df13 +00120213 +00200293 +fe5218e3 +ff000eb7 +29f00193 +01df0463 +1c50106f +00000213 +800000b7 +00000013 +40e0df13 +00120213 +00200293 +fe5216e3 +fffe0eb7 +2a000193 +01df0463 +1990106f +00000213 +800000b7 +00108093 +00000013 +00000013 +41f0df13 +00120213 +00200293 +fe5212e3 +fff00e93 +2a100193 +01df0463 +1650106f +40405093 +00000e93 +2a200193 +01d08463 +1510106f +02100093 +40a0d013 +00000e93 +2a300193 +01d00463 +1390106f +800000b7 +00000113 +0020df33 +80000eb7 +2a400193 +01df0463 +11d0106f +800000b7 +00100113 +0020df33 +40000eb7 +2a500193 +01df0463 +1010106f +800000b7 +00700113 +0020df33 +01000eb7 +2a600193 +01df0463 +0e50106f +800000b7 +00e00113 +0020df33 +00020eb7 +2a700193 +01df0463 +0c90106f +800000b7 +00108093 +01f00113 +0020df33 +00100e93 +2a800193 +01df0463 +0a90106f +fff00093 +00000113 +0020df33 +fff00e93 +2a900193 +01df0463 +08d0106f +fff00093 +00100113 +0020df33 +80000eb7 +fffe8e93 +2aa00193 +01df0463 +06d0106f +fff00093 +00700113 +0020df33 +02000eb7 +fffe8e93 +2ab00193 +01df0463 +04d0106f +fff00093 +00e00113 +0020df33 +00040eb7 +fffe8e93 +2ac00193 +01df0463 +02d0106f +fff00093 +01f00113 +0020df33 +00100e93 +2ad00193 +01df0463 +0110106f +212120b7 +12108093 +00000113 +0020df33 +21212eb7 +121e8e93 +2ae00193 +01df0463 +7ec0106f +212120b7 +12108093 +00100113 +0020df33 +10909eb7 +090e8e93 +2af00193 +01df0463 +7c80106f +212120b7 +12108093 +00700113 +0020df33 +00424eb7 +242e8e93 +2b000193 +01df0463 +7a40106f +212120b7 +12108093 +00e00113 +0020df33 +00008eb7 +484e8e93 +2b100193 +01df0463 +7800106f +212120b7 +12108093 +01f00113 +0020df33 +00000e93 +2b200193 +01df0463 +7600106f +212120b7 +12108093 +fc000113 +0020df33 +21212eb7 +121e8e93 +2b300193 +01df0463 +73c0106f +212120b7 +12108093 +fc100113 +0020df33 +10909eb7 +090e8e93 +2b400193 +01df0463 +7180106f +212120b7 +12108093 +fc700113 +0020df33 +00424eb7 +242e8e93 +2b500193 +01df0463 +6f40106f +212120b7 +12108093 +fce00113 +0020df33 +00008eb7 +484e8e93 +2b600193 +01df0463 +6d00106f +212120b7 +12108093 +fff00113 +0020df33 +00000e93 +2b700193 +01df0463 +6b00106f +800000b7 +00700113 +0020d0b3 +01000eb7 +2b800193 +01d08463 +6940106f +800000b7 +00e00113 +0020d133 +00020eb7 +2b900193 +01d10463 +6780106f +00700093 +0010d0b3 +00000e93 +2ba00193 +01d08463 +6600106f +00000213 +800000b7 +00700113 +0020df33 +000f0313 +00120213 +00200293 +fe5214e3 +01000eb7 +2bb00193 +01d30463 +6300106f +00000213 +800000b7 +00e00113 +0020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00020eb7 +2bc00193 +01d30463 +5fc0106f +00000213 +800000b7 +01f00113 +0020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +2bd00193 +01d30463 +5c40106f +00000213 +800000b7 +00700113 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +2be00193 +01df0463 +5980106f +00000213 +800000b7 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +2bf00193 +01df0463 +5680106f +00000213 +800000b7 +01f00113 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c000193 +01df0463 +5340106f +00000213 +800000b7 +00000013 +00700113 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +2c100193 +01df0463 +5040106f +00000213 +800000b7 +00000013 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +2c200193 +01df0463 +4d00106f +00000213 +800000b7 +00000013 +00000013 +01f00113 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c300193 +01df0463 +49c0106f +00000213 +00700113 +800000b7 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +2c400193 +01df0463 +4700106f +00000213 +00e00113 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +2c500193 +01df0463 +4400106f +00000213 +01f00113 +800000b7 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c600193 +01df0463 +40c0106f +00000213 +00700113 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +2c700193 +01df0463 +3dc0106f +00000213 +00e00113 +00000013 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +2c800193 +01df0463 +3a80106f +00000213 +01f00113 +00000013 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c900193 +01df0463 +3740106f +00f00093 +00105133 +00000e93 +2ca00193 +01d10463 +35c0106f +02000093 +0000d133 +02000e93 +2cb00193 +01d10463 +3440106f +000050b3 +00000e93 +2cc00193 +01d08463 +3300106f +40000093 +00001137 +80010113 +0020d033 +00000e93 +2cd00193 +01d00463 +3100106f +800000b7 +00000113 +0020df33 +80000eb7 +2ce00193 +01df0463 +2f40106f +800000b7 +00100113 +0020df33 +40000eb7 +2cf00193 +01df0463 +2d80106f +800000b7 +00700113 +0020df33 +01000eb7 +2d000193 +01df0463 +2bc0106f +800000b7 +00e00113 +0020df33 +00020eb7 +2d100193 +01df0463 +2a00106f +800000b7 +00108093 +01f00113 +0020df33 +00100e93 +2d200193 +01df0463 +2800106f +fff00093 +00000113 +0020df33 +fff00e93 +2d300193 +01df0463 +2640106f +fff00093 +00100113 +0020df33 +80000eb7 +fffe8e93 +2d400193 +01df0463 +2440106f +fff00093 +00700113 +0020df33 +02000eb7 +fffe8e93 +2d500193 +01df0463 +2240106f +fff00093 +00e00113 +0020df33 +00040eb7 +fffe8e93 +2d600193 +01df0463 +2040106f +fff00093 +01f00113 +0020df33 +00100e93 +2d700193 +01df0463 +1e80106f +212120b7 +12108093 +00000113 +0020df33 +21212eb7 +121e8e93 +2d800193 +01df0463 +1c40106f +212120b7 +12108093 +00100113 +0020df33 +10909eb7 +090e8e93 +2d900193 +01df0463 +1a00106f +212120b7 +12108093 +00700113 +0020df33 +00424eb7 +242e8e93 +2da00193 +01df0463 +17c0106f +212120b7 +12108093 +00e00113 +0020df33 +00008eb7 +484e8e93 +2db00193 +01df0463 +1580106f +212120b7 +12108093 +01f00113 +0020df33 +00000e93 +2dc00193 +01df0463 +1380106f +800000b7 +0070d093 +01000eb7 +2dd00193 +01d08463 +1200106f +00000213 +800000b7 +0070df13 +000f0313 +00120213 +00200293 +fe5216e3 +01000eb7 +2de00193 +01d30463 +0f40106f +00000213 +800000b7 +00e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00020eb7 +2df00193 +01d30463 +0c40106f +00000213 +800000b7 +00108093 +01f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +2e000193 +01d30463 +08c0106f +00000213 +800000b7 +0070df13 +00120213 +00200293 +fe5218e3 +01000eb7 +2e100193 +01df0463 +0640106f +00000213 +800000b7 +00000013 +00e0df13 +00120213 +00200293 +fe5216e3 +00020eb7 +2e200193 +01df0463 +0380106f +00000213 +800000b7 +00108093 +00000013 +00000013 +01f0df13 +00120213 +00200293 +fe5212e3 +00100e93 +2e300193 +01df0463 +0040106f +00405093 +00000e93 +2e400193 +7fd09ae3 +02100093 +00a0d013 +00000e93 +2e500193 +7fd010e3 +00000093 +00000113 +40208f33 +00000e93 +2e600193 +7ddf14e3 +00100093 +00100113 +40208f33 +00000e93 +2e700193 +7bdf18e3 +00300093 +00700113 +40208f33 +ffc00e93 +2e800193 +79df1ce3 +00000093 +ffff8137 +40208f33 +00008eb7 +2e900193 +79df10e3 +800000b7 +00000113 +40208f33 +80000eb7 +2ea00193 +77df14e3 +800000b7 +ffff8137 +40208f33 +80008eb7 +2eb00193 +75df18e3 +00000093 +00008137 +fff10113 +40208f33 +ffff8eb7 +001e8e93 +2ec00193 +73df18e3 +800000b7 +fff08093 +00000113 +40208f33 +80000eb7 +fffe8e93 +2ed00193 +71df18e3 +800000b7 +fff08093 +00008137 +fff10113 +40208f33 +7fff8eb7 +2ee00193 +6fdf18e3 +800000b7 +00008137 +fff10113 +40208f33 +7fff8eb7 +001e8e93 +2ef00193 +6ddf18e3 +800000b7 +fff08093 +ffff8137 +40208f33 +80008eb7 +fffe8e93 +2f000193 +6bdf18e3 +00000093 +fff00113 +40208f33 +00100e93 +2f100193 +69df1ce3 +fff00093 +00100113 +40208f33 +ffe00e93 +2f200193 +69df10e3 +fff00093 +fff00113 +40208f33 +00000e93 +2f300193 +67df14e3 +00d00093 +00b00113 +402080b3 +00200e93 +2f400193 +65d098e3 +00e00093 +00b00113 +40208133 +00300e93 +2f500193 +63d11ce3 +00d00093 +401080b3 +00000e93 +2f600193 +63d092e3 +00000213 +00d00093 +00b00113 +40208f33 +000f0313 +00120213 +00200293 +fe5214e3 +00200e93 +2f700193 +5fd31ce3 +00000213 +00e00093 +00b00113 +40208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00300e93 +2f800193 +5dd314e3 +00000213 +00f00093 +00b00113 +40208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00400e93 +2f900193 +59d31ae3 +00000213 +00d00093 +00b00113 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +2fa00193 +57df16e3 +00000213 +00e00093 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +2fb00193 +55df10e3 +00000213 +00f00093 +00b00113 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +2fc00193 +51df18e3 +00000213 +00d00093 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +2fd00193 +4fdf12e3 +00000213 +00e00093 +00000013 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +2fe00193 +4bdf1ae3 +00000213 +00f00093 +00000013 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +2ff00193 +49df12e3 +00000213 +00b00113 +00d00093 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +30000193 +45df1ee3 +00000213 +00b00113 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +30100193 +43df18e3 +00000213 +00b00113 +00f00093 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +30200193 +41df10e3 +00000213 +00b00113 +00000013 +00d00093 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +30300193 +3ddf1ae3 +00000213 +00b00113 +00000013 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +30400193 +3bdf12e3 +00000213 +00b00113 +00000013 +00000013 +00f00093 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +30500193 +37df1ae3 +ff100093 +40100133 +00f00e93 +30600193 +37d110e3 +02000093 +40008133 +02000e93 +30700193 +35d116e3 +400000b3 +00000e93 +30800193 +33d09ee3 +01000093 +01e00113 +40208033 +00000e93 +30900193 +33d012e3 +00002097 +b8c08093 +00aa0137 +0aa10113 +0020a023 +0000af03 +00aa0eb7 +0aae8e93 +30a00193 +2fdf1ee3 +00002097 +b6408093 +aa00b137 +a0010113 +0020a223 +0040af03 +aa00beb7 +a00e8e93 +30b00193 +2ddf1ae3 +00002097 +b3c08093 +0aa01137 +aa010113 +0020a423 +0080af03 +0aa01eb7 +aa0e8e93 +30c00193 +2bdf16e3 +00002097 +b1408093 +a00aa137 +00a10113 +0020a623 +00c0af03 +a00aaeb7 +00ae8e93 +30d00193 +29df12e3 +00002097 +b0808093 +00aa0137 +0aa10113 +fe20aa23 +ff40af03 +00aa0eb7 +0aae8e93 +30e00193 +25df1ee3 +00002097 +ae008093 +aa00b137 +a0010113 +fe20ac23 +ff80af03 +aa00beb7 +a00e8e93 +30f00193 +23df1ae3 +00002097 +ab808093 +0aa01137 +aa010113 +fe20ae23 +ffc0af03 +0aa01eb7 +aa0e8e93 +31000193 +21df16e3 +00002097 +a9008093 +a00aa137 +00a10113 +0020a023 +0000af03 +a00aaeb7 +00ae8e93 +31100193 +1fdf12e3 +00002097 +a6c08093 +12345137 +67810113 +fe008213 +02222023 +0000a283 +12345eb7 +678e8e93 +31200193 +1bd29ce3 +00002097 +a4008093 +58213137 +09810113 +ffd08093 +0020a3a3 +00002217 +a2c20213 +00022283 +58213eb7 +098e8e93 +31300193 +19d292e3 +31400193 +00000213 +aabbd0b7 +cdd08093 +00002117 +9dc10113 +00112023 +00012f03 +aabbdeb7 +cdde8e93 +15df1ce3 +00120213 +00200293 +fc521ae3 +31500193 +00000213 +daabc0b7 +ccd08093 +00002117 +9a410113 +00000013 +00112223 +00412f03 +daabceb7 +ccde8e93 +11df1ee3 +00120213 +00200293 +fc5218e3 +31600193 +00000213 +ddaac0b7 +bcc08093 +00002117 +96810113 +00000013 +00000013 +00112423 +00812f03 +ddaaceb7 +bcce8e93 +0ddf1ee3 +00120213 +00200293 +fc5216e3 +31700193 +00000213 +cddab0b7 +bbc08093 +00000013 +00002117 +92410113 +00112623 +00c12f03 +cddabeb7 +bbce8e93 +0bdf10e3 +00120213 +00200293 +fc5218e3 +31800193 +00000213 +ccddb0b7 +abb08093 +00000013 +00002117 +8e810113 +00000013 +00112823 +01012f03 +ccddbeb7 +abbe8e93 +07df10e3 +00120213 +00200293 +fc5216e3 +31900193 +00000213 +bccde0b7 +aab08093 +00000013 +00000013 +00002117 +8a410113 +00112a23 +01412f03 +bccdeeb7 +aabe8e93 +03df10e3 +00120213 +00200293 +fc5216e3 +31a00193 +00000213 +00002117 +87410113 +001120b7 +23308093 +00112023 +00012f03 +00112eb7 +233e8e93 +7fdf1463 +00120213 +00200293 +fc521ae3 +31b00193 +00000213 +00002117 +83c10113 +300110b7 +22308093 +00000013 +00112223 +00412f03 +30011eb7 +223e8e93 +7bdf1663 +00120213 +00200293 +fc5218e3 +31c00193 +00000213 +00002117 +80010113 +330010b7 +12208093 +00000013 +00000013 +00112423 +00812f03 +33001eb7 +122e8e93 +77df1663 +00120213 +00200293 +fc5216e3 +31d00193 +00000213 +00001117 +7c010113 +00000013 +233000b7 +11208093 +00112623 +00c12f03 +23300eb7 +112e8e93 +73df1863 +00120213 +00200293 +fc5218e3 +31e00193 +00000213 +00001117 +78410113 +00000013 +223300b7 +01108093 +00000013 +00112823 +01012f03 +22330eb7 +011e8e93 +6fdf1863 +00120213 +00200293 +fc5216e3 +31f00193 +00000213 +00001117 +74410113 +00000013 +00000013 +122330b7 +00108093 +00112a23 +01412f03 +12233eb7 +001e8e93 +6bdf1863 +00120213 +00200293 +fc5216e3 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +f00ffeb7 +00fe8e93 +32000193 +69df1063 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +ff010eb7 +f00e8e93 +32100193 +65df1e63 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +0ff01eb7 +ff0e8e93 +32200193 +63df1c63 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020cf33 +00ff0eb7 +0ffe8e93 +32300193 +61df1a63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c0b3 +f00ffeb7 +00fe8e93 +32400193 +5fd09863 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c133 +f00ffeb7 +00fe8e93 +32500193 +5dd11663 +ff0100b7 +f0008093 +0010c0b3 +00000e93 +32600193 +5bd09a63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +32700193 +57d31e63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +32800193 +55d31063 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0ff01eb7 +ff0e8e93 +32900193 +51d31063 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +32a00193 +4ddf1663 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +32b00193 +49df1a63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +32c00193 +45df1c63 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +32d00193 +43df1063 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +32e00193 +3fdf1263 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +32f00193 +3bdf1463 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +33000193 +37df1a63 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +33100193 +33df1e63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +33200193 +31df1063 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +33300193 +2ddf1463 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +33400193 +29df1663 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +33500193 +25df1863 +ff0100b7 +f0008093 +00104133 +ff010eb7 +f00e8e93 +33600193 +23d11a63 +00ff00b7 +0ff08093 +0000c133 +00ff0eb7 +0ffe8e93 +33700193 +21d11c63 +000040b3 +00000e93 +33800193 +21d09463 +111110b7 +11108093 +22222137 +22210113 +0020c033 +00000e93 +33900193 +1fd01463 +00ff10b7 +f0008093 +f0f0cf13 +ff00feb7 +00fe8e93 +33a00193 +1ddf1663 +0ff010b7 +ff008093 +0f00cf13 +0ff01eb7 +f00e8e93 +33b00193 +1bdf1863 +00ff10b7 +8ff08093 +70f0cf13 +00ff1eb7 +ff0e8e93 +33c00193 +19df1a63 +f00ff0b7 +00f08093 +0f00cf13 +f00ffeb7 +0ffe8e93 +33d00193 +17df1c63 +ff00f0b7 +70008093 +70f0c093 +ff00feb7 +00fe8e93 +33e00193 +15d09e63 +00000213 +0ff010b7 +ff008093 +0f00cf13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +f00e8e93 +33f00193 +13d31663 +00000213 +00ff10b7 +8ff08093 +70f0cf13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff1eb7 +ff0e8e93 +34000193 +0fd31c63 +00000213 +f00ff0b7 +00f08093 +0f00cf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +34100193 +0dd31063 +00000213 +0ff010b7 +ff008093 +0f00cf13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +f00e8e93 +34200193 +09df1a63 +00000213 +00ff10b7 +fff08093 +00000013 +00f0cf13 +00120213 +00200293 +fe5214e3 +00ff1eb7 +ff0e8e93 +34300193 +07df1263 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00cf13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +34400193 +03df1863 +0f004093 +0f000e93 +34500193 +03d09063 +00ff00b7 +0ff08093 +70f0c013 +00000e93 +34600193 +01d01463 +00301463 +00000a6f +00100193 +00000a6f diff --git a/SIM-CPU/tb_cpu.sv b/SIM-CPU/tb_cpu.sv new file mode 100644 index 0000000..1f88ae4 --- /dev/null +++ b/SIM-CPU/tb_cpu.sv @@ -0,0 +1,69 @@ + +`timescale 1ps/1ps + +module tb_cpu #( + // Specify the instruction&data stream file to be run here + // notice: this is the file path in my PC, please modify it to the path in your PC + parameter INSTRUCTION_STREAM_FILE = "E:/FPGAcommon/USTC-RVSoC/SIM-CPU/rv32i_test/a_instr_stream.txt" // I provide three test instruction streams here, which are split from the official test of RISC-V RV32I + // b_instr_stream.txt" + // c_instr_stream.txt" +)(); + +logic clk = 1'b1; +logic rstn = 1'b0; +always #10000 clk = ~clk; // 50MHz clock +initial begin repeat(4) @(posedge clk); rstn <= 1'b1; end + +naive_bus bus_masters[2](); +naive_bus bus_slaves [1](); + +// RV32I Core +core_top core_top_i ( + .clk ( clk ), + .rstn ( rstn ), + .i_boot_addr ( 0 ), + .instr_master ( bus_masters[1] ), + .data_master ( bus_masters[0] ) +); + +naive_bus_router #( + .N_MASTER ( 2 ), + .N_SLAVE ( 1 ), + .SLAVES_MASK ( { 32'h0000_ffff } ), + .SLAVES_BASE ( { 32'h0000_0000 } ) +) soc_bus_router_i ( + .clk ( clk ), + .rstn ( rstn ), + .masters ( bus_masters ), + .slaves ( bus_slaves ) +); + +assign bus_slaves[0].rd_gnt = 1'b1; +assign bus_slaves[0].wr_gnt = 1'b1; + + +//---------------------------------------------------------------------------------------------------------- +// this ram stores both instruction and data +//---------------------------------------------------------------------------------------------------------- +logic [31:0] ram [4096]; + +initial $readmemh(INSTRUCTION_STREAM_FILE, ram); + +always @ (posedge clk or negedge rstn) + if(~rstn) + bus_slaves[0].rd_data <= 0; + else + bus_slaves[0].rd_data <= ram[bus_slaves[0].rd_addr[14:2]]; + +always @ (posedge clk) begin + if(bus_slaves[0].wr_be[0]) + ram[bus_slaves[0].wr_addr[14:2]][ 7: 0] <= bus_slaves[0].wr_data[ 7: 0]; + if(bus_slaves[0].wr_be[1]) + ram[bus_slaves[0].wr_addr[14:2]][15: 8] <= bus_slaves[0].wr_data[15: 8]; + if(bus_slaves[0].wr_be[2]) + ram[bus_slaves[0].wr_addr[14:2]][23:16] <= bus_slaves[0].wr_data[23:16]; + if(bus_slaves[0].wr_be[3]) + ram[bus_slaves[0].wr_addr[14:2]][31:24] <= bus_slaves[0].wr_data[31:24]; +end + +endmodule diff --git a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr b/SIM-CPU/vivado_sim/sim_cpu.xpr similarity index 78% rename from hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr rename to SIM-CPU/vivado_sim/sim_cpu.xpr index cb8ab72..c3dce0b 100644 --- a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr +++ b/SIM-CPU/vivado_sim/sim_cpu.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/SIM-SoC/questasim.tcl b/SIM-SoC/questasim.tcl new file mode 100644 index 0000000..4aedc15 --- /dev/null +++ b/SIM-SoC/questasim.tcl @@ -0,0 +1,12 @@ +quit -sim + +vlog -sv -incr tb_soc.sv ../RTL/*.sv ../RTL/cpu/*.sv ../RTL/uart/*.sv + +vsim -t ps -voptargs="+acc" work.tb_soc + +log -r /* +radix 16 + +do wave.do + +run 1000us diff --git a/SIM-SoC/tb_soc.sv b/SIM-SoC/tb_soc.sv new file mode 100644 index 0000000..9397b13 --- /dev/null +++ b/SIM-SoC/tb_soc.sv @@ -0,0 +1,27 @@ + +`timescale 1ps/1ps + +module tb_soc(); + +logic clk = 1'b1; +always #10000 clk = ~clk; // 50MHz clock + +wire uart_tx; +wire vga_hsync, vga_vsync, vga_red, vga_green, vga_blue; + +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( + .clk ( clk ), + .isp_uart_rx ( 1'b1 ), + .isp_uart_tx ( uart_tx ), + .vga_hsync ( vga_hsync ), + .vga_vsync ( vga_vsync ), + .vga_red ( vga_red ), + .vga_green ( vga_green ), + .vga_blue ( vga_blue ) +); + +endmodule diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr b/SIM-SoC/vivado_sim/sim_soc.xpr similarity index 80% rename from hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr rename to SIM-SoC/vivado_sim/sim_soc.xpr index 95ec5f1..5371eb6 100644 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr +++ b/SIM-SoC/vivado_sim/sim_soc.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln deleted file mode 100644 index acd56e5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln +++ /dev/null @@ -1,20 +0,0 @@ - -Microsoft Visual Studio Solution File, Format Version 12.00 -# Visual Studio 2012 -Project("{FAE04EC0-301F-11D3-BF4B-00C04F79EFBC}") = "USTCRVSoC-tool", "USTCRVSoC-tool\USTCRVSoC-tool.csproj", "{54C41CBE-83B8-44F7-ABCB-85F543A690CC}" -EndProject -Global - GlobalSection(SolutionConfigurationPlatforms) = preSolution - Debug|Any CPU = Debug|Any CPU - Release|Any CPU = Release|Any CPU - EndGlobalSection - GlobalSection(ProjectConfigurationPlatforms) = postSolution - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Debug|Any CPU.ActiveCfg = Debug|Any CPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Debug|Any CPU.Build.0 = Debug|Any CPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Release|Any CPU.ActiveCfg = Release|Any CPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Release|Any CPU.Build.0 = Release|Any CPU - EndGlobalSection - GlobalSection(SolutionProperties) = preSolution - HideSolutionNode = FALSE - EndGlobalSection -EndGlobal diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config deleted file mode 100644 index 3d795e5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs deleted file mode 100644 index d476dd5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs +++ /dev/null @@ -1,701 +0,0 @@ -namespace USTCRVSoC_tool -{ - partial class MainForm - { - /// - /// 必需的设计器变量。 - /// - private System.ComponentModel.IContainer components = null; - - /// - /// 清理所有正在使用的资源。 - /// - /// 如果应释放托管资源,为 true;否则为 false。 - protected override void Dispose(bool disposing) - { - if (disposing && (components != null)) - { - components.Dispose(); - } - base.Dispose(disposing); - } - - #region Windows 窗体设计器生成的代码 - - /// - /// 设计器支持所需的方法 - 不要 - /// 使用代码编辑器修改此方法的内容。 - /// - private void InitializeComponent() - { - this.components = new System.ComponentModel.Container(); - this.fileSelectionText = new System.Windows.Forms.TextBox(); - this.fileSelectionBtn = new System.Windows.Forms.Button(); - this.compileGroup = new System.Windows.Forms.GroupBox(); - this.tableLayoutPanel3 = new System.Windows.Forms.TableLayoutPanel(); - this.otherSaveBtn = new System.Windows.Forms.Button(); - this.saveBtn = new System.Windows.Forms.Button(); - this.codeText = new System.Windows.Forms.TextBox(); - this.compilePromptText = new System.Windows.Forms.TextBox(); - this.HexStreamGroup = new System.Windows.Forms.GroupBox(); - this.tableLayoutPanel4 = new System.Windows.Forms.TableLayoutPanel(); - this.binText = new System.Windows.Forms.TextBox(); - this.tableLayoutPanel5 = new System.Windows.Forms.TableLayoutPanel(); - this.saveVerilog = new System.Windows.Forms.Button(); - this.compileBtn = new System.Windows.Forms.Button(); - this.tableLayoutPanel6 = new System.Windows.Forms.TableLayoutPanel(); - this.programBtn = new System.Windows.Forms.Button(); - this.portSelectionBox = new System.Windows.Forms.ComboBox(); - this.bootAddrGroup = new System.Windows.Forms.GroupBox(); - this.bootAddrTextBox = new System.Windows.Forms.TextBox(); - this.MainLayout = new System.Windows.Forms.TableLayoutPanel(); - this.tableLayoutPanel2 = new System.Windows.Forms.TableLayoutPanel(); - this.groupBox1 = new System.Windows.Forms.GroupBox(); - this.tableLayoutPanel7 = new System.Windows.Forms.TableLayoutPanel(); - this.userPortTextBox = new System.Windows.Forms.TextBox(); - this.tableLayoutPanel8 = new System.Windows.Forms.TableLayoutPanel(); - this.userPortClearBtn = new System.Windows.Forms.Button(); - this.userPortOpenCloseBtn = new System.Windows.Forms.Button(); - this.userPortShowHex = new System.Windows.Forms.CheckBox(); - this.UserPortRecvCountLabel = new System.Windows.Forms.Label(); - this.内存DumpGroup = new System.Windows.Forms.GroupBox(); - this.内存DumpLayout = new System.Windows.Forms.TableLayoutPanel(); - this.内存内容 = new System.Windows.Forms.TextBox(); - this.地址长度指定Layout = new System.Windows.Forms.TableLayoutPanel(); - this.起始地址 = new System.Windows.Forms.TextBox(); - this.长度 = new System.Windows.Forms.TextBox(); - this.长度Title = new System.Windows.Forms.Label(); - this.起始地址Title = new System.Windows.Forms.Label(); - this.DUMP内存 = new System.Windows.Forms.Button(); - this.serialPort = new System.IO.Ports.SerialPort(this.components); - this.compileGroup.SuspendLayout(); - this.tableLayoutPanel3.SuspendLayout(); - this.HexStreamGroup.SuspendLayout(); - this.tableLayoutPanel4.SuspendLayout(); - this.tableLayoutPanel5.SuspendLayout(); - this.tableLayoutPanel6.SuspendLayout(); - this.bootAddrGroup.SuspendLayout(); - this.MainLayout.SuspendLayout(); - this.tableLayoutPanel2.SuspendLayout(); - this.groupBox1.SuspendLayout(); - this.tableLayoutPanel7.SuspendLayout(); - this.tableLayoutPanel8.SuspendLayout(); - this.内存DumpGroup.SuspendLayout(); - this.内存DumpLayout.SuspendLayout(); - this.地址长度指定Layout.SuspendLayout(); - this.SuspendLayout(); - // - // fileSelectionText - // - this.fileSelectionText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.fileSelectionText.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.fileSelectionText.Location = new System.Drawing.Point(5, 5); - this.fileSelectionText.Margin = new System.Windows.Forms.Padding(5); - this.fileSelectionText.Name = "fileSelectionText"; - this.fileSelectionText.ReadOnly = true; - this.fileSelectionText.Size = new System.Drawing.Size(187, 28); - this.fileSelectionText.TabIndex = 0; - // - // fileSelectionBtn - // - this.fileSelectionBtn.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.fileSelectionBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.fileSelectionBtn.Location = new System.Drawing.Point(200, 3); - this.fileSelectionBtn.Name = "fileSelectionBtn"; - this.fileSelectionBtn.Size = new System.Drawing.Size(114, 34); - this.fileSelectionBtn.TabIndex = 1; - this.fileSelectionBtn.Text = "打开..."; - this.fileSelectionBtn.UseVisualStyleBackColor = true; - this.fileSelectionBtn.Click += new System.EventHandler(this.fileSelectionBtn_Click); - // - // compileGroup - // - this.compileGroup.Controls.Add(this.tableLayoutPanel3); - this.compileGroup.Dock = System.Windows.Forms.DockStyle.Fill; - this.compileGroup.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.compileGroup.Location = new System.Drawing.Point(7, 7); - this.compileGroup.Margin = new System.Windows.Forms.Padding(7); - this.compileGroup.Name = "compileGroup"; - this.compileGroup.Size = new System.Drawing.Size(563, 64); - this.compileGroup.TabIndex = 2; - this.compileGroup.TabStop = false; - this.compileGroup.Text = "文件"; - // - // tableLayoutPanel3 - // - this.tableLayoutPanel3.ColumnCount = 4; - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 120F)); - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 120F)); - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 120F)); - this.tableLayoutPanel3.Controls.Add(this.otherSaveBtn, 3, 0); - this.tableLayoutPanel3.Controls.Add(this.saveBtn, 2, 0); - this.tableLayoutPanel3.Controls.Add(this.fileSelectionBtn, 1, 0); - this.tableLayoutPanel3.Controls.Add(this.fileSelectionText, 0, 0); - this.tableLayoutPanel3.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel3.Location = new System.Drawing.Point(3, 21); - this.tableLayoutPanel3.Name = "tableLayoutPanel3"; - this.tableLayoutPanel3.RowCount = 1; - this.tableLayoutPanel3.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel3.Size = new System.Drawing.Size(557, 40); - this.tableLayoutPanel3.TabIndex = 7; - // - // otherSaveBtn - // - this.otherSaveBtn.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.otherSaveBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.otherSaveBtn.Location = new System.Drawing.Point(440, 3); - this.otherSaveBtn.Name = "otherSaveBtn"; - this.otherSaveBtn.Size = new System.Drawing.Size(114, 34); - this.otherSaveBtn.TabIndex = 5; - this.otherSaveBtn.Text = "另存..."; - this.otherSaveBtn.UseVisualStyleBackColor = true; - this.otherSaveBtn.Click += new System.EventHandler(this.otherSaveBtn_Click); - // - // saveBtn - // - this.saveBtn.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.saveBtn.Enabled = false; - this.saveBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.saveBtn.Location = new System.Drawing.Point(320, 3); - this.saveBtn.Name = "saveBtn"; - this.saveBtn.Size = new System.Drawing.Size(114, 34); - this.saveBtn.TabIndex = 4; - this.saveBtn.Text = "保存"; - this.saveBtn.UseVisualStyleBackColor = true; - this.saveBtn.Click += new System.EventHandler(this.saveBtn_Click); - // - // codeText - // - this.codeText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.codeText.Font = new System.Drawing.Font("Consolas", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.codeText.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(128)))), ((int)(((byte)(64)))), ((int)(((byte)(64))))); - this.codeText.Location = new System.Drawing.Point(3, 81); - this.codeText.Multiline = true; - this.codeText.Name = "codeText"; - this.codeText.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.codeText.Size = new System.Drawing.Size(571, 504); - this.codeText.TabIndex = 4; - // - // compilePromptText - // - this.compilePromptText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.compilePromptText.Font = new System.Drawing.Font("Consolas", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.compilePromptText.Location = new System.Drawing.Point(3, 591); - this.compilePromptText.Multiline = true; - this.compilePromptText.Name = "compilePromptText"; - this.compilePromptText.ReadOnly = true; - this.compilePromptText.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.compilePromptText.Size = new System.Drawing.Size(571, 156); - this.compilePromptText.TabIndex = 3; - // - // HexStreamGroup - // - this.HexStreamGroup.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.HexStreamGroup.Controls.Add(this.tableLayoutPanel4); - this.HexStreamGroup.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.HexStreamGroup.Location = new System.Drawing.Point(586, 3); - this.HexStreamGroup.Name = "HexStreamGroup"; - this.HexStreamGroup.Size = new System.Drawing.Size(244, 750); - this.HexStreamGroup.TabIndex = 5; - this.HexStreamGroup.TabStop = false; - this.HexStreamGroup.Text = "指令流"; - // - // tableLayoutPanel4 - // - this.tableLayoutPanel4.ColumnCount = 1; - this.tableLayoutPanel4.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.tableLayoutPanel4.Controls.Add(this.binText, 0, 3); - this.tableLayoutPanel4.Controls.Add(this.tableLayoutPanel5, 0, 0); - this.tableLayoutPanel4.Controls.Add(this.tableLayoutPanel6, 0, 1); - this.tableLayoutPanel4.Controls.Add(this.bootAddrGroup, 0, 2); - this.tableLayoutPanel4.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel4.Location = new System.Drawing.Point(3, 21); - this.tableLayoutPanel4.Name = "tableLayoutPanel4"; - this.tableLayoutPanel4.RowCount = 4; - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 50F)); - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 50F)); - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 60F)); - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel4.Size = new System.Drawing.Size(238, 726); - this.tableLayoutPanel4.TabIndex = 0; - // - // binText - // - this.binText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.binText.Font = new System.Drawing.Font("Consolas", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.binText.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(0)))), ((int)(((byte)(0)))), ((int)(((byte)(192))))); - this.binText.Location = new System.Drawing.Point(3, 163); - this.binText.Multiline = true; - this.binText.Name = "binText"; - this.binText.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.binText.Size = new System.Drawing.Size(232, 560); - this.binText.TabIndex = 5; - // - // tableLayoutPanel5 - // - this.tableLayoutPanel5.ColumnCount = 2; - this.tableLayoutPanel5.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 30F)); - this.tableLayoutPanel5.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 70F)); - this.tableLayoutPanel5.Controls.Add(this.saveVerilog, 1, 0); - this.tableLayoutPanel5.Controls.Add(this.compileBtn, 0, 0); - this.tableLayoutPanel5.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel5.Location = new System.Drawing.Point(3, 3); - this.tableLayoutPanel5.Name = "tableLayoutPanel5"; - this.tableLayoutPanel5.RowCount = 1; - this.tableLayoutPanel5.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.tableLayoutPanel5.Size = new System.Drawing.Size(232, 44); - this.tableLayoutPanel5.TabIndex = 0; - // - // saveVerilog - // - this.saveVerilog.Dock = System.Windows.Forms.DockStyle.Fill; - this.saveVerilog.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.saveVerilog.Location = new System.Drawing.Point(72, 3); - this.saveVerilog.Name = "saveVerilog"; - this.saveVerilog.Size = new System.Drawing.Size(157, 38); - this.saveVerilog.TabIndex = 2; - this.saveVerilog.Text = "保存指令流 (Verilog)"; - this.saveVerilog.UseVisualStyleBackColor = true; - this.saveVerilog.Click += new System.EventHandler(this.saveVerilog_Click); - // - // compileBtn - // - this.compileBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.compileBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.compileBtn.Location = new System.Drawing.Point(3, 3); - this.compileBtn.Name = "compileBtn"; - this.compileBtn.Size = new System.Drawing.Size(63, 38); - this.compileBtn.TabIndex = 0; - this.compileBtn.Text = "汇编"; - this.compileBtn.UseVisualStyleBackColor = true; - this.compileBtn.Click += new System.EventHandler(this.compileBtn_Click); - // - // tableLayoutPanel6 - // - this.tableLayoutPanel6.ColumnCount = 2; - this.tableLayoutPanel6.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel6.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 25F)); - this.tableLayoutPanel6.Controls.Add(this.programBtn, 1, 0); - this.tableLayoutPanel6.Controls.Add(this.portSelectionBox, 0, 0); - this.tableLayoutPanel6.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel6.Location = new System.Drawing.Point(3, 53); - this.tableLayoutPanel6.Name = "tableLayoutPanel6"; - this.tableLayoutPanel6.RowCount = 1; - this.tableLayoutPanel6.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel6.Size = new System.Drawing.Size(232, 44); - this.tableLayoutPanel6.TabIndex = 1; - this.tableLayoutPanel6.Paint += new System.Windows.Forms.PaintEventHandler(this.tableLayoutPanel6_Paint); - // - // programBtn - // - this.programBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.programBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.programBtn.Location = new System.Drawing.Point(157, 3); - this.programBtn.Name = "programBtn"; - this.programBtn.Size = new System.Drawing.Size(72, 38); - this.programBtn.TabIndex = 3; - this.programBtn.Text = "烧写"; - this.programBtn.UseVisualStyleBackColor = true; - this.programBtn.Click += new System.EventHandler(this.programBtn_Click); - // - // portSelectionBox - // - this.portSelectionBox.Dock = System.Windows.Forms.DockStyle.Fill; - this.portSelectionBox.DropDownStyle = System.Windows.Forms.ComboBoxStyle.DropDownList; - this.portSelectionBox.Font = new System.Drawing.Font("宋体", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.portSelectionBox.FormattingEnabled = true; - this.portSelectionBox.Location = new System.Drawing.Point(3, 3); - this.portSelectionBox.Name = "portSelectionBox"; - this.portSelectionBox.Size = new System.Drawing.Size(148, 28); - this.portSelectionBox.TabIndex = 0; - this.portSelectionBox.DropDown += new System.EventHandler(this.InitializeCurrentPort); - // - // bootAddrGroup - // - this.bootAddrGroup.Controls.Add(this.bootAddrTextBox); - this.bootAddrGroup.Dock = System.Windows.Forms.DockStyle.Fill; - this.bootAddrGroup.Location = new System.Drawing.Point(3, 103); - this.bootAddrGroup.Name = "bootAddrGroup"; - this.bootAddrGroup.Size = new System.Drawing.Size(232, 54); - this.bootAddrGroup.TabIndex = 6; - this.bootAddrGroup.TabStop = false; - this.bootAddrGroup.Text = "BOOT地址"; - // - // bootAddrTextBox - // - this.bootAddrTextBox.Dock = System.Windows.Forms.DockStyle.Fill; - this.bootAddrTextBox.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.bootAddrTextBox.Location = new System.Drawing.Point(3, 21); - this.bootAddrTextBox.Margin = new System.Windows.Forms.Padding(5); - this.bootAddrTextBox.Name = "bootAddrTextBox"; - this.bootAddrTextBox.Size = new System.Drawing.Size(226, 28); - this.bootAddrTextBox.TabIndex = 1; - this.bootAddrTextBox.Text = "00008000"; - this.bootAddrTextBox.TextAlign = System.Windows.Forms.HorizontalAlignment.Right; - // - // MainLayout - // - this.MainLayout.ColumnCount = 4; - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 250F)); - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 250F)); - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 380F)); - this.MainLayout.Controls.Add(this.HexStreamGroup, 1, 0); - this.MainLayout.Controls.Add(this.tableLayoutPanel2, 0, 0); - this.MainLayout.Controls.Add(this.groupBox1, 2, 0); - this.MainLayout.Controls.Add(this.内存DumpGroup, 3, 0); - this.MainLayout.Dock = System.Windows.Forms.DockStyle.Fill; - this.MainLayout.Location = new System.Drawing.Point(0, 0); - this.MainLayout.Name = "MainLayout"; - this.MainLayout.RowCount = 1; - this.MainLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.MainLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 20F)); - this.MainLayout.Size = new System.Drawing.Size(1463, 756); - this.MainLayout.TabIndex = 6; - // - // tableLayoutPanel2 - // - this.tableLayoutPanel2.ColumnCount = 1; - this.tableLayoutPanel2.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel2.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel2.Controls.Add(this.compileGroup, 0, 0); - this.tableLayoutPanel2.Controls.Add(this.codeText, 0, 1); - this.tableLayoutPanel2.Controls.Add(this.compilePromptText, 0, 2); - this.tableLayoutPanel2.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel2.Location = new System.Drawing.Point(3, 3); - this.tableLayoutPanel2.Name = "tableLayoutPanel2"; - this.tableLayoutPanel2.RowCount = 3; - this.tableLayoutPanel2.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 78F)); - this.tableLayoutPanel2.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 76F)); - this.tableLayoutPanel2.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 24F)); - this.tableLayoutPanel2.Size = new System.Drawing.Size(577, 750); - this.tableLayoutPanel2.TabIndex = 6; - // - // groupBox1 - // - this.groupBox1.Controls.Add(this.tableLayoutPanel7); - this.groupBox1.Dock = System.Windows.Forms.DockStyle.Fill; - this.groupBox1.Location = new System.Drawing.Point(836, 3); - this.groupBox1.Name = "groupBox1"; - this.groupBox1.Size = new System.Drawing.Size(244, 750); - this.groupBox1.TabIndex = 7; - this.groupBox1.TabStop = false; - this.groupBox1.Text = "串口查看"; - // - // tableLayoutPanel7 - // - this.tableLayoutPanel7.ColumnCount = 1; - this.tableLayoutPanel7.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.tableLayoutPanel7.Controls.Add(this.userPortTextBox, 0, 1); - this.tableLayoutPanel7.Controls.Add(this.tableLayoutPanel8, 0, 0); - this.tableLayoutPanel7.Controls.Add(this.UserPortRecvCountLabel, 0, 2); - this.tableLayoutPanel7.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel7.Location = new System.Drawing.Point(3, 21); - this.tableLayoutPanel7.Name = "tableLayoutPanel7"; - this.tableLayoutPanel7.RowCount = 3; - this.tableLayoutPanel7.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 90F)); - this.tableLayoutPanel7.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel7.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 40F)); - this.tableLayoutPanel7.Size = new System.Drawing.Size(238, 726); - this.tableLayoutPanel7.TabIndex = 0; - // - // userPortTextBox - // - this.userPortTextBox.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.userPortTextBox.Font = new System.Drawing.Font("Consolas", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.userPortTextBox.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(64)))), ((int)(((byte)(0)))), ((int)(((byte)(0))))); - this.userPortTextBox.Location = new System.Drawing.Point(3, 93); - this.userPortTextBox.Multiline = true; - this.userPortTextBox.Name = "userPortTextBox"; - this.userPortTextBox.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.userPortTextBox.Size = new System.Drawing.Size(232, 590); - this.userPortTextBox.TabIndex = 6; - // - // tableLayoutPanel8 - // - this.tableLayoutPanel8.ColumnCount = 2; - this.tableLayoutPanel8.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel8.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 25F)); - this.tableLayoutPanel8.Controls.Add(this.userPortClearBtn, 1, 1); - this.tableLayoutPanel8.Controls.Add(this.userPortOpenCloseBtn, 1, 0); - this.tableLayoutPanel8.Controls.Add(this.userPortShowHex, 0, 1); - this.tableLayoutPanel8.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel8.Location = new System.Drawing.Point(3, 3); - this.tableLayoutPanel8.Name = "tableLayoutPanel8"; - this.tableLayoutPanel8.RowCount = 2; - this.tableLayoutPanel8.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel8.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel8.Size = new System.Drawing.Size(232, 84); - this.tableLayoutPanel8.TabIndex = 2; - // - // userPortClearBtn - // - this.userPortClearBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.userPortClearBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.userPortClearBtn.Location = new System.Drawing.Point(157, 45); - this.userPortClearBtn.Name = "userPortClearBtn"; - this.userPortClearBtn.Size = new System.Drawing.Size(72, 36); - this.userPortClearBtn.TabIndex = 4; - this.userPortClearBtn.Text = "清空"; - this.userPortClearBtn.UseVisualStyleBackColor = true; - this.userPortClearBtn.Click += new System.EventHandler(this.userPortClearBtn_Click); - // - // userPortOpenCloseBtn - // - this.userPortOpenCloseBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.userPortOpenCloseBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.userPortOpenCloseBtn.Location = new System.Drawing.Point(157, 3); - this.userPortOpenCloseBtn.Name = "userPortOpenCloseBtn"; - this.userPortOpenCloseBtn.Size = new System.Drawing.Size(72, 36); - this.userPortOpenCloseBtn.TabIndex = 3; - this.userPortOpenCloseBtn.Text = "打开"; - this.userPortOpenCloseBtn.UseVisualStyleBackColor = true; - this.userPortOpenCloseBtn.Click += new System.EventHandler(this.userPortOpenCloseBtn_Click); - // - // userPortShowHex - // - this.userPortShowHex.AutoSize = true; - this.userPortShowHex.Dock = System.Windows.Forms.DockStyle.Fill; - this.userPortShowHex.Location = new System.Drawing.Point(3, 45); - this.userPortShowHex.Name = "userPortShowHex"; - this.userPortShowHex.Size = new System.Drawing.Size(148, 36); - this.userPortShowHex.TabIndex = 5; - this.userPortShowHex.Text = "十六进制显示"; - this.userPortShowHex.UseVisualStyleBackColor = true; - // - // UserPortRecvCountLabel - // - this.UserPortRecvCountLabel.AutoSize = true; - this.UserPortRecvCountLabel.Dock = System.Windows.Forms.DockStyle.Fill; - this.UserPortRecvCountLabel.Location = new System.Drawing.Point(3, 689); - this.UserPortRecvCountLabel.Margin = new System.Windows.Forms.Padding(3); - this.UserPortRecvCountLabel.Name = "UserPortRecvCountLabel"; - this.UserPortRecvCountLabel.Size = new System.Drawing.Size(232, 34); - this.UserPortRecvCountLabel.TabIndex = 7; - this.UserPortRecvCountLabel.Text = "接收: 0 B"; - // - // 内存DumpGroup - // - this.内存DumpGroup.Controls.Add(this.内存DumpLayout); - this.内存DumpGroup.Dock = System.Windows.Forms.DockStyle.Fill; - this.内存DumpGroup.Location = new System.Drawing.Point(1086, 3); - this.内存DumpGroup.Name = "内存DumpGroup"; - this.内存DumpGroup.Size = new System.Drawing.Size(374, 750); - this.内存DumpGroup.TabIndex = 8; - this.内存DumpGroup.TabStop = false; - this.内存DumpGroup.Text = "内存DUMP"; - // - // 内存DumpLayout - // - this.内存DumpLayout.ColumnCount = 1; - this.内存DumpLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.内存DumpLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 20F)); - this.内存DumpLayout.Controls.Add(this.内存内容, 0, 2); - this.内存DumpLayout.Controls.Add(this.地址长度指定Layout, 0, 0); - this.内存DumpLayout.Controls.Add(this.DUMP内存, 0, 1); - this.内存DumpLayout.Dock = System.Windows.Forms.DockStyle.Fill; - this.内存DumpLayout.Location = new System.Drawing.Point(3, 21); - this.内存DumpLayout.Name = "内存DumpLayout"; - this.内存DumpLayout.RowCount = 3; - this.内存DumpLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 85F)); - this.内存DumpLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 40F)); - this.内存DumpLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.内存DumpLayout.Size = new System.Drawing.Size(368, 726); - this.内存DumpLayout.TabIndex = 1; - // - // 内存内容 - // - this.内存内容.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.内存内容.Font = new System.Drawing.Font("Consolas", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.内存内容.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(0)))), ((int)(((byte)(0)))), ((int)(((byte)(192))))); - this.内存内容.Location = new System.Drawing.Point(3, 128); - this.内存内容.Multiline = true; - this.内存内容.Name = "内存内容"; - this.内存内容.ReadOnly = true; - this.内存内容.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.内存内容.Size = new System.Drawing.Size(362, 595); - this.内存内容.TabIndex = 6; - // - // 地址长度指定Layout - // - this.地址长度指定Layout.ColumnCount = 2; - this.地址长度指定Layout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.Controls.Add(this.起始地址, 1, 0); - this.地址长度指定Layout.Controls.Add(this.长度, 1, 1); - this.地址长度指定Layout.Controls.Add(this.长度Title, 0, 1); - this.地址长度指定Layout.Controls.Add(this.起始地址Title, 0, 0); - this.地址长度指定Layout.Dock = System.Windows.Forms.DockStyle.Fill; - this.地址长度指定Layout.Location = new System.Drawing.Point(3, 3); - this.地址长度指定Layout.Name = "地址长度指定Layout"; - this.地址长度指定Layout.RowCount = 2; - this.地址长度指定Layout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.Size = new System.Drawing.Size(362, 79); - this.地址长度指定Layout.TabIndex = 0; - // - // 起始地址 - // - this.起始地址.Dock = System.Windows.Forms.DockStyle.Fill; - this.起始地址.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.起始地址.Location = new System.Drawing.Point(186, 5); - this.起始地址.Margin = new System.Windows.Forms.Padding(5); - this.起始地址.Name = "起始地址"; - this.起始地址.Size = new System.Drawing.Size(171, 28); - this.起始地址.TabIndex = 4; - this.起始地址.Text = "00010000"; - this.起始地址.TextAlign = System.Windows.Forms.HorizontalAlignment.Right; - // - // 长度 - // - this.长度.Dock = System.Windows.Forms.DockStyle.Fill; - this.长度.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.长度.Location = new System.Drawing.Point(186, 44); - this.长度.Margin = new System.Windows.Forms.Padding(5); - this.长度.Name = "长度"; - this.长度.Size = new System.Drawing.Size(171, 28); - this.长度.TabIndex = 3; - this.长度.Text = "80"; - this.长度.TextAlign = System.Windows.Forms.HorizontalAlignment.Right; - // - // 长度Title - // - this.长度Title.AutoSize = true; - this.长度Title.Dock = System.Windows.Forms.DockStyle.Fill; - this.长度Title.Location = new System.Drawing.Point(3, 39); - this.长度Title.Name = "长度Title"; - this.长度Title.Size = new System.Drawing.Size(175, 40); - this.长度Title.TabIndex = 2; - this.长度Title.Text = "长度(16进制):"; - this.长度Title.TextAlign = System.Drawing.ContentAlignment.MiddleCenter; - // - // 起始地址Title - // - this.起始地址Title.AutoSize = true; - this.起始地址Title.Dock = System.Windows.Forms.DockStyle.Fill; - this.起始地址Title.Location = new System.Drawing.Point(3, 0); - this.起始地址Title.Name = "起始地址Title"; - this.起始地址Title.Size = new System.Drawing.Size(175, 39); - this.起始地址Title.TabIndex = 0; - this.起始地址Title.Text = "起始地址(16进制)"; - this.起始地址Title.TextAlign = System.Drawing.ContentAlignment.MiddleCenter; - // - // DUMP内存 - // - this.DUMP内存.Dock = System.Windows.Forms.DockStyle.Fill; - this.DUMP内存.Location = new System.Drawing.Point(3, 88); - this.DUMP内存.Name = "DUMP内存"; - this.DUMP内存.Size = new System.Drawing.Size(362, 34); - this.DUMP内存.TabIndex = 1; - this.DUMP内存.Text = "DUMP内存"; - this.DUMP内存.UseVisualStyleBackColor = true; - this.DUMP内存.Click += new System.EventHandler(this.DUMP内存_Click); - // - // serialPort - // - this.serialPort.BaudRate = 115200; - this.serialPort.ReadTimeout = 50; - this.serialPort.WriteTimeout = 300; - this.serialPort.DataReceived += new System.IO.Ports.SerialDataReceivedEventHandler(this.serialPort_DataReceived); - // - // MainForm - // - this.AutoScaleDimensions = new System.Drawing.SizeF(8F, 15F); - this.AutoScaleMode = System.Windows.Forms.AutoScaleMode.Font; - this.ClientSize = new System.Drawing.Size(1463, 756); - this.Controls.Add(this.MainLayout); - this.Name = "MainForm"; - this.Text = "USTCRVSoC 辅助工具"; - this.compileGroup.ResumeLayout(false); - this.tableLayoutPanel3.ResumeLayout(false); - this.tableLayoutPanel3.PerformLayout(); - this.HexStreamGroup.ResumeLayout(false); - this.tableLayoutPanel4.ResumeLayout(false); - this.tableLayoutPanel4.PerformLayout(); - this.tableLayoutPanel5.ResumeLayout(false); - this.tableLayoutPanel6.ResumeLayout(false); - this.bootAddrGroup.ResumeLayout(false); - this.bootAddrGroup.PerformLayout(); - this.MainLayout.ResumeLayout(false); - this.tableLayoutPanel2.ResumeLayout(false); - this.tableLayoutPanel2.PerformLayout(); - this.groupBox1.ResumeLayout(false); - this.tableLayoutPanel7.ResumeLayout(false); - this.tableLayoutPanel7.PerformLayout(); - this.tableLayoutPanel8.ResumeLayout(false); - this.tableLayoutPanel8.PerformLayout(); - this.内存DumpGroup.ResumeLayout(false); - this.内存DumpLayout.ResumeLayout(false); - this.内存DumpLayout.PerformLayout(); - this.地址长度指定Layout.ResumeLayout(false); - this.地址长度指定Layout.PerformLayout(); - this.ResumeLayout(false); - - } - - #endregion - - private System.Windows.Forms.TextBox fileSelectionText; - private System.Windows.Forms.Button fileSelectionBtn; - private System.Windows.Forms.GroupBox compileGroup; - private System.Windows.Forms.TextBox compilePromptText; - private System.Windows.Forms.TextBox codeText; - private System.Windows.Forms.Button saveBtn; - private System.Windows.Forms.GroupBox HexStreamGroup; - private System.Windows.Forms.TableLayoutPanel MainLayout; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel2; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel3; - private System.Windows.Forms.Button otherSaveBtn; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel4; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel5; - private System.Windows.Forms.Button saveVerilog; - private System.Windows.Forms.Button compileBtn; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel6; - private System.Windows.Forms.Button programBtn; - private System.Windows.Forms.ComboBox portSelectionBox; - private System.Windows.Forms.TextBox binText; - private System.IO.Ports.SerialPort serialPort; - private System.Windows.Forms.GroupBox groupBox1; - private System.Windows.Forms.GroupBox bootAddrGroup; - private System.Windows.Forms.TextBox bootAddrTextBox; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel7; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel8; - private System.Windows.Forms.Button userPortOpenCloseBtn; - private System.Windows.Forms.TextBox userPortTextBox; - private System.Windows.Forms.Button userPortClearBtn; - private System.Windows.Forms.CheckBox userPortShowHex; - private System.Windows.Forms.Label UserPortRecvCountLabel; - private System.Windows.Forms.GroupBox 内存DumpGroup; - private System.Windows.Forms.TableLayoutPanel 内存DumpLayout; - private System.Windows.Forms.TextBox 内存内容; - private System.Windows.Forms.TableLayoutPanel 地址长度指定Layout; - private System.Windows.Forms.TextBox 起始地址; - private System.Windows.Forms.TextBox 长度; - private System.Windows.Forms.Label 长度Title; - private System.Windows.Forms.Label 起始地址Title; - private System.Windows.Forms.Button DUMP内存; - - } -} - diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs deleted file mode 100644 index 52913c0..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs +++ /dev/null @@ -1,559 +0,0 @@ -using System; -using System.IO; -using System.IO.Ports; -using System.Drawing; -using System.Text; -using System.Windows.Forms; - - -namespace USTCRVSoC_tool -{ - public partial class MainForm : Form - { - private const string RISCV_TOOLS_PATH = ".\\riscv32-elf-tools-windows\\"; // RISC-V工具链的路径 - - #region 控制接收字节数计数 - private uint _userPortCount; - private uint userPortCount // 接收字节数计数属性 - { - get - { - return _userPortCount; - } - set - { - _userPortCount = value; - changeCountText(String.Format("接收: {0:D} B", _userPortCount)); - } - } - #endregion - - public MainForm() // 窗体构造函数 - { - InitializeComponent(); - InitializeCurrentPort(null, null); - } - - #region 自动查照存在的串口 - private void InitializeCurrentPort(object sender, EventArgs e) - { - string[] ports = SerialPort.GetPortNames(); - portSelectionBox.Items.Clear(); - portSelectionBox.Items.AddRange(ports); - if (portSelectionBox.Items.Count > 0) - { - portSelectionBox.SelectedIndex = 0; - } - else - { - compilePromptText.Text = "未找到串口,请插入设备,或者检查串口驱动是否安装"; - } - } - #endregion - - #region 打开、保存、另存 汇编代码文件 - private void fileSelectionBtn_Click(object sender, EventArgs e) - { - OpenFileDialog openFileDialog = new OpenFileDialog(); - openFileDialog.InitialDirectory = ".\\"; //注意这里写路径时要用c:\\而不是c:\ - openFileDialog.Filter = "汇编语言文件|*.S"; - openFileDialog.RestoreDirectory = true; - openFileDialog.FilterIndex = 1; - if (openFileDialog.ShowDialog() == DialogResult.OK) - { - fileSelectionText.Text = openFileDialog.FileName; - try - { - codeText.Text = System.IO.File.ReadAllText(openFileDialog.FileName); - compilePromptText.Text = "已打开文件"; - saveBtn.Enabled = true; - } - catch (Exception ex) - { - compilePromptText.Text = "打开文件失败\n " + ex.Message; - } - } - } - - private void saveBtn_Click(object sender, EventArgs e) - { - try - { - System.IO.File.WriteAllText(fileSelectionText.Text, codeText.Text); - compilePromptText.Text = " 已保存文件"; - } - catch (Exception ex) - { - compilePromptText.Text = " 保存文件失败\r\n" + ex.Message; - } - } - - private void otherSaveBtn_Click(object sender, EventArgs e) - { - SaveFileDialog saveFileDialog = new SaveFileDialog(); - saveFileDialog.InitialDirectory = ".\\"; //注意这里写路径时要用c:\\而不是c:\ - saveFileDialog.Filter = "汇编语言文件|*.S"; - saveFileDialog.RestoreDirectory = true; - saveFileDialog.FilterIndex = 1; - if (saveFileDialog.ShowDialog() == DialogResult.OK) - { - fileSelectionText.Text = saveFileDialog.FileName; - try - { - System.IO.File.WriteAllText(fileSelectionText.Text, codeText.Text); - compilePromptText.Text = "已保存文件"; - } - catch (Exception ex) - { - compilePromptText.Text = "保存文件失败\n " + ex.Message; - } - } - } - #endregion - - #region 汇编 - public bool RunCmd(string path, string command, ref string msg) // 调用 CMD 运行一个命令 - { - try - { - msg = ">" + command + "\r\n\r\n"; - System.Diagnostics.Process pro = new System.Diagnostics.Process(); - pro.StartInfo.FileName = "cmd.exe"; - pro.StartInfo.CreateNoWindow = true; // 不创建新窗口 - pro.StartInfo.UseShellExecute = false; // 不启用shell启动进程 - pro.StartInfo.RedirectStandardInput = true; // 重定向输入 - pro.StartInfo.RedirectStandardOutput = true; // 重定向标准输出 - pro.StartInfo.RedirectStandardError = true; - pro.StartInfo.StandardErrorEncoding = System.Text.UTF8Encoding.UTF8; - pro.StartInfo.StandardOutputEncoding = System.Text.UTF8Encoding.UTF8; // 重定向错误输出 - pro.StartInfo.WorkingDirectory = path; - pro.Start(); //开启cmd - pro.StandardInput.WriteLine(command); - pro.StandardInput.AutoFlush = true; - pro.StandardInput.WriteLine("exit"); //若是运行时间短可加入此命令 - pro.WaitForExit();//若运行时间长,使用这个,等待程序执行完退出进程 - string errorStr = pro.StandardError.ReadToEnd(); - msg += errorStr; - pro.Close(); - return errorStr.Trim().Length == 0; - } - catch (Exception ex) - { - MessageBox.Show(ex.Message + "\r\n请确保工具链目录与本程序在同一目录下"); - return false; - } - } - private string dumpBin(string bin_file_path) // 读取汇编出的.bin 文件并调整字节序、转换为一行一行的指令 - { - StringBuilder strbuild = new StringBuilder(); - byte[] bin = System.IO.File.ReadAllBytes(bin_file_path); - for (int i = 0; i < bin.Length - 3; i += 4) - { - for (int j = 3; j >= 0; j--) - strbuild.Append(bin[i + j].ToString("x2")); - strbuild.AppendLine(); - } - return strbuild.ToString(); - } - private void compileBtn_Click(object sender, EventArgs e) // 点击“汇编”按钮时,完成一系列CMD命令,并把编译结果读入到 binText 这个控件里 - { - bool stat; - string msg = ""; - string asm_command = "riscv32-elf-as " + fileSelectionText.Text + " -o compile_tmp.o -march=rv32im"; - string ld_command = "riscv32-elf-ld compile_tmp.o -o compile_tmp.om"; - compilePromptText.Clear(); - - try - { - System.IO.File.WriteAllText(fileSelectionText.Text, codeText.Text); - } - catch (Exception ex) - { - compilePromptText.Text = "保存文件失败\n " + ex.Message; - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, asm_command, ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 编译出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, ld_command, ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 生成om文件出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, "del compile_tmp.o", ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 删除中间文件出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, "riscv32-elf-objcopy -O binary compile_tmp.om compile_tmp.bin", ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 生成bin文件出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, "del compile_tmp.om", ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 删除中间文件出错! ***"); - return; - } - - try - { - binText.Text = dumpBin(RISCV_TOOLS_PATH + "compile_tmp.bin"); - compilePromptText.AppendText(" *** 编译完成! ***"); - } - catch - { - compilePromptText.AppendText(" *** 读取bin文件出错! ***"); - return; - } - } - #endregion - - #region 生成 Verilog InstrROM 代码 - private const string VerilogHead = "module instr_rom(\n input logic clk, rst_n,\n naive_bus.slave bus\n);\nlocalparam INSTR_CNT = 30'd"; - private const string VerilogMid = ";\nwire [0:INSTR_CNT-1] [31:0] instr_rom_cell = {\n"; - private const string VerilogTail = "};\n\nlogic [29:0] cell_rd_addr;\n\nassign bus.rd_gnt = bus.rd_req;\nassign bus.wr_gnt = bus.wr_req;\nassign cell_rd_addr = bus.rd_addr[31:2];\nalways @ (posedge clk or negedge rst_n)\n if(~rst_n)\n bus.rd_data <= 0;\n else begin\n if(bus.rd_req)\n bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr];\n else\n bus.rd_data <= 0;\n end\n\nendmodule\n\n"; - - private string genVerilogRom() - { - StringBuilder strBuilder = new StringBuilder(); - int index = 0; - string[] lines = binText.Text.Trim().Split(); - for (int idx = 0; idx < lines.Length; idx++) - { - string line = lines[idx]; - string hex_num = line.Trim(); - if (hex_num.Length <= 0) - continue; - if (idx < lines.Length - 2) - strBuilder.Append(String.Format(" 32'h{1:S}, // 0x{0:x8}\n", index * 4, hex_num)); - else - strBuilder.Append(String.Format(" 32'h{1:S} // 0x{0:x8}\n", index * 4, hex_num)); - index += 1; - } - strBuilder.Insert(0, VerilogMid); - strBuilder.Insert(0, index.ToString()); - strBuilder.Insert(0, VerilogHead); - strBuilder.Append(VerilogTail); - return strBuilder.ToString(); - } - - private void saveVerilog_Click(object sender, EventArgs e) - { - SaveFileDialog saveFileDialog = new SaveFileDialog(); - saveFileDialog.InitialDirectory = ".\\"; //注意这里写路径时要用c:\\而不是c:\ - saveFileDialog.Filter = "SystemVerilog源文件|*.sv"; - saveFileDialog.RestoreDirectory = true; - saveFileDialog.FilterIndex = 1; - if (saveFileDialog.ShowDialog() == DialogResult.OK) - { - try - { - System.IO.File.WriteAllText(saveFileDialog.FileName, genVerilogRom()); - compilePromptText.Text = "已保存 Verilog ROM 文件"; - } - catch (Exception ex) - { - compilePromptText.Text = "保存 Verilog ROM 文件失败\r\n" + ex.Message; - } - } - } - #endregion - - #region 串口的命令函数 - private bool serialSessionA(string send, ref string response) // 发送一个命令并得到响应字符串 - { - return serialSessionTry(send, ref response, ""); - } - - private bool serialSessionB(string send, string respectResponse) // 发送一个命令并等待指定的响应字符串到来 - { - string response = ""; - return serialSessionTry(send, ref response, respectResponse); - } - - private bool serialSessionTry(string send, ref string response, string respectResponse, int try_time = 3) // 多次请求全部失败时,返回失败,否则返回成功 - { - for (int i = 0; i < try_time; i++) - { - try { serialPort.ReadExisting(); }// 清空接收缓冲区 - catch { } - if (serialSend(send)) - { - if (serialRead(ref response, respectResponse)) - return true; - } - } - compilePromptText.AppendText(" *** 串口调试多次尝试失败 ***\r\n"); - return false; - } - - private bool serialSend(string send) - { - compilePromptText.AppendText("send: " + send); - try - { - serialPort.Write(send + "\n"); - } - catch (Exception ex) - { - compilePromptText.AppendText(" " + ex.Message + "\r\n"); - return false; - } - return true; - } - - private bool serialRead(ref string response, string respectResponse) - { - try - { - for (int i = 0; i < 8; i++) - { - response = serialPort.ReadLine().Trim(); - bool is_respect = respectResponse.Equals("") || respectResponse.Equals(response); - if (is_respect) - { - compilePromptText.AppendText(" response: " + response + "\r\n"); - return true; - } - } - } - catch (Exception ex) - { - compilePromptText.AppendText(" " + ex.Message + "\r\n"); - return false; - } - compilePromptText.AppendText(" response: *** 超时 ***\r\n" + response); - return false; - } - #endregion - - #region 串口打开 - private bool refreshSerial() - { - if (serialPort.IsOpen) - serialPort.Close(); - try - { - serialPort.PortName = portSelectionBox.Text; - serialPort.Open(); - } - catch (Exception ex) - { - compilePromptText.AppendText(" *** 打开串口出错 ***\r\n " + ex.Message); - refreshPortStatus(); - return false; - } - return true; - } - private void refreshPortStatus() - { - if (serialPort.IsOpen) - userPortOpenCloseBtn.Text = "关闭"; - else - userPortOpenCloseBtn.Text = "打开"; - } - private void userPortOpenCloseBtn_Click(object sender, EventArgs e) - { - if (userPortOpenCloseBtn.Text == "打开") - { - compilePromptText.Clear(); - refreshSerial(); - serialSessionB("s", "debug"); - serialSessionB("o", "user"); - } - else - { - serialPort.Close(); - } - refreshPortStatus(); - } - #endregion - - #region 烧录程序 - private void programBtn_Click(object sender, EventArgs e) // 烧录程序 - { - enableUartDisplay = false; - userPortTextBox.Clear(); - compilePromptText.Clear(); - - uint boot_addr; - try - { - boot_addr = Convert.ToUInt32(bootAddrTextBox.Text, 16); - } - catch (Exception ex) - { - compilePromptText.AppendText(" *** Boot Addr格式有误 ***\r\n " + ex.Message); - return; - } - - if (!refreshSerial()) - return; - - if (!serialSessionB("s", "")) - return; - - uint index = 0; - foreach (string line in binText.Text.Split()) - { - string hex_num = line.Trim(); - if (hex_num.Length <= 0) - continue; - string send_str = String.Format("{0:x8} {1:S}", boot_addr + index * 4, hex_num); - index++; - - if (!serialSessionB(send_str, "wr done")) - return; - } - - if (!serialSessionB(string.Format("r{0:x8}", boot_addr), "rst done")) - return; - - compilePromptText.AppendText(" *** 烧录完成 ***\r\n"); - try { serialPort.ReadExisting(); }// 清空接收缓冲区 - catch { } - userPortTextBox.Clear(); - enableUartDisplay = true; - } - #endregion - - #region DUMP内存 - private void DUMP内存_Click(object sender, EventArgs e) // 查看内存 - { - enableUartDisplay = false; - userPortTextBox.Clear(); - compilePromptText.Clear(); - - uint start, len; - try - { - start = Convert.ToUInt32(起始地址.Text, 16); - len = Convert.ToUInt32(长度.Text, 16); - } - catch (Exception ex) - { - compilePromptText.AppendText(" *** 起始地址格式有误 ***\r\n " + ex.Message); - return; - } - start = 4 * (start / 4); // 起始地址自动与4对齐 - if (len > 0x1000) - { - compilePromptText.AppendText(" *** 长度不能大于0x1000 ***\r\n "); - return; - } - len /= 4; - - if (!refreshSerial()) - return; - string response = ""; - if (!serialSessionB("s", "")) - return; - - 内存内容.Clear(); - - uint index = 0; - for (index = 0; index < len; index++) - { - string send_str = String.Format("{0:x8}", start + index * 4); - response = ""; - if (!serialSessionA(send_str, ref response)) - return; - 内存内容.AppendText(String.Format("{0:x8} : {1:S}\r\n", start + index * 4, response.Trim())); - } - - serialSessionB("o", "user"); - compilePromptText.AppendText(" *** Dump内存完成 ***\r\n"); - try { serialPort.ReadExisting(); }// 清空接收缓冲区 - catch { } - userPortTextBox.Clear(); - enableUartDisplay = true; - } - #endregion - - #region 右侧串口监视窗的实时显示 - bool enableUartDisplay = true; - public delegate void changeTextHandler(object str); - - private void appendUserPortText(object str) - { - if (userPortTextBox.InvokeRequired == true) - { - changeTextHandler ct = new changeTextHandler(appendUserPortText); - userPortTextBox.Invoke(ct, new object[] { str }); - } - else - { - userPortTextBox.AppendText(str.ToString()); - } - } - - private void changeCountText(object str) - { - if (UserPortRecvCountLabel.InvokeRequired == true) - { - changeTextHandler ct = new changeTextHandler(changeCountText); - UserPortRecvCountLabel.Invoke(ct, new object[] { str }); - } - else - { - UserPortRecvCountLabel.Text = str.ToString(); - } - } - - private void userPortClearBtn_Click(object sender, EventArgs e) - { - userPortTextBox.Clear(); - } - - private void serialPort_DataReceived(object sender, System.IO.Ports.SerialDataReceivedEventArgs e) - { - if (enableUartDisplay) - { - SerialPort sp = (SerialPort)sender; - try - { - string recvdata = sp.ReadExisting(); - if (userPortShowHex.Checked) - { - StringBuilder sb = new StringBuilder(); - foreach (byte ch in recvdata) - { - sb.Append(String.Format("{0:X2} ", ch)); - } - appendUserPortText(sb.ToString()); - } - else - { - appendUserPortText(recvdata); - } - userPortCount += (uint)recvdata.Length; - } - catch { } - } - } - #endregion - - private void tableLayoutPanel6_Paint(object sender, PaintEventArgs e) - { - - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx deleted file mode 100644 index e9dba01..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx +++ /dev/null @@ -1,123 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - text/microsoft-resx - - - 2.0 - - - System.Resources.ResXResourceReader, System.Windows.Forms, Version=4.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - - System.Resources.ResXResourceWriter, System.Windows.Forms, Version=4.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - - 17, 17 - - \ No newline at end of file diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs deleted file mode 100644 index 4aaf223..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs +++ /dev/null @@ -1,22 +0,0 @@ -using System; -using System.Collections.Generic; -using System.Linq; -using System.Threading.Tasks; -using System.Windows.Forms; - -namespace USTCRVSoC_tool -{ - static class Program - { - /// - /// 应用程序的主入口点。 - /// - [STAThread] - static void Main() - { - Application.EnableVisualStyles(); - Application.SetCompatibleTextRenderingDefault(false); - Application.Run(new MainForm()); - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs deleted file mode 100644 index bf4f275..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs +++ /dev/null @@ -1,36 +0,0 @@ -using System.Reflection; -using System.Runtime.CompilerServices; -using System.Runtime.InteropServices; - -// 有关程序集的常规信息通过以下 -// 特性集控制。更改这些特性值可修改 -// 与程序集关联的信息。 -[assembly: AssemblyTitle("programming_asm")] -[assembly: AssemblyDescription("")] -[assembly: AssemblyConfiguration("")] -[assembly: AssemblyCompany("")] -[assembly: AssemblyProduct("programming_asm")] -[assembly: AssemblyCopyright("Copyright © 2019")] -[assembly: AssemblyTrademark("")] -[assembly: AssemblyCulture("")] - -// 将 ComVisible 设置为 false 使此程序集中的类型 -// 对 COM 组件不可见。如果需要从 COM 访问此程序集中的类型, -// 则将该类型上的 ComVisible 特性设置为 true。 -[assembly: ComVisible(false)] - -// 如果此项目向 COM 公开,则下列 GUID 用于类型库的 ID -[assembly: Guid("b3ab6819-a056-4340-86b3-edae79aaab47")] - -// 程序集的版本信息由下面四个值组成: -// -// 主版本 -// 次版本 -// 生成号 -// 修订号 -// -// 可以指定所有这些值,也可以使用“生成号”和“修订号”的默认值, -// 方法是按如下所示使用“*”: -// [assembly: AssemblyVersion("1.0.*")] -[assembly: AssemblyVersion("1.0.0.0")] -[assembly: AssemblyFileVersion("1.0.0.0")] diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs deleted file mode 100644 index 7c8fb49..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs +++ /dev/null @@ -1,63 +0,0 @@ -//------------------------------------------------------------------------------ -// -// 此代码由工具生成。 -// 运行时版本:4.0.30319.42000 -// -// 对此文件的更改可能会导致不正确的行为,并且如果 -// 重新生成代码,这些更改将会丢失。 -// -//------------------------------------------------------------------------------ - -namespace USTCRVSoC_tool.Properties { - using System; - - - /// - /// 一个强类型的资源类,用于查找本地化的字符串等。 - /// - // 此类是由 StronglyTypedResourceBuilder - // 类通过类似于 ResGen 或 Visual Studio 的工具自动生成的。 - // 若要添加或移除成员,请编辑 .ResX 文件,然后重新运行 ResGen - // (以 /str 作为命令选项),或重新生成 VS 项目。 - [global::System.CodeDom.Compiler.GeneratedCodeAttribute("System.Resources.Tools.StronglyTypedResourceBuilder", "4.0.0.0")] - [global::System.Diagnostics.DebuggerNonUserCodeAttribute()] - [global::System.Runtime.CompilerServices.CompilerGeneratedAttribute()] - internal class Resources { - - private static global::System.Resources.ResourceManager resourceMan; - - private static global::System.Globalization.CultureInfo resourceCulture; - - [global::System.Diagnostics.CodeAnalysis.SuppressMessageAttribute("Microsoft.Performance", "CA1811:AvoidUncalledPrivateCode")] - internal Resources() { - } - - /// - /// 返回此类使用的缓存的 ResourceManager 实例。 - /// - [global::System.ComponentModel.EditorBrowsableAttribute(global::System.ComponentModel.EditorBrowsableState.Advanced)] - internal static global::System.Resources.ResourceManager ResourceManager { - get { - if (object.ReferenceEquals(resourceMan, null)) { - global::System.Resources.ResourceManager temp = new global::System.Resources.ResourceManager("USTCRVSoC_tool.Properties.Resources", typeof(Resources).Assembly); - resourceMan = temp; - } - return resourceMan; - } - } - - /// - /// 使用此强类型资源类,为所有资源查找 - /// 重写当前线程的 CurrentUICulture 属性。 - /// - [global::System.ComponentModel.EditorBrowsableAttribute(global::System.ComponentModel.EditorBrowsableState.Advanced)] - internal static global::System.Globalization.CultureInfo Culture { - get { - return resourceCulture; - } - set { - resourceCulture = value; - } - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx deleted file mode 100644 index ffecec8..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx +++ /dev/null @@ -1,117 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - text/microsoft-resx - - - 2.0 - - - System.Resources.ResXResourceReader, System.Windows.Forms, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - - System.Resources.ResXResourceWriter, System.Windows.Forms, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - \ No newline at end of file diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs deleted file mode 100644 index 9fa0b96..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs +++ /dev/null @@ -1,26 +0,0 @@ -//------------------------------------------------------------------------------ -// -// 此代码由工具生成。 -// 运行时版本:4.0.30319.42000 -// -// 对此文件的更改可能会导致不正确的行为,并且如果 -// 重新生成代码,这些更改将会丢失。 -// -//------------------------------------------------------------------------------ - -namespace USTCRVSoC_tool.Properties { - - - [global::System.Runtime.CompilerServices.CompilerGeneratedAttribute()] - [global::System.CodeDom.Compiler.GeneratedCodeAttribute("Microsoft.VisualStudio.Editors.SettingsDesigner.SettingsSingleFileGenerator", "11.0.0.0")] - internal sealed partial class Settings : global::System.Configuration.ApplicationSettingsBase { - - private static Settings defaultInstance = ((Settings)(global::System.Configuration.ApplicationSettingsBase.Synchronized(new Settings()))); - - public static Settings Default { - get { - return defaultInstance; - } - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings deleted file mode 100644 index abf36c5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings +++ /dev/null @@ -1,7 +0,0 @@ - - - - - - - diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj deleted file mode 100644 index b34a2c3..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj +++ /dev/null @@ -1,99 +0,0 @@ - - - - - Debug - AnyCPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC} - WinExe - Properties - USTCRVSoC_tool - USTCRVSoC-tool - v4.0 - 512 - - - - AnyCPU - true - full - false - bin\Debug\ - DEBUG;TRACE - prompt - 4 - - - AnyCPU - pdbonly - true - bin\Release\ - TRACE - prompt - 4 - - - chip.ico - - - - - - - - - - - - - - - - - - - Form - - - MainForm.cs - - - - - MainForm.cs - - - ResXFileCodeGenerator - Resources.Designer.cs - Designer - - - True - Resources.resx - True - - - SettingsSingleFileGenerator - Settings.Designer.cs - - - True - Settings.settings - True - - - - - - - - - - - \ No newline at end of file diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico deleted file mode 100644 index 2809e232075015850160473813a7384d487f09f6..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 67646 zcmeI5`L|_7mFKD7`Y-68`^S8Gtb*&PS!eY79Qj}4!%eGswlp)`H_q})TePg~HqxWaW-RJBRC(a#mK)Wjs z>vCVljW{RH-rv}J$KDY;jyvv;en{rlsNJMNF=BSjQyIe+Ru z;vdET!?Eia1&&eR7zK_|;1~stQQ#N_j#1#>ECm)87Q()L`-=BJc<^9YUS19>D=S4A zf9&49Tg&;~F4`?FE`|dK4p@=?y|lCx_U_$Vlr`OR&z?Qn-rsZo{{4aWX`j-29*}M< z@7@#U7nZ{AJqN>YcO3}-^vvFH?>831m3PjB@tfzvx=$^Hk6gJB{`BK>;nek$VfEVS z@YZv?;a%f%;r*YO3m?36CY-%(I&8jWA#A&6DLnAsSHcrN-W`7Nn+3V|{;+R<2>a#L z4#>lJPx@;YeTGKR%J<)B!h6`o@AN;VDfgqjryuQjmVbZllcPU0=Dz+}_RL|wd3O3d z%IFvU^Y7O0ef>M|cgipBlfxCypss(8VZguFzWoP7r@Iuo^LxS@tpnj}Pp*VZZ(jK#z|((N-djD|f&t%O z%0|He@8#W2f6I;o1MW5N`d_Qux3p=2cgh zvV6A6FOk_%mYdv0mV<#j4{N$W+k*k$9$k=ce}d=~(=Vz^de6t6ps%-nX*oRiFH2!^ zT4WwZL+@(Bz$kJcM?dI)B;)}4%XmPSN5Mc&_kjW4EtMlp@36dkCA8-b=s3FMvy0)> z4KuxQT)O9@3dcN89@L|nry6; z{!MbAiGGKRfgBFu9pzqbH_3q<2GB=M7_fN(+HbadAUyVi`LOktc7S$~wlyf!E-+bn=p{_gf_HKF=Y0DAA=Ez_5a&F zQXCD!fZd7qDF!IZVSsyr4yi-$dqOhgW5>>GNUa0PV2}y)5si z3;Z~4H^`Bm94PK7{nUAd$efBCpnc}~XSTvA7qoP4$-A!X6hlr<=Y6Uhc0Se(Uw?c$?EHtR@Qo)r;n8o;g_G9i zG4S^FopAfZQ{mCaro%V?u`8cB{T`S3!%1uE7&vjwL>RxZ-K!^c<^Es&)>OFT%hMv0 z3@=U>i5%eBk3K#ZzVV&5^l4K1Cg0LW`iibf?E&Nwat#cuTH6U9x?(|T(4LESaw-RM zx)_>5e`s!((SH~@U~QVdeIJ@I!1L&X*C*7q{@T4MOECZxe)YRO;oR${!^y&+_ zY6tpibHfq?&Ylrnz`OGNlh@CM^Z#-o{OZ-cViQ*VBgWSzeSS1BklLk30t03btn4`u zo)VudzSdRebF|E9Z z)_kTXXTnKmQy|R1T#2*~T#U z80PASfm9ap?0y&kp09|Vv+>3SrPuLiC4SW*F@Q{c{k16>ZzTr0v&a$Qq4@DV2Jr2? zXKW%o`%*cM4Fk7)enxq1V=-c2Qp!3i8*CgK1|t8FEp;BEds>sziY@L>+nMP(k^jiH zVKGpbLl@l84L|?Yd}Y2pNDd6b0DQ-$9QGO&1G%^%{?8YGxuiCp>G>F6$zfnu5}&Ec zf$Q#;@hq(AhF`@?_w+T@?`?X3^TpIOBKwEFW)JK{eC1B2s+O>!U|m&5Sh z>xd={m@M*j!Jo$f=IIN++!x-rRp!k)mpA(dxyxL-YJcT)0pr-@?sa!Z+S)h<1JJ&( z|B&Sl18V;X?`#|!Uy<>v&q?Ec(I&k4b5&W6E&xMd$#|u5pcgk({tE`Vw_yN`!hgk; z`Uj9r)w$^~7@+<8E)@Io#W|7lgE3%sb}9!(#Q?g)^A!x_^hpy2c;4=nec_*fwom4{ z&;eTq-RW&;!$3|BV8fU6HVj<5Lu`H-ThQ7tfb2E?E4@vhi>x;LFV*L2|B2n6#*EPa zw|u@F$A%Yl2)e}g$uNNK0fW}3Ik8F6QHA}FjZt8rd?#xkX=39d&Mm>apO?IAtR24l zwCI+VHwOdg_rt*eHvZo|)s;E3d*4wpki_bt{Z(R9O-{@zt&QH3yQb8pD8{DRbYfEq z4YAqK-PH9MDD1yPpPT(>;~0$5R`tKwl4>V9T*5trj}7 zVYx?d=3dOfqJAmo0O%6tY|p=3otvQxnB&3g*soF!ME*zF0tT2ff&sJtay(@2$sClq zsNsb<>bAR@ea$|Gp>iOHfy5r5uV4T<(4-4IuliwN7#n~(h5@fn`ssq*61PL&N12b@ zGu`cUpUHtWL+S$X(i?N=)YhcrN_;aiZhCk@4&3yB z*!tq@NpXo!2-#rcSTQiu3eU;>-|Robfb|L8(-92X+!72#`_Jh&krVbTYhSYmYU85n zAF%f50_=g*CLBc$49kD;l*3UI2448ZqS$%~UkeN*Yb$E++oM-*Zm1ZT6`Ly37JeEA zO8XCbmA+!=h5Y}?3o|;7JqB+3LR)l3=_gd$3Xib=Z+)^o41$|3n{W#__7Pvnqp-8B0A3p#QHGtQLJ52?Jo*k0IWvjsfO|Isd@0F(cz| z?i*P$3ItJi0^IHIq5y4 z95DM&$FakJ*?)c<^Bna5ZQ`E^$Iejh|Kt{u3v;RB>qWvc^ zA{oaW(ffvhX#XLHdNCu10meV}A9jV=7}HZ7;eTcv4~>C_^#eM$E$w8*tN4m@@q&IB zXyUss9|i;Xby;7}JTK0r9S;U#po*91ALx$(WGeGNcxbX*?Z1ZD@o6HnfAV})mSd+Q z1Bk;5pGD(f+Gr0R7MS_dX_c)U|g<4Ax|M!bAEHpO2mEXwt** zp!UQIo|KqT8aK@G5B?$thP`t4@N(dl*Y|16N@F={=iyTu0R#Sh1uugzfc-zyl9;T_ z|DZP*F#m5ZW`z8I`uUdnioHI+^}!jn^{V+O#LWE;A`$wsFOpgnOzV#mY!=mzm0``)f546rVD-SyMDhN0+NfdPl( zzH%V3!3zu|@2hfmO6>nmVgD_Nyqy&cv}Ym@v=7N#gE7n4WgPpMk@|nvVpCRQMsHmg z=Z0REgB#|M)%ZVimB>Tl|6tH$2Dl{tXZ~L>7I9r27t9M%KVOp^i2iR+6MMe&UuZ_` znDqm>H6)&Y#?wLH_-~sqKwISg&L>vFe>y+f<=&==;}AJ8BnH%vUaedDiXG;KUe}0D zWo~8T6FIO;bT4a%su+y+fZG2*@5TQe1}gD?7cWqK`Ve!=syJv0v9MohUNtEjkV&4y!!KVSsr- zW^UNSz)7+m&xNu_dOaue}DYk zx8o~P_x7-Ue21&MBb+B?V_WYIcijGn*8SXlUk&%}_;R@a?nlCP*FO|CZn;O=+#N0$ zyG!ccp?_1xZx7tNGu*TN%lgf8xX0#;?$LVMCuyhs;(P4Q*r#59sDJN{N5bV-+$;C4 z_vy?He-r*x-jR2OUPn?6u$GMVgiZb#v*`zoe|~SmKzD9ATz{YVTxH#x@jJ@GCJcDY z+uX2@fw!&smvG^hzYN!Ye2aWGhifk$4_9s77_Po>ll*%;TqkAM$@euEZ3@>&ng06| zQYN2m7mbB0w`|Zhv0mAp->9P>xyRKP+C8~n{9Hr(t**V)=lNG}m1oPh)vNZ&x8D@5 zlKWQr)a(DYZ2Pfr@zxu{$?JYI00W7B6kYO`Gg5oNbU|EC$eL2t73cT|U$GH}z0!NI z%INzq>QXQ56aS{Xde8WL-`@HJj={iSIe?Ag^rK<`*;>~{ z=n~cyv$h;wrTiO~@8}D=xCfZwdsg->us%P=EShjQiX4dk5M;iNd-!#j7--_*k;Fj3 z!vsTy0ncybPg9?+zHm%+L6oC$UXiy4z<{@L`pW_KuCvF4>rgO&o=7pUAnQ`u2hV&{ z`wI@UK78!rDh3L>wq_GXdjNfp%xCNvZ9Tsz8$}K@(c9mXXK~L%l>^q#COI%11~vxl z0n?+{(NUM?{Dc_{6!bSa9NV9A!BlwiCwq#$W}{-j*6Ml;%yeXL&Q0R~N^w~9!RE)N zj~X!GWtq+mr^L>?v!~-rxepILzm6sbQXcZ$CJcGL_R|Gm%h%=a`aQ?hPECC#(IylO z$QUzh#4$8H2H0!HenYzs2Lr_P+0%UL#-9Jy^RSQuiA`6`3re|F$N}G8FmRsmA3M+M zPNP>A1HvC)*X#jL?|wY=_cS?>!@w{+JUk3+)_F^mqu9yDZ^m&iUXaB=Vh_~DAo~qx z!uOt$JT94i$A*bP-zEn-^9RBul2-#PW_j2b1I$nRV}NAWg8{>8iXr|DHmiN|azOYm^V`x#giSXb2H=CqhNkuxf2N~*&%GQ+4h(zIjx`{82DKKYgFLPd^MW zH&p)P+m$g?`n?Vn12!*=C zGOr8FrV%;d>J}Ifn~?Dz=h(kr3@EMyfADNr45)vhhgXkFYhPd>u?OP3V59no%5mHj z_dE31F*zu@^Yxrm{V?F;|Kzm!fb7*u^%46Uw{4%*HJPl-V{HyTZ{l9~X|KAYrE6d* zAdo)`wRZ-I28J;+-#5o+vNAFHm?s?jGY%gxnW(nbmO_< zit+Q~XY73aH|1Bz-&!u;mu)&%>aGo!Tbb0qT-&eJ->U7oXRf^~qx~kHFZY!1E9KwJ zU2VMAFvL5h7?AOgpAcWM(FytyJ7)a=@lGpeJt6y_SXUg&;vN(B89{%_%RQ&193T$~ zxo8;E>AbE91FZL>F1ggO`(muz*(9Q)c0ML+@nkP1v5wbXjWG}6UVQM;vj*ag*QfPw zEtj&1U2$!6OXA~Jw>8xc+wXihY!f+eGQSA})cNGbwc*0EKN_~K`G|hDob}-_cE*Ro z_?iDTY>_hkNE!d8oZqR-H)WeX_CfvImybyqziGW*UAUL$;pK zuPukBtV>EUz@ByT52W(~>@o6^p~r{4m?JQ4B){H?GUiJ^Ux|UQersAWSI1!k2HcuT z-q$cdd*#2zT;klQNe-aHk-P9(G4PSo3)yR9v=IX`wrg@g+S`~d?kVHl-37d;+(&<>&uwKqu*vN*0k<@X8k*BAo#RpE!3{pBoO^2ef7u!k=AY<6XXsxn0Bnc~4mT#Qt~IU$PE{f9prqM4q%}m&AzU+U!^s*J)DU z*Jb}4@%tEOZT9~{%S$$#BYMB8<445+d^G&<4X=(g2EZuu0zWsT|8;vn{6DgOfHfo) znm|7(tJ6=)gkA-m6Z*pw^nVTmr(Zg+xoYw04RgIZu}5=S`Z;@C+mnm?*;*!#7g^hU z2K%|IIIQ~zWbM+}c9D@)Kbgr*F9(qS@GG^+av11m6CQ00p#K^F6Plb{)_J; zw|*k>Fcbf;?G+>5V)QR%O^zGBvi4!Q{clHXYGq3feO4{Z&1sS6ZynLhAr z-RC?21M9{AcXgkbi(%mMp8n6--aXQx@T-b1Fdp7VEZF&-CM!l zIP&AMM}oc9td-X?`DWiNSLekJcOPwWBoKVww+D*Uza%6E1g z9!(55T&2&){zv|U;rdb2Oh+#b4d?XQ{7J{8sG0@qR*l#O$#!U$Oar zt8=6J8Vs}la$`I4AU`)8Ne+lC8CniBV8Gjd{V_0J`2V1f?Kd=9DgDIHhY#J#n*4<1 zDJ55JU6)j2$MAO0b>;R_mp93QuRT7i{$G5SgD`;aGKT?T0ARrTfBRto`ET~$A0h_m z6LN@eZ~rx6fc*vRH7V$K2pF(2g#VX(#eLV1$lQ=Ktp;72{z}eUsH`uq%K`E!&q==9 zbgz`fENt&I?*?{r7|@uJVBmp!AF23%!2od|v!D59{)hdy`K;1T`$NS5{bH_SaZBre z&i-ScQDqD@U;z51F&~Q;fC1&dQ3^~Zqhd64~vx5=JUa#FGP+~@@TpcDCsJgvxGOa4+{Mm{t0mXF_9_0Qzwzy~jz z9mIa!PhQx64H!6aP0XRd+8{pUPFN)|3-W4N895fnskC}6>ri6O4XrExUM1yL#=c8< zf0gXv%VEG`r)K{Zb2H&vF^*+Sr*>Xnn-D)?V!t(FAdxxx{64;7wg1)sOUx%?Ak}42 z7mVvZRHc{fJtrp>bga`ao>6J#t0hkv`Aea1Q6}wAlrs(Te%8`gOy2cD7iX)P-(ip( zDCZkJfAf$rAMYbF|F6!E`(VKM4_}W2298$j7}&aT067|6tYD(zjo0C_R!LI%aS zosTb>uI1C2B zXpH|?eVVq%rNqT%%|8%nd3%5{1y54G{2^e#$Bs4rFKf%u@fN$bcdKF`iT~GR zx$s-)XuL+(mSf1#T66*Oxh_ZQx_~pU2VLY7;+cv8#bJFMpCmc=%=X|L8*kM)ViS5h zFKZJ@t~j*`v1y|Hg8hg8AKDn5_*OXp4SVr(^gr|eoSmi^=wp+4x!ve1#wI*txVd3Y z4p4?pVf_2}e-j3fdAxh1U(8A6Z8~geY{H67Ei^0X7j5s@emZ-mRT{S)#{T>8mG#(f zb)Qc=Z2I)10DcT01talTS9kCC|va~tL;<(!4NP1&9~kjDQr7|?k^4+CcZ z*_=Ns2bwV8_zD&pF(5oVTnrfhD;O64mB)6J15zgMZnV1fp_rSFxu4OBIbofCoMADg zx$4V$U77zi$$@hH26OvC7e0c#EGgvxXRop59G`^c;>Oq46<>eq*iv16mFRAOG)*f!;W@F>iG}Uk75q%?-^SsI`|mI_BLx6gdPg*=J<@ zF63B>0eRQ^@BT{R+c!Tcv?88jeqr|gZV#pW3=`1 z7>N1^{~s|2`Tz#3uZn?8%tCYaWiUYd`-BFz{|}lqVL<)Aj@HK4tQ7)YPRzxh=B zQPM~3Klt7s1ML4{{cnAb3}dK{f$A9Y7~pI>c%t)lwFijXmg6<*a`6$}Jb3;`i~zel zkE3W);A;eP7WeZQP+#w`7!aI8gH%W6Fu?d%T02=jenuaA;P-?9#cUwwWJ$rX1F_W#BBZ}j&!*_G@yfd7nl!vM0}cO|E9oO3ke==Lv7hG&1q8jiRI z;n|nsIhj9wak?mDUBXXf4an2aNgihT+txL(c7gQ@&%GSifRJC0I7v44Ti63*#rjX< z3*QA_L=HrouH+TJ6?4N%-3DLr5yF7C|N3KKthfGSPS<^qtCoDlwk`&m{q)6nhDAv~ zSp%Z<6Z*eY(w}oqZ+}>1wv7AICu{sG=Z55K9(4Wh-!Av~k36w^RM!EWvOccmdheEa zHX7@ZPTSn+t?%+{!K93}OykwHOsDnMg0ZG)^F{Zn{zqT-!vHcB+QQdAWDH;@`uKk{ z1~zJr%IaFKmgX%l*L}(QIyuLLHC|;IwB}4IDRXP-IPVyp-46r5-8Fb0U}tVg)_;~U zgC-1Q{R7~o<|_t6x%Ft#-@RUPcbfgz4+FXVU*7K$adDL8fR`hJLv&H93(x`S`p-ND z$p3VQ(8lG+6d#cCu#zVg`t@?{R(wP~f7%-7BeM1xIq)B4{papIgV+D>-FGmYeu>GF z)TSt9Nu!TwL=2Ft)qFhY{U!`xQ+r)p$bs6N|INbyeMm8Yj3WMzP2=YPbqwJD+tKss z4Z;9(yL!%QZ2X$9STP`WdIbYr;pwdG0UWIV*&}f2?Td}$I6E&Ohp(G&sLbdm2LvPL z*CbDF%->p_FQvKw`wzLFwbMqyK&|eG_JFicap*B%@qgmh{V~wn|0Dd0eqDzF9YZ3^ zD{`P0^HExt^otm%`+8G3%>IwvE9KcfO?H6o|0;RNJ{>Oym>Xu~z#(FQ_%Gu>m%r6x z!2Ew^(=qn!qxL|JuRS^7?04#G`&!-6FwieYlzKwts2P&^KBKLflVk`rKmx9QH8a#b6a!)G7tG*jIDtfurZjI z16d3Rf563wXHN%sI?TlxzVl1_1AB(GZv~D@41f*xa&z`#ZSV3L_AX1D$M%EEIu+{G z_byM#KIf^hp|}6fbid`!FnXCi&=mhS8Nhdw9Pskl>W?G`hQt88$NoqETmSh+57aR* zrhDHjv=X|ppPn zk9KE4Y=ANjgnTOXNk?-Hv?b@j6geQ|3|5~5g4_k1%fQ(jmcxL*C!`)ZABr3XlJ8(@ zq809z{7+{87Z{N7;%z7X#{Zl8;78E~Zx#ly|FHjU-J0Q`h5_;aaDG9SR+86&v+W96 zN!|zO4848XjLgOZU{L(u#&sbFWXvKDe7X9F4#ys7 zkOO|-arFP4m-S^-Fwpb=5gTcu6~7rxiL*gx^%cwCRz5Fvh{X|;Gk$vva8?|-e8>Sd zZ2m9gz|`!%@Qw}Gm30h!{qY%D|6TH%7)A~QtZnvjj4B4o{7=Om36YgHUf{j=pQ2M4 zTaDuwevAAyeyc9XV4$&mqdkzpklfdvdusB z9)rb33 z2DVC$I+Ohc25PZi)&+0U*td=2B1W2#nW-Eg=Hugrj77#|oCB2O6%35Yx`6q`@~qe# z&wUxWAIblRAAsC}t7UC*yl<&b9{E-$y!t!x4$g?4vK)nTn)9)eqfpLko0@2A-a^jq zEb~5g^ek`M`}|KjUrKbp`AzsHXXl0Id`#s4emBM&GQmFZ3!8u(JXQwZ%tvQsd{eL1 z{!H;N^z?((wf4vsE7SIpi>UIfH6Paegz4J90t13ylL34q^T_{H5ia*RUqB^f8cY z^qTD*%}Kg#`*bg-EBRl$n!A)7rkvMCu2RZ4yOZ-<$@yxzV#x(dPSZ61>mUs9JGuaS z0GkkcGdHvk|HfB**`{?x8L?yP@@@5KPrX|EUS0MZmUY)@-Al!;E6b$4$WK6o{LH(`J?t;m-=PPv;uk=;^wtHB=d|fLmpN0RI{7=xzucL>4 zCd*5iTg>fzyddi*j2Pgaw|;3UEU!e}Zx|Rx24Dk#fyKq;@Y4U;D>g*)+@i!l=^yAH zGpgE@_&`|yThhht{r>+L1K?%7=6@>r1>NG<@))SqAmV$8_){}^4eJWMb^T|YO3{?;BIc)k{MOQ%b$ zeJw|$v`yPL>7A6X1qKvvRb7zQjn8k&|KxQ6HeHPSrStH9a)7fRu-*5I!{5$+9~J}H z|2|J7?WSf|%4SeE9 zOW~CBGd>25`;~Tz$};S{8U}iHP6b1=;bZ52QS7bic**Jl!+?|7%I}7AA5$KVih&e^ zISeRX5?epY0q~0bSbZ-q2iX6?*>|O1-D5y#B{4FS<%~I%18Iy^XqjT*?INci`@w?n zaHVpN9~dCF^DtKq1G9psaoP9Z9Q(~-AhieT82FR(zAv$`)Yirx$k>Dp7|6v9p>@i` z0s|?Al!tXWkhWJ0=vlK#-BB>mJL8fwFFE_N94{GK9Rdb8qZ3}C$C2Y+?t_70FT;S@ z1I!|R@Z#R^?lH*^BjdZO3)nB134IS~;K#Pzo3=SQKwmlU*v@~=W58&YlLN^f?VcQX_xNma{;TmX#lRr`dmn+n z2kkgp_y##+_$0|g?Rlv337hZ`F~C{K7wH+moCAW)jOQyOKjYjG|A3T9%!H5Hbm}vR z_4qBWA+h~}z4kqQPW__2)Qxh$=IpU9=W+2YmD#=L&;~ml|3sYM$GYs@zDi}5^wrmu zoKDfE^v}okh-XP7^BMQND`#FGpPY#bO&K3%V@0`N9WPC~VAa}Axc;8DocC27 zy74)bk&EVD$w&0SJ@MRlo^!`%zoI!RIj7X>^1KTqZ#lW^@4shf(I@tE?2vdiK22Yj zXOZg@eUHp%+^ataeV)ZYj#fE25a&?D_&ERl*RSnUKVK^6N5KGo-+mat7To#7o^bMd zc-RjEP4fabhe&imDM#Xa72`nU8@^)V1_rtjA%UhL7J9qv0I4Sl6w`!-j9dKpJBmQfBfFt3;Np zyRIeky)wST7;eJAFgk!bxqFyBfN%Jf*Y|6#sFOtY6d0(??F<7Y522yzIL#s9dC=2w zmG)pj#*x?+yeBb_=_xx82MolsP~ZV$gSs9A>@i`VQMzxIz2~bXUxBYn|H(=9nJ@Z% zw=qu5ex=C&ItF+)>v33vVHkk_^tD)*>wUF-F@Ve%mR3<0KzsJ||LS+q&*%NSIsOg9 zd)nERyN6+5Mb;Ak(=&UDGvV_XsPZt5xgG}8KagTT-m3uv#0{aX6O``TW(A8|5a!hF`BjJ1_*6V&4q|?6Zky*&u_E8DM~CalRpa z)%wVHFgy|lyv*oBE14VOlYL6|bRqY#*%2>T_hd(3D^)DjSB%Aly^QfInWy~=m)b26AZ9^fb%Ym*2n?YM6#~9 zptZI~pU3@%*lyJK7%1#NxxdGN*?-6tzxP`8zl?van_z(T%dE*XdxZ5_tkb|&_51Hj zUEuo~WmeWEtjP>72f9jYXg(>lhcA9yz8M%W%$OYb#VbqULzmlnVR+b^7bHB4G_1H^k~VE=hp-kD)-wC#DUwr9^Nx#TXnEj8g0V`n6>_2$vF%a#4 zxwnpE<|U;ZVC_3=nEhHTWEAHe^R9z2;P5WkH5%x=pb-NtrS&T^4~PD?UMIyslP)mc z4f-~HlG6n_|G*3XYbpH6#c`cLl*LCD1L#!NlBq23t&v0b!UM1Z=8S$kpED^qe_+Y2 zQw0O$(;>%QQMZSI)gn)k4dBIN;JKHgF46i42GBja_FZ#0#60)W{$p$(DGa#2%6q+U zYb(6?%O&A`}Zbac(|(903l$T7A|pM!z+blm$A=~cym+J7%|UT!t- zIAdJbv?hKRY(jEYds)sLlN=1#eBdIp3un{`qJ7#5OPO?=()I>H-_X`16Jx`}m57#X!za$oXM^FXzn;g@J?z zxp5pZ(2{kf@$7T2&nLw9#{MazwQnEo|2Q`NnaOJZi>&hPELINRs%o)X=(Qu=t1_3-Jom!tKl81S;a z-`_boFbW3H1=s_nP1t8{I7HkK`)}79)$?$Wy_}Pqi#u|D7Ws&5Z1T;xM#fkCzu0v0 zd~8DV{~89sjL!em{~P=7F@XKY-p1Lf5(DTc*1YSy!mY;x+i?xYu8M5PV?gRk+xKmq zR()?SImR3Nb5p&WVgSB2>4JVd_rD=ua`$M$K(1fdgv<+=8`k^-PL@Vl+<*Om*?%^U z;gQ*Yx%Qkrhy9QK_ZYb4!RYIS?j~Q61K5PvhE~^NM&z(UmlSoK9Dx7yfx3o)X#ZpX z^yZd_fB}u?3C&&<+Cf8a*N=h$8^gZ6=W*_D_-mJ8fcyA+G-04gKH(q0Us1?ip`rQ- zD?DHv=Vdvv*ZjZm2MlQ5JMq1l|1Z_&@QkzPsKbZ!~<$wR9+zt4~3oZh7jIlw%?^C~xnjla2X z?E0gD0sMscicgifK_zCC#X#MrW1kUwos5rQ0RJC6D8{C)L5UbL`_IPAolG2zhL0CS0{D9ll3Xe0coF=1Eoy}O-|j|3Acz0 znwqWVY02@>Fp&Cs4;KSq=V)PocmXjZa*U4}J1*n@B?gH9lUt&~7ce04)JptcbOm-j zvE#!3tNOT<17`o>SMX;t^^gBg%l>=uG0FW6FRjU5{NMQr`(i-)%Gw=hLM&(ZO5CgE z#~|ah2?IGlUq1}w#(Y`^2Dk@(Fj>Mkec)qdv}@7@IsX9nByLFTnDqm?hQ!tpReZ(f zA1Hla+qTC&r|dgszbpAi$w5IJM0H7}eauft{4eIIC10_`4`rYH%@X4=J;WGgT%!w^ z|8u4l^*P%z=C_XLoT~m;KVel4pnIk!WDl&|mwd>yWiKZ2AMWXW#W@U|D9=Cnf*8O5 z^=mPX2Te_ukAeYj6I%OJ7of+7y^baZ=of29ShK=fvSK}9!ox_zhynIDvhSF^=j?SR z2gRe0i!LGWiSn=-FCa(dXC+VRH@*|kMk7BmITAK~n!GcmpU^PC`AM8>Mm_HR^~c-# zEY7;+yknK;2?lufqu=gI?p4l2ozgQHcRn^3=&RZzih&Zt5d-3@7G1!YXU*2mCsx8t zC&usLn_b4kCJdx?)A45QQw-Sso4#}U8@Vz@EeVnjD~vVZgqHCw{!7d(GzF#Q@=o>nrCG#KloU-q@@80u~F_8EdL=KFGfqMJ8pD$v7`@UmSCtM57U85ILy!(XFf06N$OE>>3d2KJtle}Ehm zACPs9F&7OyD0M9QbXXonIgs#hg!aU5a`})v2AEsW*P9=j3(x#~A#~>V3#Q|n!GoNS zjCY5Ayf+u$j5B!X#}I!Hivg?a<+$BH_9p*-Unh4D%1jQ}9cY7W83qG(&zu}cF#rt~ zCDu(I67rZZj>t(xUb0GVx`KzPO=q&$*@@0aWOiDA46%-;Qf8w90vIPa4=vzYx++6o09|dA%_9OvA=gt4uF9i4Y+5D z0k7joWdU8uP4~Co?P!il@{}?UD|wh=fcbsZrc*hP@UU#3+5@GJsK5*JfwOjnKf9z8 zuDEMS^Xs+R2f}P;S$I?BA^lHj+VuXs`_aHaN^8%b^l!tQ$6*r&crWVvD~AEQC+}@n z6AcDoz}oMX9F^_PqUNe4x9!)ST+zJcr(ZIiIlrI@1N~!8k>BX^mfUkHeDJb)dB&1H z>$S31YB01rL=xPML#m>l+XbF?-(=Dv+z{vCdw7@&_P z2hu(fccgDZ4&?*q7j)*91Lv4>zDi~>f1>zDi~|4WDDZy)@d{T6 diff --git a/tools/USTCRVSoC-tool.exe b/USTCRVSoC-tool/USTCRVSoC-tool.exe similarity index 100% rename from tools/USTCRVSoC-tool.exe rename to USTCRVSoC-tool/USTCRVSoC-tool.exe diff --git a/tools/riscv32-elf-tools-windows/riscv32-elf-as.exe b/USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-as.exe similarity index 100% rename from tools/riscv32-elf-tools-windows/riscv32-elf-as.exe rename to USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-as.exe diff --git a/tools/riscv32-elf-tools-windows/riscv32-elf-ld.exe b/USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-ld.exe similarity index 100% rename from tools/riscv32-elf-tools-windows/riscv32-elf-ld.exe rename to USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-ld.exe diff --git a/tools/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe b/USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe similarity index 100% rename from tools/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe rename to USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe diff --git a/UartSession-VS2012/UartSession.sln b/UartSession-VS2012/UartSession.sln deleted file mode 100644 index d40d27b..0000000 --- a/UartSession-VS2012/UartSession.sln +++ /dev/null @@ -1,20 +0,0 @@ - -Microsoft Visual Studio Solution File, Format Version 12.00 -# Visual Studio 2012 -Project("{FAE04EC0-301F-11D3-BF4B-00C04F79EFBC}") = "UartSession", "UartSession\UartSession.csproj", "{90E1C916-2A9E-43DC-A0A4-56D029F666C2}" -EndProject -Global - GlobalSection(SolutionConfigurationPlatforms) = preSolution - Debug|Any CPU = Debug|Any CPU - Release|Any CPU = Release|Any CPU - EndGlobalSection - GlobalSection(ProjectConfigurationPlatforms) = postSolution - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Debug|Any CPU.ActiveCfg = Debug|Any CPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Debug|Any CPU.Build.0 = Debug|Any CPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Release|Any CPU.ActiveCfg = Release|Any CPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Release|Any CPU.Build.0 = Release|Any CPU - EndGlobalSection - GlobalSection(SolutionProperties) = preSolution - HideSolutionNode = FALSE - EndGlobalSection -EndGlobal diff --git a/UartSession-VS2012/UartSession/App.config b/UartSession-VS2012/UartSession/App.config deleted file mode 100644 index fad249e..0000000 --- a/UartSession-VS2012/UartSession/App.config +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - \ No newline at end of file diff --git a/UartSession-VS2012/UartSession/Program.cs b/UartSession-VS2012/UartSession/Program.cs deleted file mode 100644 index 47dd327..0000000 --- a/UartSession-VS2012/UartSession/Program.cs +++ /dev/null @@ -1,110 +0,0 @@ -using System; -using System.IO.Ports; - -namespace UartSession -{ - class Program - { - static SerialPort port = new SerialPort(); - - static void DataReceived(object sender, System.IO.Ports.SerialDataReceivedEventArgs e) - { - SerialPort sp = (SerialPort)sender; - try - { - string recvdata = sp.ReadExisting(); - Console.Write(recvdata); - } - catch { } - } - - static void Main(string[] args) - { - int index; - string input; - - port.BaudRate = 115200; - port.DataBits = 8; - port.Parity = Parity.None; - port.StopBits = StopBits.One; - port.DtrEnable = false; - port.RtsEnable = false; - port.ReadTimeout = 1000; - port.WriteTimeout = 500; - port.DataReceived += new SerialDataReceivedEventHandler(DataReceived); - - while (true) - { - int set_baud = -1; - int ser_no = -1; - string[] ser_names = { }; - - Console.WriteLine("\n\n命令列表:"); - try { ser_names = SerialPort.GetPortNames(); }catch { } - for (index = 0; index < ser_names.Length; index++) - Console.WriteLine(" {0:#0} : 打开 {1:S}", index, ser_names[index]); - if(index<=0) - Console.WriteLine(" (* 未找到端口 *)"); - Console.WriteLine(" baud [数字] : 设置COM口波特率,例如 baud 9600 表示设置波特率为9600"); - Console.WriteLine(" refresh : 刷新COM口列表"); - Console.WriteLine(" exit : 退出"); - - Console.Write("\n当前波特率为{0:D}\n请输入你的命令:", port.BaudRate); - input = Console.ReadLine().Trim(); - try { ser_no = Convert.ToInt32(input); } catch {} - try{ - string[] tmps = input.Split(); - if (tmps.Length == 2 && tmps[0] == "baud") - set_baud = Convert.ToInt32(tmps[1]); - }catch{} - - if (input == "exit") - break; - else if (input == "refresh") - { - Console.WriteLine("\n\n"); - continue; - } - else if (set_baud>0) - { - try - { - port.BaudRate = set_baud; - } - catch (Exception ex) - { - Console.WriteLine(" *** 错误: {0:S} ***", ex.Message); - continue; - } - } - else if (ser_no >= 0 && ser_no < index) - { - string ser_name = ser_names[ser_no]; - try - { - port.PortName = ser_name; - port.Open(); - } - catch (Exception ex) - { - Console.WriteLine(" *** 开启串口错误: {0:S} ***", ex.Message); - continue; - } - Console.WriteLine(" 已经打开{0:S},请输入发送数据,输入exit退出", ser_name); - while (true) - { - input = Console.ReadLine().Trim(); - if (input == "exit") - break; - try { port.WriteLine(input); } - catch { } - } - port.Close(); - break; - } - else - Console.WriteLine(" *** 格式错误 ***"); - } - } - } -} diff --git a/UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs b/UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs deleted file mode 100644 index e95efe8..0000000 --- a/UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs +++ /dev/null @@ -1,36 +0,0 @@ -using System.Reflection; -using System.Runtime.CompilerServices; -using System.Runtime.InteropServices; - -// 有关程序集的常规信息通过以下 -// 特性集控制。更改这些特性值可修改 -// 与程序集关联的信息。 -[assembly: AssemblyTitle("UartSession")] -[assembly: AssemblyDescription("")] -[assembly: AssemblyConfiguration("")] -[assembly: AssemblyCompany("")] -[assembly: AssemblyProduct("UartSession")] -[assembly: AssemblyCopyright("Copyright © 2019")] -[assembly: AssemblyTrademark("")] -[assembly: AssemblyCulture("")] - -// 将 ComVisible 设置为 false 使此程序集中的类型 -// 对 COM 组件不可见。如果需要从 COM 访问此程序集中的类型, -// 则将该类型上的 ComVisible 特性设置为 true。 -[assembly: ComVisible(false)] - -// 如果此项目向 COM 公开,则下列 GUID 用于类型库的 ID -[assembly: Guid("03cfee7d-74be-4491-8eff-8f2b5393d25d")] - -// 程序集的版本信息由下面四个值组成: -// -// 主版本 -// 次版本 -// 生成号 -// 修订号 -// -// 可以指定所有这些值,也可以使用“生成号”和“修订号”的默认值, -// 方法是按如下所示使用“*”: -// [assembly: AssemblyVersion("1.0.*")] -[assembly: AssemblyVersion("1.0.0.0")] -[assembly: AssemblyFileVersion("1.0.0.0")] diff --git a/UartSession-VS2012/UartSession/USB.ico b/UartSession-VS2012/UartSession/USB.ico deleted file mode 100644 index 0abcde29d7e0adc5535fea94663f64035adbe299..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 67646 zcmeI*1(X{%*9PE}nVFfHDaDkTnVFd>WoBl^Q-&1Nrc5a_r71Hr>)%KJnI3=6*gI=` zy`;%e?6t=u&3&&fOVg{@P%ZxsJFHjB|5Nswam1l|jo+(RuNhlf%SS$ppL?5kgmfed zBnl)7Bnl)7Bnl)7Bnl)7Bnl)7Bnl)7Bnl)7Bnl)7Bnk{cfq(z~H~jO@KXoX?3@`=bk&;^#1cz11bg_8GRi37#1l{K$z<&^_YVIT zUwpBl_>VmD$l-(&PUtc;=*a_3`1fS=L-f_t{O`Fukmi5)#y*Sh!1>QV{|tZp@kjXm z_uv0(zx?t`c;=aB!eWap)-d}o>Hp5dQ*i(E(@#VH{{6!hS6mTJJ@wRZ%rVD=qmMp1 zwj+-`GVHwb&S9#lrb_sC9@y#{i8;@&|MuH&!*kC)7p}hg>KKz-d+oKu;)^dH=AC!m zAV!n5nP!@)VX+_nUz-1Q!B_W>GwkoX@4m39`Pl2x+<)YeN5U$rtkR*_C;U4Ge|rD;?x`=5ULDdyX!nP!^Y z8V)|dxZ{o+7F=+_Sm(3H9(%-ikUFhB_uMo33X@GXSuWqy!UqZe=HQ>j`34Rg7}i>A ztvJ8)@ZtxqvBny)CQCd}z0Yf}y%yWcFTWgay6L7c-+c2W{F?(?!+Oc){%NP37N(tc z+Fbm^`<7mM>2TFmSA}oB`6kx(mkuE1|4OORwcfM&2e;H(ufP8KF`ipK_vw84UcN^T z$oY7t^ho%ZQlsm;!at8Ho&PiV%kLd>$RS~( zi6+XG{c^hMaINVnpZD1vCj2u>bgfsi{H?d%3M;L&Qf`cYxZ#G2-@X3&>#@cw;or6J zZEdvH>Ro^R^h<_h_Sy7EV6- zeP1I5%w@6uefQlrOfbO&L*T~lvxe)gyY8ww_jPam&j%lT5H{R!!;1c65zMo5 z^sU}Ntx-DKhXQmqAAb4emk;E8M*HkleaB{-Z5BTJ?6azK-{|m?*s=8*>VhVpeDb;) zn`86MHxExf`DFXtd=I}Wywq%7cinX{ervvExM#00IO(L5qJL0H?`LH6JsqG1+%+${ z=%ScIlt-+kU3~Gy;eiJph-(V7KGJLBC;+c7zx*12bNi8negz#54X8f ze85kvr;$x`N;=wy0x){>%{Qa$&&&1D2U~Bwb(H;W9Rc3b;8$zQuF=-MJL>#wyrr-1 zz4UMIJIj&D{#j<3B{$|X#S~LSpI@DSI$EayIjWvamN@- zmrEl<`Oi1sd~+ByXi&_t(rq0n3yc|`F1zfqLCtn%?`5y*{kGa_t1!4FC(xM>G%Rh+ z9xM6&{`>F8oY*eA>=IU7amBb6LJn<`NhXQ$R5tYNv(FxBz3sN!M!T3i5OeRSgX~w= zJ@d>n=gR&GC!8>@yLMh@KJc+IlD*BGPx`Xg4ID4K?6R0MpLpVl<6KfBuTH`o(!BSX zd8T$kob}vu&yBG%V{hiTVW~fQO1wWW=0gT7u)qRQUNw7cZQ(VTi#OeS@4exnhaL)p zTV$0v)!t)vef_YV(NNsfJ|#ugM&O6hM;|@RIOB|A|NZxmwm~z-TuN7S2H)DJWyBFj ztSI~6c;k)IpLM$Tcvy@;L{^$F~5_E>uy z=03Wpfq{LV-<;90wO9VNb(0rfcwvmgw71+s4}I`M4n6eHFwQvR41v8d8xz~0J?Bs( zuo1Ujef8DQN2fd}wJlStd_HUENYX(2ob5F)-pTSc9#XYgNx7>2exQ0PJ zYomC;b;L`LI_jt}<&;zA&YcX~qOa)9Ze&Ni_uhN$PV?4eKbfG;Lw#RYz@IH$ia)y3 zX=>H*v6`k&KKY~}Jiy*0R~B7#(YoY*IdAX}*e`e7aYwt_ukb{R!w)|^j6L?)L+~%U zvHS12=blKfu8KeUnpbMavs&ekKmNEbe;X~-KiKO+UeooDS1=#ggr#cKhwO$MG3st<5#WsrK1tpO}Bj zv-vWbhy{v8Hlp8J$J^H5opsh(G4@*|?p}%!4B3U|n)@|R)G1Yx1N1R@!KW;}PJ6s( ztB7HVXAj7!l->QY6gAjNB-}fcitJ-FqGPZd_R7p+Kpz6CH2uU{G=8}T~2x48~$WN z!oOp1X~ulW{K88wy)?%8OXoj+IOB{nqR-Hbv7|nl%V)3`|JZK3?PBc+8?f{cD^%lG zX>EMMtGReJlHOt>{OwIP*(BszdXv-wyeQ3e;$4G(J;?E z^AuX=QQmivztvrP)^W9Qkx}@=x(E51Jl#jPS<_Eub?2if{`f-<%)T_%V2bBfqj4V1 zs4FHzis0FnbL23s6Q%d{RqZf#w!u2g(f2GQdBZ%q*YcUYH8b<+`Q4N3iWVJNb1Qw-hHCmZ>WB@Bi4$`KUcH+%Ex6a zY8Lo|dA0n;rsh>Ge|J49;m;lW#|vz!BeHJO?HkDhG&b=O@t*0IB>T3uHy_koV+ z=@}W~bo{|Q8$1t>($9=l4Vv+*Ei3AWmX6uIi3{;(^K=0H$KT-xbVvNnZ90y>L*I$z zS`W&HQis}B8^4sc)!v(@>@>0!ec{*^9&j!nf)CFhkzcL_ALCHFXbp7UT3$LpjMF&F zYg($^Usw5#{_~t7u`qFSYnMEy6ir~?o(J0VCGZ-*%^q4sYQxP*@fot?nrp6!I^4Bt zJ;Z~m=>Ymg?Mipl0b=&#@Bs%L5Dq)+u=reReo-xRsjc+ahdHG`aUt;*@f$kV+*VJE zA2jy8*dE=aW)+{3`R0ASGRp7i0RDcS4AQ^2hra6Jv$oQw8RxqnHV_|&y!YN`&A>mq zKYif=d!^VTnLMJC?5kxhEuP?`@$c02-+Jqo&!uN^Q*Sy>$!5 zLsz7u{V0&}qIs6(?dVTyDeu!GPT5^J}pJA_VMh-+BlGumZS$hSGV_PT3&XsG+c#j0dN`LKqehyIDSj!x3iB)n>QxRxtjjt{@hEGi*`%7nKirie^mRbq9vUD_u0(7oCgj`e}F7nl$_c*x4XCvFI9qQdG>65%`mw!fA z&;#AM4yhXbI^sJrTQ16e2AO?Uii>${?|J!Wx$;Up;Pd|d`$zopu2Xu=YVXx$SkIQX zwz4DW(-Zn+)rQ&46t8%k=pj2fK4;*k$rD| zSE>!n>d)8-p0CVKzxLW||EGB_ff}VeJEGcr&-;$Nv43i^1Dk>V|3BwJeWdk-c`_iw zh&?Edqh6N2C~fv~tMq)J?Ds`q=>Y4HYl%HJhhp8Y7qVP!*c2_#ajd4l*#q=}m`-Wq zzu}Lf7*{(_T*$g){zN*OLjiW6x`1uA*(S#2s^Qb#-_bYfvgHNZ5_@b8rMhn~^1W(| z#e*85$Lrw}Yjyb>DIVNBe&fT*&sqZ~CR|Mq)Prr2-+DHt++o7MdH9pH^6~ZzPzTJH z>nI+8zx_26{>{T*Pv|WsC}+>US0|j8A1pG5>p4elisxq~{F{rv-uT_}IP&s*esOtz zA^RRLXl%6dRuA5#zh!%nKPd*-eC)Fx*#h!@;zIV$vhOt?UtW)o==neVbi5&VKvz_Y z9hBnR+I#C?tW!(=Uxr5WU$b1k*r52Z=Piiu+8e{ZCUUj*HZV`uTW`JiEOYxvw1#bw z@2!>ge32CYX+G}dJ>mm6(8YrW4T>=WIb-!bo}H_PXyCwsVL;0sV)hqkgx}b^ckj67 zEyaJ!(dd}J@j%wtV|k6=pk`d%V39dq=^X2`)t$*DC)=-M@UEp-{PEONPsQit)G7n` znqviCa&W{n&LOwDP2fmptJ9(g7CMR8>^g1z9pgnuI`-}$bU@dBBE zClcPB52G}qM1e$sM1e$sM1e$sM1e$sM1e$s;uL79X-G$+K%zjRK%zjRK%zhoqrm?G DCPHxg diff --git a/UartSession-VS2012/UartSession/UartSession.csproj b/UartSession-VS2012/UartSession/UartSession.csproj deleted file mode 100644 index 78dc74b..0000000 --- a/UartSession-VS2012/UartSession/UartSession.csproj +++ /dev/null @@ -1,64 +0,0 @@ - - - - - Debug - AnyCPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2} - Exe - Properties - UartSession - UartSession - v4.5 - 512 - - - AnyCPU - true - full - false - bin\Debug\ - DEBUG;TRACE - prompt - 4 - - - AnyCPU - pdbonly - true - bin\Release\ - TRACE - prompt - 4 - - - USB.ico - - - - - - - - - - - - - - - - - - - - - - - \ No newline at end of file diff --git a/tools/UartSession.exe b/UartSession/UartSession.exe similarity index 100% rename from tools/UartSession.exe rename to UartSession/UartSession.exe diff --git a/software/asm-code/basic-test/big_endian_little_endian.S b/asm-code/basic-test/big_endian_little_endian.S similarity index 100% rename from software/asm-code/basic-test/big_endian_little_endian.S rename to asm-code/basic-test/big_endian_little_endian.S diff --git a/software/asm-code/basic-test/branch_test.S b/asm-code/basic-test/branch_test.S similarity index 100% rename from software/asm-code/basic-test/branch_test.S rename to asm-code/basic-test/branch_test.S diff --git a/software/asm-code/basic-test/load_store.S b/asm-code/basic-test/load_store.S similarity index 100% rename from software/asm-code/basic-test/load_store.S rename to asm-code/basic-test/load_store.S diff --git a/software/asm-code/basic-test/test_auipc.S b/asm-code/basic-test/test_auipc.S similarity index 100% rename from software/asm-code/basic-test/test_auipc.S rename to asm-code/basic-test/test_auipc.S diff --git a/software/asm-code/calculation-test/Fibonacci.S b/asm-code/calculation-test/Fibonacci.S similarity index 100% rename from software/asm-code/calculation-test/Fibonacci.S rename to asm-code/calculation-test/Fibonacci.S diff --git a/software/asm-code/calculation-test/MatMul.S b/asm-code/calculation-test/MatMul.S similarity index 100% rename from software/asm-code/calculation-test/MatMul.S rename to asm-code/calculation-test/MatMul.S diff --git a/software/asm-code/calculation-test/Number2Ascii.S b/asm-code/calculation-test/Number2Ascii.S similarity index 100% rename from software/asm-code/calculation-test/Number2Ascii.S rename to asm-code/calculation-test/Number2Ascii.S diff --git a/software/asm-code/calculation-test/QuickSort.S b/asm-code/calculation-test/QuickSort.S similarity index 100% rename from software/asm-code/calculation-test/QuickSort.S rename to asm-code/calculation-test/QuickSort.S diff --git a/software/asm-code/calculation-test/SimpleSprintf.S b/asm-code/calculation-test/SimpleSprintf.S similarity index 100% rename from software/asm-code/calculation-test/SimpleSprintf.S rename to asm-code/calculation-test/SimpleSprintf.S diff --git a/software/asm-code/io-test/uart_print.S b/asm-code/io-test/uart_print.S similarity index 100% rename from software/asm-code/io-test/uart_print.S rename to asm-code/io-test/uart_print.S diff --git a/software/asm-code/io-test/vga_hello.S b/asm-code/io-test/vga_hello.S similarity index 100% rename from software/asm-code/io-test/vga_hello.S rename to asm-code/io-test/vga_hello.S diff --git a/figures/CPU.png b/figures/CPU.png new file mode 100644 index 0000000000000000000000000000000000000000..2a1a78d5571b2459ce02a41b09df688e7052bf46 GIT binary patch literal 32279 zcmZsCbzD>N`!9%sA|WCn-5t^(Dc#*IY~%(aGNe_ca~mljBB7MP0Y-?wa7^sNC^lC$aS>UO$i9D0R#j@;@64r zD=Bt;4g>^OPK@=}+vy@$78;CkZGN>IPhfhlk6{%U_Nri>Hp`{vvRfzp9c) zFD)%Chaw^*BqXYSU5=-UCnO};+1cT0;P4upx3~B5@^W=`wYIjy_}?w#M@L8J=jYbe z)=ElBQBhH)rKL}wKK=FU7aU%7cqmZ?hf7IG6&4l_4GkfYzs2EI!NKBHaB(#?H55u> zY;4TI!67Cl<|sWq7%y~4JTo&>MMb5%yL&M+kP>VP`izJ?-o3YpJd-FRu|DEgl*g`tIGkz`(%z`ugPLU&-R|`1oZnueX_*r=>nV z_VzJwaX4HY&!q}ddAPK+Q2G#?s)Qhe}C~kH2Q(6sjRBLuCDIL z$Vhm&xZ~?38`My8D*Tn3TWad2%eyT_eFvz%zLtVQRMbpWRTVrHpAyNjv9UHb&&E^n zYKkYPj>A*Olkw|tc!HBtRVv=V@sN-%y+;|7k&(v6z6J&!y1EZ>DJjheM4`8LU|_3~ z1?=({Zv0o3cxqJ@ko+Sdp+79_V{kCs$Y}g>95=y@$)h;y)PBo^&Z4j5RjK$4O4bqA>FL4iAf5~t$NjoYuBl3fCr?dDJh!#Y%@xO8 z;*x7{RW;-An(>2qJPAII2c%ZvYLacm#r=XvEeHsLXLQsbm_MDxso^Alb8-iov+o@k>C5ys(uG5|D}XBwVrX%uPDD?+J69KPT%P^r!m7 zOxPK9-7koXMCN|rfP2dOWMThnvHXld_bY5vl@H2thX-gg4Yt3;ai>mlsO*{Eib`*D z9=q}k0Si4XpLCyz8_p|vNUEv)dUbE~TEG+^W6gGA6vEgH6Tl%+^~!qJhl|OZODl4O3-`f(o7<5pXCx{nb{wJ?-s25bk9Bz=w3#7a2p{sL8~%lY#k~tq@MK>CtOl0|#Iv zKr>aEh=hBrz=8*){llB6yi;VD9%OtTh?WR6c$I4n#<I+M$v3g;bcC-V*BmAU z<^7#19wP~7WI-}x{poJxOF)rR>*@l@(**(#at=KZo-0o^&G-Ur0={SOTln=QrwPw{ zr_GJ`N1a;@VA{(yJKh|4bliTa^A46CIKoWT^T!_1=m*?gcj`kw8T5DEj(r9gNwmMI z>8Vm8>CcV77U)|l-H8uPHDCj>9~8pupVr?HZJi*M7YK=3AAX~w-g`u`=JO(LAp8;B z;Koi6HLgl0&EbP9iiO|d;;Ehr7?~mJ!Q`Np!PVmOQ6@xg(GMCyOD4z&W&)Kdz>ax2 zk6HWx?eSYD?0}LFFGWjV%ZVy*-2<98k%44+)m0YKw9Nh`{*mFQR=UtkQ3}_vaF9i1N&79# zBfkgr$E&N>CGG{F!T3leulX!@>-r(^idz4Z)j&$~PdUg#=sQbHh*rb0j1IIMIyw~A zw7uhIk;+pEc%N&)Y2-3=++>iz-sDXCTNF8>)Ib$n6*U=)%vh&D=1ZqU%rbPZjw}!@ zOfWT91CxA=u2VD&56r;jbn@SxSB!^l&K6l7?D1sW5;Kq%#HJ}K*{|(M#E9oeY2W!h zbc6InvhZKf z!B;GGW8v962`>jQh8bbujb)F7JoxOM>=+~4bsN8IPV|#6KDYT*o5uRI^=iuWE2-Xz zsPy51i=58`-VN>r@t-R?RQaIgZSnrRK$6=Zky1cIdUkbn2%7qy?{t^%R-OFrMsnW6 z>h*7nH}d|t6QKAZa(!;l7-y&%`KfRE0`_^|cR{J_FlY<%_FM5Ib1qAa1SRT;kb|vx zngjgmix25)Br3x%ig9swEu|ml(P>(P?AD-qSGyzFX#eJ$;{?|L?WA#jzUl98M}c-p zM!gTAuBhZlRr&Z z7lUebDMQR%n1bK;_G)IRXZo!iFh`}`bE6FlRG6bm`>Or-?`cEmhtn#rby!GmfzS(M zus9Ld-`;;qnc7Pa>UzVZ80*iQ4wNTBQCqKt!&4u;6}2l7(jD{KFG-4PU?*X;JF~2s z=6^_7dqRxc@8lx9$c4@J@ z`(0e8Z-Sd0Nu3%3v!pu= zob@GWwwNJwgk!Jv!Rob@P5c?5g3|{;Lcm(7b}6h})NBvBhDrm<$@x9xfQ7LO26@pX z)}{)aR5f4)76RUipsxO@=0(2MduhRtdD{$T67qGb1zk}03vY($VZsAjsG!BFzR|+^ zD$*2Vfqe1$n1*@2c`?&HWTsrYLk{F%zDy zK=i<<0ZJ7-(9Rbv1Ye0&{^foXDykQ2YS9L>g^He@olS6yK?l_|K1h99U>})}0J`V(fp*>v~+Jf6k#;=~l;MNHRLkfW!BB$e7z1BeOgb3yeuy!YCGgw}vJjbRjv7?B!W~<;@W_=>y@1r8OieqAaIg(4|W|NW|IE zv-di<%y8){+%9RvF~&atnn{8PTnr3K4?7O{b0oo9ySoA1te3C}-7*F#F~%U;YT8$c zt0OMs?&+(6_NOhup-WGdkALEZ1zVV!ZG&M8I^jKa<_AtO9~Kpx$trMLUgnNFg4@57 z3@N6q4#3fAQadQ;0dJJ~!Owsu1IMPBjJ&h~$CFpbDGA*JZqx#dHJ5g}(7>fZ*C_*m zmieOm^L2A+fe`2J_Wc2olv`C4GF7<8=nH5C0LE_35V|aVJ6n;F*`wGZ_dE>DocT$D z=(y`b@z|1G!-`RcP$J36`0*~nKX)w!H7QBP z+^s9=6`8)4ZAny|y^SdhAPET}VQ#bkV)Aw`fhBp$ri#IZk~+`n&!f7L;4imAvVQ$T zO_fK_bg8e>DKb^oI!bl3@Z9hC_01!1zl{Ch-uSTwrPfA;6?sie+=ytftgU;SSFED` zlkoAb@%tMVYPg60f7bNJV&;erJm1`r)pyKfsax;a5o|C1pLYU}-sAD{Ad)kg+V9_O zNK|f~{UjaJ|G(@|$$wby^5;>f#1QRGqxXnHo)oCEUWW*Joq;XWzbS zb&ve2Gw$&-Vb%~6kld`evenH;W)rI&sXY8C>UwP zmPe0}F$mdbHPezEdg2FVQW=a)_CE@kDV%Xq!`pA3TA*Y8do3Z$rNM04qC<|Qr4ZR2 zqae9=a97)pi}AzvKLRgmM$!GxU9_d=`_?S3y5`@&u6$h^tFuT>-qp zoY3Wh;EEJ-3rmjF_;OM=zD4$9vW=$L$uc5aF-iYm?Jg>egOl1F^BBear;X2Gd6SFf zmFlVd)WpsVYYqNcuQ@JW5V{-Rs>n% zuVXdUQeiUCa$MK?d!NU1XJ&b#laL&XgY}1PCJnQp0mK{=-H?tBhlB50HqC#`J$q1X zdBX2`vB|2ULnyfP$#Wa1zc8c94Jf*;tYgCv9cJ%~U$+GFDBaQ*I#XDcmqfzQOTif@ z%h-0gG|3(Df~(5=m%TQ?xk|L>`v&Yi>5$N^LE?KR4}lH}bt`#)^X9zgp^Cn!%Ar73 zOLIMlKZr9)GXGd8Su$<5@~PhuwV9>mNnk!)k;|)Je+aSsN`APFX4Y8}OvM?itG*7u zYAm-_b_J^>uwsZRDPMiQuMK$|1c@h^B7B3yUPMBOvL=)6f0!Ro0SFDNvS;KFC72H@9bL7P^9AIxKGDln8UwOZS()A zsb*#a{dFR&8@h`07fhICwm zo$05k_gVlUP7@F`JZMg0qlrs z$NP|E#aS$in2SQnVIB@q?;j~D9L0dYD^q(HtYs$yD zf(s+#9a53$ZF8cOItR;QVk1zVF=N^x(fzwz$DU zko?@l%Q9J(er_MFXn#JHk9Me(G(t4A|FSG2*E2}EP^`SadYU$h3OnLfTkF2P(9$rumS_^(c30xQ57uY)-Iw%mt1z3XcOSmMz9&=N zqn*-=8LE*W*_9Ch>$!f~_53+^2{wqnz1JIljv&4G)PGc*5avMd$I~)Kgv1FC`ZFOWRC0SrYOs1r(|RYiCx)2e3Z^3xK5WDd}y#E7_;A1 z;pROD$EL5gunu-e*sW{WDRzGxA-CQB3CZcF*o4kSS4dOow%C>5H=l137;vESxP~Ep z`*~*sS0w#DzmL0$?1t?Q`o7J<0jdN9+L)J+@5)vLD!}CffH%bGK$eS~ z&EO4NjD-+ABSJC5fRsO3ltG>I@-HAn-P6z9-~YuJ{FDng_}U$H-gxsSTQApA=H|#e zNFJ&4MI4{@kcKMyEZE$F_f0kZgP?Fo&~9uXwaQT{3|}T&{NNJ#+0y`* zKoYv${^zO&2R0amWu2?Hl>TMFsU9MDWu`lafo^wRVB#gEx2z6CSsUl0Gx{0gzVp0| zjS*FeujF$F`Wkebgw?F+n;Yxii`J*Fv`@m3_M(aQv+CwDQs7Zyz%2@FvdAUY&=g-P zy8__dx1rIuuRYc|_(L-pn+hX%b`S0FW@3|R{4T@FXHrv_d{{0qVd%r9@NoO<-({1P zk1@;B1?&vw;*~l@r4J+(C5t@Gbv5j`inJ@DU#8QbXqK%^Uv7!8GqA_rchh*Du( zj4Jx_1Xz4Ebl2f8)lh95PXSlW^Y5SD|A!;jsqc+-b)vN~pKJF*wWaa>j8Xygox9`( zL%l?((dxWc*k0ut6LiHu{QH?sJJAbV_gj9tEuj_j8&}HZxTk%J2u6&U%?OB9069o< z7(I51)O%H5njmYQ;(8G9ZY_|G7YTbN61PdfQiF9=>6mM5)&e$s5zO3NjBM3J$^I%2 z_{i^pe$yYdy^K;Kk(8|4w@sj+8I6xs&Tu&?LFXC7H&Cc5h1Drv&cOEJ1)L`QXpn}0 zi|h1)sw(DeebmY#*5h(2Z_2}b{8ta*vr^(b*yboiSs^?rR{Xn}jOP1zO;HL0eW@Q1 z<)^zGYU=KX75eK-iN-WZj!XnuCpW$rqaE1a>aNefJn?)|=J98lp!4(Pm)0{L@6Tp# z?wyc#u@pWwh4xoRMAH(8)~efNA<21}IH@09E4*|0-N#Xn{n(f>ba=n*p%zNCjcz7$ zfb4cm+A*6w_+HowaXQpFkWdBGFtVu>|1u>ATVjNKO))9VC2#*f4AXHpPHwHOi7h+; zy6XRnw86G(QF63x&ZR(Ny#Fhu7R6kp9@<(6*G9ajC7Jk_J@ULD7VAyL8nbo>#a!0& z{K9XEmzLJ9;x9;rA+6C5IXs};TuW($4G%=X@UYV{Ub%X$pYzVW&o_^(Omlihwl5^ z$ilRX;wuC?n*?U)uoRYHuAChR1}!+Us3>0yx~8b}6pP~gEwMGWH;R|C!bFkAJ(ebY z3#J6WliK9H(%BQg%U=A+;rDTXd43VmonwIanwU+vd26^oD(>mwi)U;~{mifmlVeYd z{qgChvB-QQLT^W8KA3t1tgZMqG%~rhe5|~8`r}FWSjSpWyTK@^sAVeO|69=fQUR>T zSfOyEvh7eH1n;Of4b2S&mUAsFZJhgdAGHI|w1ALj;iOe0@v&JjTUDT8Nm%bd%U)Fb zVC8Oo_yEOh%a_VUuj<2$i!P_v0RDEs!C|Wao<1rO0$DILTy~W#*RIeI2-*OVCWS4x z&$Kb?1&j2ApvC?GGjvZZHugdn*#55K3CzJQO2V5;E-I7!SEbV`vtS%2g4lKf(bdkr zLr_$7RqC@)64kxs7S?DN;9fLF6TvbozcmRafAmdIw>xfArrB|37O8@|MKbYv|3P zM_kOAnK!ZPdTt-+i^2ig6(4CVw1I&!cMT4SME964h zj#k6B-$gZEF2t*IwSdH!^2!QAYgZr+hH^TU|0aqx;Jb~)aq={Sfv( zJn&l1hkKyblGP7D+wq;2(yrO|WBgxA+cl1$%0KL5$x@@1{= ztmeV((9a&BtX2JBy(@s$Aed}uk8mRbCFnS)5>rC!jq7g6XznzuN$J+&k*gWLvGIdnek7cl{X`0+8U-q6zvyF- zUieU~{j^BMJ($RU63n6d{p_Q5`D8wiofFn7ai*?3`#;QbkT}`6z17LctKTF+DslYV zN&Y=hdee(%PP;yub8QG+si7jPgOF9a^AHeT{4eKWT?E5V%J))v-sfUUQg^x7mvmjX zKe+uf3+1B;oZm~T6)-|GYvf>)C!W?$JiKt`y8D0gb>p6+e9rZnC9&?liuhQ5t&tyT z=*B&ec6&Vt)%M(_Ax9r`giq{nUS)~ff%^*BUZK7$*bY9K^f7niT7r`ylzv|X>s%wT zw95DlhU6d{%U87z!drJq6~p==39QLQ5;}-n)tlKco4;tMMjXxQGZ8fWO-uWtg}U+% zznzjEdO>05vmkWfd9TaGp~MF6^KX+TgR( z4nl#oXRMUw5jDN#pA>W$4ZmR#cL*7(DB1v@$Cq^t-v_)aVyQ=I&Nl+zr1t4 zS3QP#{B944lkm2Qc1C8Qvaf7t_~C!31}dga^g7xHexb49HRhrLPsW~+>thzW#tB>i z1IZVnsInJQH?WI0Jb!x`HlMbnfMkOK2Updkn0tk(t!HV$juJMu#t!B z>^8lnV-_kE12ZVKFoOhldF0axy|=&8R0mDRk{Yz$A(vv>ehoWIMCFODwv|ycOFotD zh1e-Ffyvk@m(E#vUis>9*GIBsGQr=+_%pi&uRyh4EbEqX1qIeL-^|*2g_A$xHW)VT zL${?ey8@Lg$*?|%x0v&$c6G~d(h1|oJ%K%?!5kl)M19)r12Ry;tm9JPsWCFvN=SbE z!DJsh&)oXAeuO@TmS`$D`_tpQlJg7EZzW|dI&w3s$J_0UFiwxp-GHz=&D^J8V^O(F z78VmE3oxTAd!E&QcgeiTIf@Xdzqtg7qja)GIr!~4)&ps{sPP&R>|)wE|C++QI{^P5 zrSi~W#~=M^X5`oVQ4@g;QeelrmCo6T=P#{;pjF8BN0RYpwfdOhF63qwOqjT$O%2Gu z^ZD(Da8+vCc6!+~3inn0?6CyMviQXCAY3Rm+5}&cc(=L&_4L&TeBh?rjt)aEzz z|AXTSx%$DQ+}YqA#J5joyyn@0KBnitswv{bYeE&)BbPsUD|GUY3Oppd0%EEwO;2^pOVy>eqA3ip%4{Ell*U|nXbnjh8 z6J_p0Ag-btvazNIJg?g>z6iY{TbXf}1S1Z%=0)KN-s@_>>it&L*CZo{sW_z2qi?2j z0aWud5M#i-$}!KP#09_#=_6P@ctUPxnGd!SBk-^*SGok;c&|*8>te<&B!gucRPcyj z+@}*!2Uh(#(a&%+=a6lUuch%e37wWar^@tyi(r8y82ehw*|BF{&Dl~Jyk2TKP2~by zMt<`kz~8_Ye$ZuWevOLHR{e@Z0IRo}X*(=$2M86o;TMinX0>aLf`daZyx#kDH6CsNjFPBQrnU{ zRA_i+2O3AFTGMexT5yBsbUTtoDFS~-GYH!(2~Ug0UYK9v^oZkAYw8Q}0Lo;8)jNUT1RUdk{T`5c6MOW`S0(hC zW6)3U-?ba5 z73n@1H8~waB&0ZGmPIb6lEC6ju~3E$#6N(JJ2U&M`$s0prLf9zG2)-H3Up!> z90#|0QkYOl5Khop72xD=ggBKYyq|KNTb)JXc|6fZa9vBsscMC(*R#wi%IN-mO1{sE z4y)<7-}_gg=CE;u%EVWXbK;K3M-N8cS@ajkv>(mzwhYinq2j{`nU*?E0pY z0X2LL58b2ZtB!{FKgSDIASSI~2krkV_!rtJl5-QkeMQXJnH;-v1+Qcf*&S;&ph*Ql z!dQr|O{L;g()0hKYw_p;T|~CMKmsw8`1U75A;)dDycr%GO`P;F?k`*u4_8fxJvL}u zRs!dg6ZGdzfa0x*zqY$c7&{9?u#T(o9aw3~l$n9a__NqBK!#Zaleg`VyP&wBgcKeU zby5rufm}|M9vP zowappo59uwRbB{nUPybf}Br(w~ zzuA#qj2#@(!=Hbl7Am5sBtU^qPpLx72=&#>0ilpL_d)(m&-jFq$;V}F=g?0!Kn%~z zmw1oE{&~Ed%T7vG!r9>9*%_?XSe$>q|EU2tSoZZ*Vkk(dgnJk5_<~8!?vnIiz+*_j}Y& zGgobl-g#B%Yv?<(l@iN$BUE{9yY$%TsWVXuSuVTbrlCMS(Zg7&Y+i;%SsDPsTgH<| z$bBkJZbWvHgX^8{8$mwah~9(L23Qi1Xk+YZp6&*6NLNomyn&Dt)ihk0j{765WwMc+ z9>)75TA=Y`7C&JR}tgu{KsjyEdjZ%_n!S@ z>}#y%fS}d7caE)I@q|d1$)tm)y_|;$N0Kh0pH7p)kJ-B16A6)bm&+SAYgFSMwFtPv z*g!vCTd!wjdcTa!CeiD0iBFVe+B+P2_yyZ-3-m}Qxm3VI^?WE=7lSGNGW@CE^k^C= z%)(uOKD#P&r(!0os0FsS%(SjF(~@B{6h_Y9X*pFlqyM-({L#yN>=}zXA1#vj8b!6< zEXMOH4`(#H37z1Fn|UX+pVNPYh^AUSo_?u3+t(M@+s9j&5Bo;rno+rFWz@ciS%Cy@ zF0m^=Hbm@nIPFg9xL0G9?o03$x$(+t{?R^4n{itK`j{hPKA7@8@>?%V;{b2 z|6_XWhJ8~{toDV?M$n_A^8iq)JsLW37Xs{YhPB&gGWS`&(&h z12-V7Sr_p9{dRc8j#LGD{IR?k2ooo)~D62zjc9%gpxkLZNcBHFAcz7 z(g|`6%x}F2ZD$dn`2p&ZIPo&N7})=Ko4NvKiMaPDgI-KPKC_Fp#Nf9**k%tTrRT}; z-dEobEQCQO5`%j9ia+W_aFdQYsp!0jk6fl!Hs%g5x|1?~=&3LX)j097loph~-e@I_ zgwJ;lSibGkrn45bW7YT5mGDS2;8%4ivi*EDy|+%pXh(9BQ`C%oTv%;v%ArcZ9U5Z- ze(b8iktY$*%ewPXtCHwsXvz`v-+)eXM!Ir!nIKXGLVkbU6g*|7mpc6YC#kaXChcdY z`8F44D3}->T^n}tnUZm8Vli}O{Ywq6=%HeG=%1m!dODH$(pfS0wFx!52A{lEBTB8p zl&QYDWbLJLPu5pgRZuG#>E213ol@tPG~Ag6+^bK%-5}t5pfxGirj~E{&xs_|XkeOY zFdjk@l--LT#5hhIP5qKa{#YdPfwZ9ALU$~gR$-AFNaZO2Z%cmH&D1;$k9lv)=0!oX zy3xn@^Ny*WMBijHKwV=9)mjAbV|Ro-ML2y;>L;}A27~+30(Lwak6!`MM|o4XOLP4PC+umK2QwUmDZa0r7f5yvEKT@NC68f<7fOyZp@KxK(khXs}_{^ z-5Y#H;5=kT#13$%SV{}X-@LwNs{o8w zC|ml%F?{(|FRYMX>_sq-7Gt_WHDF8bA;W%17)Cb`}A`>B3gg z??J#%Bhq(}9uaT!qFRFiQ++Vw1NMIB;>h0_Q9kqW9>E zx0A15=KzM|dAhiwfp912-||cw?afIYDV^cw8N{8VW4B6h2}>)MVU%h12-#SxCYxuW~;y|Yq3Yp_@R6o8!+)M z`AqOWjtACm>!eI}T7{`=Xu!{<=NE`nxEj4~Ws2*qQ_}hDBt=WJX|?pO9wWOWNmFjM zVR!ZGukn?~<4G1n>YeN{+kYoGz3_UZ<;_yeP1C+`B``pKcnA$??0NnG{POlRfS5lOk_%%=m}oMEsyr(^xg1{DdR}soKwrer0E9NEW@ACU~x~ zqyrB6cKSPjC59D$vIqno16xm#} zEIG_)TkZ2Qee>G%x;1#Ana7Ba76 zV!j>FBeX)jU!ol#5VY$Hd!C*IuU~)m%c^JKKyZEjmLP&zG;-^I$zOv5b7S@|)QO?&9^+n))v!uM5k z*?Or~uXe??X5A!-qvPh=8p%qCXQkG;>z^+7mi1SziFBrLMln$*kOK5d?EcsoHqz05 z{*^Vfzx#SDt&q<5c;st0@mo0`XzpiL#*D>U-lyp}f2**g+Db-dBE5s@a4D9ofDsDL z9S$Tn@+hyojok?Pbi|rPI?c}SD)LBc)2le$prhIG``tLDGsWG$l*ed*^941FU`q)( zHK_O&mt4l@QP7fnc+~v6v2|hDpC%SwZw(U0q;Kj$b6i9QycgR=4H@3T6jyZRmW6I* z3YwBu(X&I%LOx|Ve%E;`kfiYhs`XId*)97!tc(1oXSXS*pp&O*`J_`e;1x^6OgcQi zY1wl;sGHtjga106fPd~FOF%$ zxQG?D=H){&a>vr!0(k{n^sK>%nHF>w-KTpLU(viTZR0a&Yj`ts$7Wyk8hREPT(2>Y zo#DWbc=cO(zxevqIgXk`R<`jG7dyuXicdProl!H(D;zrt+w%J(=5sbfiHMW4?>WBr zm&Ts#0 zxqSP)en`vkh1f?FGl{nJ{Ui_nihcd?VmMtEOj#U5aGAOZpMsW)jH^;e4JJ;N2GMnH?Cocu40+(gM{QGQ~gH2 zyYofG;pF@Y$K8O1FZLt-y+tCd+X_HLZ?`t$F2VQQZ_klQa*th_6ajdz7aI&scozQ4W|(P|zgSlqxV`AD5uK3RW#9VL#QX04 zRf4P)vhB%tqdvdB2f96fUDyCaDi(>9+G5J@)b(%NP1PUUCS4XFCMs$D$WJ!?z?8Mp zNtvc9+$M&pgv9?PO1kJ1B!pr6sFh9}=vhr|bO!RK?HL-i%RVRXLA{A<`uRhjh`w>U z+FfHf>IeD1=%gNd+gzl9wNyz?{nO^}q!mraNpOa^e8|eu#YEaWpbc^qs z?V#q5pdft{tV3+sC|V4B$P=>qN^VzOx%tZiq_4yEohz|Jl%;*ooAwokqqU8?3%_T4 z^@z-{xPe#RrRPH=$ZvX-b?&a}aol+fjp72*`-NKZH*+QI8IsUdW8~x=B=Sy(g4U%$ zN6c1DhW0=?{aABuIThSTl4kqaTx?S&tMbVm9{C|i!VAOKlE8Im1NwfJC5|S|HLCID z$rCd$K$xe>#n{S!oNJ&l{X&iRmoB%7iN72?k%^(E0j?Zw?xORq`zOWQbq3q|n{@<= z%F7#Wmu%SfI=_mNV>M|J10w<4d2)Lpy`}v9A@{dKXWG!P#D+u`;rznPi9= zU^gWJUPAfEX|fy?oE_{ho{>~YfYQ_o;E}|$R(Hr?1V!fE04wza_h(*6ws6Wx-btmq z51vF@-n-j5ye`c%YH<+3F0ZN~Gb;88A_2DdmMwDwjNFl-hE7J9U=2jgE1JWMN?LLa z|JQ6Iz6Bv1$fe4@uw89w$Xff)g2E%Uh2FfT%movoscaZe4wfPs*2ejb2FxZ4$|*>P zRT^g4PIFnw8@_&xJam{>wfnN^SjU==7d1zUhBNcDsP)rwtP*}zL{<(s3qc9R<6-kF z>9xw`Q(n z0Zq8Z_6@JoCWk&IAPYyq!5GD(kObLqchd&Cr2DR;HwEz2VaO?qH=y&=iz)#|4Z4Il zN@8cT4*OlH_^^h$lo)*8Xzqx@xQSi3lc)`+m37{r}hmMwNBJ$c7 zZ44wA>qGmGXI@bkR*7so_&A*-_mQUb)I?)?T^<8ee*?VZ3QtOyrmOzifjw}#wrde z7(B!zibiB(x2^**B0f$4lFN?J_z8Q+$5cfc(wNaBbwp9VJH(7)v(^YPnU;-fPRusG zk|`IlmDmf3Nx?lwpLkQCw5KkJie(nQw$!Jomw$wgv}>LadOqjdanOtVQg&+kfljL8n&L)z53rSrcVd}ri4`?)1> zyPl&u^xkG*Gi zt8odT_cg1CVkd~tqFW~0iAoe^D)zq)s^~D9`WYf5692V;12nE)x|R@9fu)d?LM=C{ z)Rx4#bL>8KP`-LoPOX$>kVIhYN}dRoW$W;!X_k+V@)U%>6BtN|LWnniRZe8vO(_?!i?j51 zbnwF`&0HZzg<6DCns1C3w@pOlk!enT+5*7NH(ml~|3t2oa)A)rh^FThV@FY=x*I91 z*)Y4mUk^}u(43IlAQ;|bRhWsrL_7+sdfsn|f3dgLlPYWSh^*7a|9ip68Rmvz1W{ZH z*9(TqdIVcvgsTd;rdRr=;=Y9w?gOH5CcSb;3vfBAuW`Pt2*H*t~)n&;@{YyU^eDj*X-_t zRGq(`+&GBE-J>WjXi(p~2f}H2S_b=h;#dsUeao7z_TW8V`8q+T+?A&0xO>UVnl zLKPm|TkDFRy&7u49bZGUP^a#iXkI5^t08Pc)#Emukjuxl-_5n&GqN8lob*Q4_~Ke z*)1x8r0gq;>rCLs8$*oQPM6%M>J8d|5Q9H&UWv8{aPDl{H2k2KDU6>yxX_J(T|1=b zM*!4*-U+U$a1x*vo56!KkAWS(^!<@Mk;ur#2-(n)-cE0#f>4^zLh_5Kbfuc4?r}io zI*PsLfW6jx?5(T!|ZX z$zS^cP@8hMZhCbCpdp9I^R^V!)DS`r|0GWhzwOCS$;#%(ybfl3wT z)ncMYifmQ%bjFrFhox592d9Sl55L#n&5=~q(@s{!^wjKZcWP(n8^ZZ!KCrXfZ|!hl*g$HPi{$Qs$f1qwiQ#mtr7QAH-$k}NlJT4HB9|o zKOaEe++J7WRzETRD{VvN7q};Eb9VTjrQY?_1_mQCpowrgaJ}zBMY{jO2>2eywF0HQ z3bb+O4D*muO59t{6xJK=xJ>}EwV30_OK+F6ZmLLQqOO2l*8m5;RTj=9@)=jiqx;AO zmISIEm(9QG(e?Klh7bId1M?tLHvlQl)1hb=UU|ugv54w?Uf*W5gD0uv0KUVp)w(dz zf7|gYHMIhud`jpF)mfE4@7p+80Zt#QPD-BC3!4scRl>mj5-JPwtXd8}He}ah1?a9q zRuq=>7MdG^d)9UL%vGwxcXUVQk@ED^*umYQ<^-`O+k5FGpzDloYNg9!>cZ{fN;~4D z#JY#5e02Xq8_13ULrHyf()vAR%C=HSW6%dVwVsF{hB&fpos7um$lCwa*qg^g`9+W8 zBviIkmO>bVC`4r&TlOU+LfI-0!k{c8WG~s78T%SS_B=6?trBLe$@*9;JA>>yzen%S z=k@yiey{Hz-+wH3IrrRi&$;K^d(SyfVmvbSB4O-dC~#T6;c?FAdwTb484o^fdFdsm zl@q5U&}+Y)lOL-h9EBwMOOQpq#n2c|m&dHBmUnodF7s*krAD$$H%;tfB-sGb7Gwsn zez08Q%bXKT6$8V*DH~@28eJmy-J3%X4tIzUkT~vhGY9L zsAC7NCTjq0Oeyvxn`^l%vFOxmspyNWpSA{H_SLr%NWyO|O#0F%?Hc@ZQeF(U2$<1m z0C$nPn+|S|LWQTA*GjRze|FDM+eQYUZ>@!+=PY7VJXf~p*n-evL;?vUO|LK|k0c7z z1d${Xf6+ZK)t)#5MGU+b7vlhml05+(-&Ff8;hc}>fvd9CCyTgsJU94=#y7-B37>;5 zI6V|E1gxG98pg^Wb3Kn)M=igZx8&<9#wZ8Cg|8(Lje;5QHUa0QPv|lkzw>p0k%$?4 zk-egh;X!fz*TaZsc&26}JqM4P=hdc^#`C! z1+{gQ2xCCZ@QX)c|3;<*sS&Y@W?OG(5@I~N=CPOM7WyO5_3iLHVCZOHVreGmMce2b zSuC(#+!IEAfjE5(iw2^OwQzg?q0>thW992>GQN2FW-c8t!}1TP21wV*2gYo_6RetR zJRh5`{}0wEamidqbzz`v{GR4sWcvs*HFM+VqO%jRRQvlskUXemEA@|FA&4o2*}V6Q z#bi;SjqeyB2Qb5t+;=HFjtLsAtFU4N@nO=_Ht;+b-|O;gZPNd_7a}}`G;_#el_xqs zz4N_%ml?+0t}+n`nS?n?7zv4iTMJ5(T3TfB6UW#ewvE-jCbBm_=k(vz>Y!J@VQqV- z_%=~m^?5l`2FS&PfDiN4u~3R@%FW)PViiUXQgsKfw$Nfahfv&~6KvY0=AWODsH->j z$Hgt_ZO6T4{w3F4a%|c?7mjYx%Jp4MTPgSTt@_8k`y7 zdhF?`cR(~w4SXe$cVv^G3tC_;!$dAVwv_=%p_aY~@^YQ`j}wABSh6j@&wA~9knXDnnBMyka4a{- zypQpSd08k3ZQbjlHTzu)Pq!G*!DbIx9?g3B;TGQ}Acil6zpEK(2SfTS$03!IzGGn zvbCteSPwlcA-;a$FjnzII~NOOcE6807EN2p2sJFW2|&o<;yXyQ&fY>{$Z%l(grL8^msrCMQ%CaJ8j;Y| zTR0}{6Bj_LZUrgfKH)w$31g&#i+YKJW4#(B!q7FBuq7JEfFnV0t%2x^Zbb~! zHTaF~2BWt(g3;kWEn~c_GSK!1bJ8S9Nq7oTMap2-LhZZo5~}|DE5<1=8?OxtOG`HgAh$iQ`sGQ6h%I*`RE+r>uUVT(ob zm%HMGA{aT7#z%eUdw<~g)6>)C<+-d&sE>j&S@&5X-sJWdPM~k=CFp_%|C^&x+Y8#} zoIFs7`Z3Ax-`QL+p-5QIEZq|<)_Glbs3v67<^SDe`eomeQ>O_;b;KDNIwa9Xpyhk* z!Z-c~!S4JBKquJ5>O_*F zGp^jYfhulNjL{3LheS=ElH@qkNDn?x8?fw{p6+M#R&n$V@ATH zlM;T`m{0|>l<&qm%{-dX6d@X8t)zB*<8`vBYkyCa+=O`#k0vRB9*NwProA<-UsH7y z3agTdqFT04?H(4c#2xt9;zS%~OsK*tIk@T`C}_8fs3M0a|M7_}uB67FBVcCIUC^bI zQV1SYHxlkM0gLp#)gc+4>wTou8%hdLEl}kYFga6x7io6fNJkez!B{gHHvd}W&o2u3cI7e%^1*e(@G@E8 z41gFSON@J~(qD`o=8Oc3{b5@ST)6<_CrY{oPQ71vd9RFMlTJ<|)4NwE3{Jx15TfCY z^Ah3e*;71clm47brjNz(6AZy7ufY0Sn>O&AOZZ?BNccKmYzB~1+0*eBk%`+enYgi<|i%H5cbxPoIN*~woRI4^0Z=Ax(HaeVRDZCfknhSQt5rwiQ)XP(Gq8>rUZV=2*QFklEi ztENWX{ZvO=z8PizZbMt;wJL%c#ldP?{w3%&i;s>@`3<|vd0cQbQD-v2ZfU=sS?gAv zIBt*hd}%^)lOZ#zp8IL{pE(T}gN{XhBjsHVl0HP^qSI-=ZQvl@tf6W{%ygtX;>$|rQE21Txh#4oL zl>11OB@D%m3UaF*3;XNsJEc5v!8WG|%i!LTQb-5kPc(=LLeCK}p+LZME93m{v+wn0 z)4rkIbEB~Kr+VGILPUWWGj(v{c=xm+(WL1*QhGw-jpb?q%)rp;`HOB$n zrKRedxk4>7C543#qwa3VoPO>7M?L5IopdxLjN=l7(Z3N$jysV@r91NqEle@0&le2M z3QO(iTCww@a5V~5*`GhlO6}V$_n!RdC-0p0Q0UG()lG^2x{BP{+)m{F-F~{bB3GyA zb$@bFRO#xKjv)pqy|~0Gt`zZ?*6%jmvm2E2&!hArkoN>rJtL9FV&I7N{jJnuE)#;6MGr~>BkbI9~M&)pDtZkz3xJJNoPX_G!rWv1oUwff|8izh7||p2d+whb>YhAka|bO}aB% zAm`$i+J&*N`AURtrZ&`fyO!Z|zb)adzp1#Cs=SZ}Tf3(&v?|l?uGN^Ua#KgH=9p?yQ}8y?7P9`J|=cKIZUA&6OGx zQ?yi#_|K#9Imy`J(_~qZbzK`tZzRv=d&?eR+2dQC{*`yD>l2bVd1Vg@54zTmutW0C zA6*QrQ&4{*tK2@#j>jy@=S;9pLX$qdFq?I~V(v%3 zrR_~!R)5v02c|{eD5HKj;9vbZA?lm^JkS=+IXTsWEu;do2Ov$%Bl{~(LZT~2-ey%@~jR8K9 z`(0gnpi0}m)9jS3dq9KrqGlGSdX8TXcAIwtz z((<71L5 zZj`2Rc3JuGR{UiCW4EDT4a?zB&gQH2J@}jgtOa`D(n$bEySfx*!^m0Q<1MW1Sczi) zoVvb2+yB4Y+SPY*Po;joqDJmn!7W;j1vQHfQVUo%HH*x72AkG+@?E%sE{oKx$-G}3 z;Sgyz{B*nezwX1A0H(~S3{?-QC_&A6w*NLtJ5lJlT@LNI{N)#YFG|Uiq%WxNUGCKk zM8+=r%q#5CI&-V#3n{RPa=g_h>tG@yNnHaKMRf1nV)sa(hA zyxg9o5#HUX9n<`;9Eo}v7g)D?Y5=7gqWZs0F+tF5Go_UR)r;qL!Nep@!UhQgH7@5e z?%Q642{$YYq-I84J{@bVp{lM1THDb@R=dgsO(ah$J@5dl`r~4#Asc@h9e7}ZC}eAw zqmgpI;@;6h6cSZ1R`2#Mo$UMNdybP9F1-}lEvlgmG8ZYpyOsyv-dxc4kKMQYv(A&E zxqi75dIx4QiWLm2ty?zOia0o!2Ksc<9vDq+?7o!IHOw<#Sd{mf+azby*Pk98{g~hk zN$kw`c+fHyzh9gkta3Tr&pE)&E^jjPm`(eQN)`e$U3eAoe<)a3ypR zVvX?06<5c>{-XLdQ1pzL0CW9P5Y+;*#s<*Y6N9DmsQDs_BOR8HAWcjM zkf|nZVfBz7j*v_O*lcFe?l5WA_@GL>Jmv3-BhyMie=ZUKS)OgWq~`Na#p0UBUr?ne zCj3mNZfoFZyL>U6P~ygOcnSg#d}Q_}GCsCOu7TC?Go66X&M!TN@n5bmv#$RyScAc~ zjD2KoTsIKY5E?PoHpVwy0_G_EFFG}(u}_wkx`ICYomaoSea^v0)2=QE+mbvu`BJ`^ zpA~*$dvVW!RB?_vnXH$vjC)>&>RfiiGYWM;ex9Ti*H**fv?yjb+Z?I<*H_HoV<M`mX2!rRU_XcBmVR4EP%4Uhrhvqgy;wFR3T1dUZOLmS9Mm!U9$QZVzr!3C0~ z!i~L(==XT1F|<1ui7}Y`>s-8FSVQLh_0s@fo`cJ&7MHYw2(&?ye|Xiedr#sbUw3@e z#Mpp#%T$w9!v2`oFXt$vNAl61bP;>hh4X6b#7@lQMyDp;>Cb-v(RPDec=T0feZhHN zv)$Eq7|`bpoBpK;GGrBho)3yA5%zi8sXtzQh4!*a@qKEn2Btm)NYT4f8tPz#s6mt( z;pi~vVcVDGZ#}8;q)tGh$fQiq`?P3-ym2dDlq0@{r+oTi)VDm@FvGt7EgsDU1A zWfzLUJs)G|PdBA*JlMtf=D9U+qb(lVGjY)Nh3c4;Q4|s@U*kMqV=^K6TDmG?hdkx=)ibyxLd)h@nee z7js8T>ONNox2(y4uAjG>Q`5_IyN3B57a~fT(9mkE2#1i6EU$aapSXW_@|Y8(@DYHg zs)Z8fE5EP}RjLg(iY$y`Ku-FYy@+cL-!Vc_nA=dss!8tOCxDbDDobi$qrW94_{c3v z4w`6TT9W^EA2ZWS4Xmy6k(}9}uLTq>45jZXlF$e1eG$kS71;1k1>R^AZjcGdX!}?W zKCW~BwA&qUqaZ*M?+b{*s#Lh<#((X!2nsBBgV4)H0dV@`znPXS)0UFgiFN4nvLDb8 zCC-Tv@(K5+CoP>k?tKqvz@lWQoOw~qt6Y5fFYuWcJ=%RC;fAMvCtxv=pAIfM0Un@a z52&gLN4}CHAkd{LV1h_a`;xl<`8e&57RHCfs7EdB{7jffgHS7UdSZ&0K{fjFa_(Hv zKP9}#kCJBjMe*#wkdhKwxp@{Z)m8@f$!QAVMycTubiulI1?`W_=a`B;Rgl9xV5W-H z+f`Vro5=?a2;fE;u;^f7JQ~Z^?$ulj`RZY$=|4)Jo64Va@!n zg#mD6y}V?V68=XzI#Z#1#Q36#V^4ct0=81KkXu64`%#Iq$=&jdr-12)D_UTrYwkx{ z{?k4Qr|5&;(jtUUd2g4{&JXP7j%SbWGVPYQ5vFuUM;kZvx<(J`wM@=Nkkb?~o1$?^6v95F{+msvY|GK$MSdKfS!ZH~!U|)13fFPIto8zJi+GAqw4(+PQ*>E> z6R3M9Dxv8H6?EAEPEl@p zQ_-O4y~*VHTcNV&Ji-glZ+yRMgP0&qLt@(?lH)F;i?%l5{oGx)x9mEEr+?2rbD+e^ zLqJ(-nE~}Vc^**c2HxpT3e|gnlbTsf9%5#s}Pz56V$aDK5LViQv^^?bImyRo>K^#jMJQR*~Aa9SF1lX6aL zc=mX4h{~~leM7`z*S)Db$E1`zRB7|{eMNr$=g+|%rsg-@x@o1k22H+mjwduOhHEiW zY3ob&3Php17eucxBg~w>k@`zdWT!ONJhlFw((McJod;Y*)5=Fk=6chECPwu=PVLR7 z&UTlJgHh#Sg7ETTv(G|}TI!fdA?u1nr|wX4IUtrII;Pn7ds6XxSrpHn(fyeEwivt$ zVU`_RBESr}J<%`&shtAafQ1}c$T1J2+oY?dPbV2mbvv5vFD>9a#3!i3F|?h}wPzpi6c~#B7%ML)W*@H$#AIC46%3?siZn$a zhHnBbGyG1GXCWod=5O{`yuBX+{iFw4^4HE569&JmY>#7ZZ%%V|yiZZmZ*Alr^&N3A9fNQVNwEAK0Oc!OM!> z2XA#ziBAjPsS^((vg%8YGadKRt2lBt%mb)eo82A)iIi7m22}}Z%#bawS$bkhVZ!qF z5U>62al( z{h6kfMC8bIm+3mM`GxZ!KedTmBuoHx%&?Y6d`aH+qDN)o0*6#*3X9>s>jxS5c=cte zQ)?@ZC;;ZND>1*DbJYg>yb2006;Gwh`hG6OwUme8Rnk9gUm>zGG3J}P7L}!)iy*=; zdLPHzSULFITHcBo5BkU=|Hcs|yUaKzZ$Zg<{$ggDTpG!Ax`5ehbmemkKk|v}^m}(` z5vfGU6^d5n`)t21WCkdg-oWYtc7FKr2I;ejy5C=-4`lr6(9adM>+u$c9Fa4wAA!0$TV=2> z3wsNiKQk=Y?al+|+&Is~V>79PCQQYV^8yBH0lSC7Alr#bM;~1cg6vKA*imZqa-4%K z%<%*?6_I9ez2MT7wdq(g0WV-_V>gr$2SiMxSCb;q21;Gc#0aswXa$lSKWKP!*ftf$ zq#R`dw(EJZ#I9a0nTgEy(%^qI} zWa6;^Xakhs__1yZFd}`WhW>mer*qy%w~~-08&ukj1U3o3V$C#0k!irK_7(|tu|0-? zL)+Bo2mPZI41o)fivJz^BF;~&X1}Y>t2x$5!@8!62W;9M5^{d`oBN63D}U*?_S7A&emRx~H}{7cA~(o0RWj&>qWAl!+ml%zb}T4*XEdFKkBV4F9CPjPS5PinvscQ;MenIYqj>mUbVC$ObVK`~Wwq%E`TGF593Bf^Xc3TT*|dkRif z@4t_yldICkJQ+w511VQNlwE0y!nRhaL{4!-3RdvfBODzUURp|XI@_<%zeD&;H8`9t za}6aD02(Zp0^Rlz=s8}d`|3Go3%BC0i!7DTjhlKIX1=^N9x?F0E-cC^eRk`ua}#yA zPfR!+8#{Hx(|GMW3rftiL*WnU{se^Y2JhDR2X?vH={o#GdUm|XSl>I$>iD5>*Hx@* z*+O*u#6#0S7t2S(t_W0X*}>D9zqQ-L|S9TAmTUi`@^HZ!iA}kEI&ibobKc`={}BqT}RFmY3h!p=dbx1R$5cVMJ#Q|w<@x2 z+qNkl4R#M*<7+a~;-tT>aR)Y|6*CqJTNyseJB5*DA0UVdpBbAdMYIFY6r;3(0ZmN) zB^tin*{=m0k$1jVc$yR*Jqv4<1Nl0@>W&i*R10%TF2Uq}ij<$%QnfiDj`F`ntT_Q} z9ARijfP41h#$W5Qt1Vb!W6Z)1YBrR-j_=rlz3b0ZPUrJo0z5zUnzknvri+7Vo$LiQ zeJN-YjSG7fNju@)-D*IKE@t!7ti~y^c?w$>tyxRV-i9h>mt@NjNITl3IrqRb`c?|z zOInMrqnsG3_Ow_`FtKyQL5I*5r7cpb{Tss!VMzqcy_S(My|;$J_ahU3J=na5Fbl!& zJFGC%idj-g-@@P5U+oAa>TyAiBimU`5YwlDD_R82%b?W)g+R3{ZWSw~GrWZW`}p@d z)xOBMQ_|^(>$8l1Zp4L>Ii>s@o^PFB;zZO)0%hYTAI)a}l2iS*2C5)HL#@r7t34E3 z;lHmbhkF%n_j4tv0x``d9m-bXDMZQE-w*ir$P8T1*iEYVz%cSWklEnL`7G_pt#?WJ z;Vz>jC)=)!8&3F9s`!*#;qG7}Bda1bGhRpY!$smeGo*^~0GI22HeFP|rmgLjqGjfJ z57gO|zTm)m^}94Rd|7c?pa8iy`dsh>IxpfN3f3XPfPT^`X|8gbL2WN>Krjqlw$yWN zx~EQ+a37>R7<|ln4l6n0 zq$Zw*?U>3XC(FsNFV)SJdOt-zZ6#(z(Tuv9UPqX*g7SagC6jOTP}ps{vZ5;C?HI4J zdH1R0x4Uzsj2?6IwFl;;(D7}Lu!YgQ`Q*Zd$$YdJN)BOW3zju#@k3vFUu*@$t;P}c z&Oj4?bw74XMdH)R>VIVAN+_RYH4VQB1|o_$dGpT# zFEA7ClLe$QO`n5SMYEg)x&}`3h6>ofh9{3y zdHvc_SV^7o@)W-&Ru*{_w)&ES-$s>Ctn)tA39P#P@!~^f$X+m2Du)*96hW5Ce7?2Q zimP#C06)eajVM0KIuwdSGp*)rD=v68oP}`z#?4?Jzp{s!lgc!f&%dD|14ikKq8pRw z0dCcAuIC|1qGcH6le4b3rHF^Cq%=n+BE!YVm)C9_{nAF5{p9cM5QTK^zHKsidxH81 zZ$Xjh3Z#;5OP(9hMGVVA+^cJf>=W3Xzpvzys-($(t&=^KM6n_>=jLq_(QM%r(z_wg zz=#iOB4AV{65(U;goe*hLzN@4cI_O5^@-pU_5DYbgZhq>yx9574Q3^%>&d zyIvtJEPPGjvN|EeKo#?ulu_xa71tPZ{yp~yR|4N}c#@S0j^*<8b z0)0Zvzl*K%Olm06O&49;f509{rcuQ_BxTeJ?`rwQus%^A=M;E8ha6_oKLu4gVy!YZ zrd2GjKrY&M{|Nc4*|1|p7GUI~&~L{>Z{6vYk$|e6o6&6=9$8)pBNwP*E|FN(c=y^J z9_8QzYA~~X;@v7~QoVQEDSx+2_ZER+lV96~ zYH)tuA8C3PRsqJm(OSd&&#MHNamF<1?k`WT zmVGohdc!2R@{oY8&h(6z!c{%({-Q=j8-32{LdTvNQ+GJaRlN*3D7TG$4Cp?<)Nxjh^*a~ye zgFSA67kpdOp=^56P}BQD=|`{`afw)}59p|GzS7X+H2?BG2}l*Od?~-KcdC7$!_IXl z*qvW7Eb*?CBfvD;ke1vLA7!rjvj8I)}C>H)hM~6Es;ts|wm^3)>4=k%;`{3J)DTUEtu1jmG833y;OH zA`H;hm#@c_*ngEh=ZuE-53StwIBj+Mk%<=w}iFqz<0a! z!4e9NxcxWq`Q!LUpC1DMURM;aH#^+2uMA?peOo;Ait=Y8hAa2og-(VWbKRst*1ye3 z4GPb`d;9C{lNOxW!HATA)Y#j!S4I2Zs!>~EXziB0N{7ygZbS?A9l7oJzS+dlGjZxG zaO#(B?eA4vT^rLJctKV7o=+N1hCt~m2rM9YmJw_-q zm8)UN0X+)br>c6f7n`HuG>j*q+?NdRqmt9P+sZm>tPhvNR35zDj0Wf~e;XxFA8P-y zM!0ha#v@U`D#Z2+jFu5B+4VNHt*pwMS>CJj6Y7$wQe|g)B(1phS<4hkW2UtTzj#MF zT$eJ1{#d^#kFdKyVw78?nYe4469Gi&B$1E|O$UK*| zdy-xlH~MmDk0Q!r+BW7)-!~6=q}d7Ra^GiJODU{{@PNSfjK-YGr__y+am+=RZ}JZ( zS0&-QbA6xbFK0gfe2z*R^!;_8pyp)3UT{a)!UZvGSi6z{&~bkoMUy1mW{2?Kt-Mz$ z*5X_f$owDBT5UZU7ZnC`ragCk`%ln$;xlEQBKDkE-bdrn-S8+PP{`1=b(L~6&lxK4 zO?)HJ`1zvV-oB`BLB}f=9C^hStaG)_QQ8n8vC6%wxrjn@)CQ6xRNE{sJh#4Et-Hxt z^bntZvH5Gp(kR8}3wR?<&?@JxRbM_)vaa}#Ml{gI^=&kgYcpMzNzT_@@YjjGZo^;u zLb;lo^eexMR(Cm|oU--hR^CW2rR7xmLPu;z9ROSexfPKT|Ynb=PaDEXE(@F&Tk)ad^2wLrE0i* zv&5=F|8zkxahh=fzCWki!Jrb7_mp9@%{nXi;h%swPfgPy#ij)>bdL|}c5OGraXEr! zi%gHknK|X9G(moKFlQ<$ABpM*s>Zm#WT1KKwxmf3Y^3M}>kJ&(6j$e4bFc0T!r!05 zcQChi6GPzkD=NjKA7jZ+Wu}El(Yz2J#X9~lf0Oq5UgaY~N{>;2xhP9}&&WLr0^{sf z`(ytC{{;$?b7!4B{G3N1n)45r`D{hA;P$*Fw}wF;;>*mK>0lN`U7d z(QXgccv`od@%ImS&GG#2y;Rbef~)CV5J{4)zuK?Mp)9Dyozm+FPXP{Jgo%iCYlG=w z0@_tuYj-FS%Do?570|g@7xm|(?&hVwmH(Nd0L1#5f4Fu6&*l60m73#NXZr17MLd~R zX1X9rtp2+ufgkb~8it)@j=j?md=M+3FJjJ7h+XxJML^F(;m3X~7EK4%tzK7}q1!zx zBqFJa;XGa<0682@Hdnm!TJcTQw`-B++?PJU|1N))qmCRtt(MX@)&ErhtUG!r2uJr>KOD(@awmMsbhL$FpYWCTG+0{TE8^eY?FwpYK7cXNy9q4Xz>5FQnmv z?lKoKs^0znhF3X@QO!nJ;jNhJU(s4ht5cT2Wxa`Gp`eQ%F<)2NZrU>yVq~Bv~D^hi>ssQX=Ww$*PCocib7GV z+L>5%MQfYE`i>LU2X zEiXz0SK?cCCkVMWF7*8?fU!@dgTE_e1g}~U0g-9LPmOG+U}$tT%gzZvUJr` zN(s!l@dRC_kARxV@nNxN4Wp}9uKxNGDu-Z;1c-Z*YfW9#m5*n6M7aa_*);*G~Z^u(bxv>FD9wBgdNMem(dk6gTovh7NP---vX zR^Eo=mo#1hTMEGB_vfj&^aB;2vUvNWr?-+*_s;(fk*|zlibXH_Ia;(3Dyf=EeiJJ%Y60W1W+hcOX-~oJ6u?(m|h zsA#`KWozfCZ{C}s`n->gql^P{1N8hYs*?`y`OKb5a5;Z;oO%7aM*4aXuTw1z8+pCllB zK~N%259Xv2+&t#`0e+ExZ)Da8>hWR|f;^m^PGLKRx;mfdm_O3EwEXEfP=H7IdVFD603e$n(56*b&Ft&)K{=Tuab@O6)9GL-Snx+n(_jI+N zLo&eWzk>73lSLCMmPAh}Fm1oq%AZWQ_ zYfTehchIWb?rDzUYKta)02+R&)+vF!QIqtEiR2OuuS1VWz;Rb!A}=jc2lG>mkwo*? zAcLGM<&tpf7^NqzE+a{Trul%Grv=7cwvb)5BSHoIx(=C8k&M+hc6p&+IX1QAAmD0_ z_dzWBZ?}Xc<~A5_Q0J#9x&8E_SPc)<`rc9z$?>uxGSMOyZDUjh3!lQn<~C7Hf<)l} z6N!dfWWt8+P+yavYaIau$0V$PIvGcXm)o4X1`+?DUt2F$ebS{izjY{|;47U9%hta> zSlXO$WhS6Rn$>67$@{S*!_3RA!Ya^O}N5im8wbLk>jC%2NgVop<#Xzm74 z>w-yS|5I-CpF}y7qGqmTxD*;E7xl&Tu7y%Fy3^<8gx~J1g}*cj>1@$dul%`J2p9WKFB!sKaC!Wgf$$?W`H1Qw(n*{?CUYYw z1fW8qbdf0dTSL(_q-olPuV|wcaa&dmka>?htPCz*uk%N8P#HI0g`cPyd-gOy@kchb z5~}}~714IfRx($SO2b-Z1@N0VBjCKbb{kxLQ4Vm|rQ=}#pz}k_8EDnT9a2A>nUx3f zVegX)PYR1P^04F-S>In!?z4O03Wt1~_36Fqp3)Vx%#q#nuf&rI3XKmuvVB%lfM8(R z+Q{qrx%Qufmwk(EZi)>#KTDLCSp;rkrbxQw zi(_d=$6EaxmTZAVpIj>_{eBIXE;Do+6gRSbk9opI>f~G;Ocpkb42HiBL{h(5bsPS$ z0aPx2(8WulxyA!wV#z#64jIJ%>km`C&Dq9B&!?I|0qBb}Szy@J^~odeqme&;dQGV> z#nj!HaZz%%`!$6`{eRV%49wW<&*zK7Am?yG3@MSYh*I!hxsk4*muuz%M(g<%S+Ky zwd!>|tp*w@gH(SXeGgYzwldt|COB3pBv;ltHdy#3vrHA63hq74Ivgx0DnNz+wA$#+ z9wjgnH#jI6-_SP3**D+%QqgG{Y1z$)*wwqnteGVSakFexd zNCitXEct!%m|_pS?BzNyYY7O*;6|N)9-9Veow)tNNe#?tK77ps2|eD_l7uzpZItH! z=~vQ|fX5ofNl)aYWl$)ryEr+N+i<_k0@bg@PJcrBaOzyhy*Z`RqV6`lQFLOwsM9B< zY}GFZ_hD%te|aDCo9ff&8dGhqR6k{`Jqr?f(WMObx-S>XDKI&JQ04? zy)eA+&BP#O>8E@7h3~zkVb{m-s~-PTIHS7#s!;jOaXHRu)Irir=5HO^%xGDE;~DIS z+0|8afh4KBacIP(0hX-o*J@+YJ^$azlH3D^ysWX`mw2GdEB`eZ(!+qs?>R~-af{3_ z1pX6NaRRA{pOruk1axMRI^)^%$v^dUAUCsCBOr8cs-Zd2AGScpvQFa`srpMX{QC16m(t;K3N1A~)l%h0LH`e7r;j)Q literal 0 HcmV?d00001 diff --git a/figures/DE0-Nano.png b/figures/DE0-Nano.png new file mode 100644 index 0000000000000000000000000000000000000000..cc56ffeda598bc97ec19e8e5b3705fe1d893546b GIT binary patch literal 57604 zcmV(#K;*xPP)|H9!*-7d}8mIXporFgz6|G$S)SCM-4`BrGH*C?hgS z6c!K}EICC-NFOdZC^JGyOH3juE+RBiS6NyrF*RvoT4Q8m6(2EaYG`3xRWdn4G&Ve5 zUR^{)LT+$y1_lQ$HaQm>AS5nAO-@f1B|{b@EmBleH9kpte0z3wbS^eX9VtT@A1DcWQVnj+*aCUo)jf*KZPKAbrN>WxjLQasAk{m2bTVZ5ZLo*{VGEGxm zGB7HBfPsmQlV)piUuA9m{PG?)LnJm^5EmSCYhVx_Df#&JTUSsOAta)urTP5*nV+J+ z!o{eot0_7~ud%TY8X`VPPP4eTnw*+>fQo5wdL<(q$;!zvJWowZLHhaYw7bStQAcxn zgs85!%+S=jyS<>Ins8=UXg(z8_4q$MHP+nU)z{YK<>60XTrDah^z!ZN z?(&eAqdG=es;Qr#sI$MpwlhFjySJ;@*w2H6dWwQ|T}wO0#=&4}dW4Iamy(Bj5oU40mP`Sa-^6lV-dug$!k@f7=mYb2gv6rEgc;VW`>Eh36b%@Nwx6jwW&%~+Q zckAY^np>sJgB6VU$c2g?f&#JDXglJemptYWfVJ~7wBuG0XE+rG`*|XTg zp4h;L_evz4bU5?n!1=&&?HpXGk6!qLW%=Qe?sB~N-T(dlxcb&!@tEHEawL(ahs=&Q z?Mj)}uW9tV`SD6n`L?>r{Q76#&OLK{fb8C~<;yppmzBr35U+ZT007;;Nkl=_^8Ca=GJOLR)ZfKV0Q`o~GkTe?IR&JlW)^ z4ae>MROKgSrZ8M7(%EAa1p*!Yudqt(-(WOM{Fj+bk8MA>a8sJ5%jF>J_lVJL_ks&_ z4=vmKsnSsJQt?vPZo<=e|L`!$u4C7-C=+(mSTzfi{Sjo;wnVPaYKvnSQ80s9a1GnU zNZf$1{7{qQ7Q4#?qryxOjA3uOIa*XSv?gJ1O0WzgdqXVgssy4% zB}Ak&z{m&%C5h}sdt0^I`nBdy{nB%xw$}O&>O1bed(QJ5?%6q?^W1X|(FAD6zI~S6 z7RzpEl4pN)jn?h%@q^s%Qc+R0dLSP7_>rI~8vQUf4*98}VI3Pqnam1iMMEaU1%pA! zNZKTsF7%(Ll#(C2p?CoFVJ!Kn8yJYkBVKRh=Aq`f&~u}K_krkLjl^v3{{Fq&yv@^L zed?*}?Pz34SWqZ|>rZhwW^-mHI5;A(M1DU!Qeh&Zz@NMqLd}$&dJrl#yUjnP1)2Y% zUxiR#t1T>r8g4(R-KK2!|B@1hS*cXo;7Zxbpn?lh29;nW1^%RGc}7MChqa1$1eafY z=(pWVsmHIa#6xkP&-d|TAmAH~e*PTx-fi$)uUfYgMJt#ZrlHecpx2k{{Uc>^nO*mv zr(Zllb_)1XdjEcW)H^f~2Y{l9j$O@jIjoAt=-8O=+32f7L1Hw5C5FzyEDOuxV!wA#S_7FXkvzf>))o*-`-qwMt^RlYVYbcP!QiG!QPh z_w@9|4mixjSc5GVEB#={v~GV&1Ry()9N8%%xPW&OS6}RQtlL(wf=~g-?T9(tHsOkt zgev$)@aqe}n+&nJ`=H6(Y=uIvS12$nSh#8bX-S3=!;o#2#`1DK{Pg;Q_8U(1kS{6V z=}TbXJ@9mMB-FHb(+(DssnIl8Z{LGhf+yL3o>J@ZRC*eKY4{A}Be3beYZ!hUk9y<7 zk*qt-hgYF!SNOxniHR>S-!)TUKJH}A%#aLn@^>qZ7){ar;C}1_&ibPV{R)K* z+{+4jZq%Dh_uC4&T(L@};*rHiPPDexw;d?us(31{BAY9^c&yb~b3g>yMkQA9xXJ@i z;ZmDcLE-b)Z`ra!*jM9p)<1CAzyPgZZ%eiy)+1cM7K+wx5jswtJb9w66rq&!&+;i! zJ-QCr&q>aT2-o1lX^7z0^DqtP}WZI#PSmrqL&ga;-?dA><5YpSkV zWn_@a$YQc`Pg7bNUVU0(q$p@0@%EE)nd!_R3V$S+1Uuf-3|fH<0VC#5uS=i;~_^b$z}NU+I$g4r_P%_gQBc4$>qoP94JNR z%>m6oP7^IUc6tYwG;ig`Iq4+r2@|yN7Hc7u&p(?^oyIx?`&C_Kel8{@?bwG==WGF@ z_*tPj-+ ziZ+vJvuU#t?J=3UY{X({%$<*63zX3Qp&Gxpc_n|4HtH8orNJt zPGL1{rm6+oiZXKu{>a>;GCA-j=wun`q`W0dr0k|L-0l%vShYZ!w`krHI_Gkl47b+` zE-YWVVMAWt60EpI9kO>cX02wB(if4IoHK+VK-b%_YbITa4npCa^hN1ZO6iHR;nXaP zM&e^*0ob7QU%S>HO$>(<;W`)Snrq#$+vR+c(E_Jk9acQ;pQh=z{4^XVcp8V4{$c#Z zD~;>WAy*Vu7;Av)UOXx`?YUo0j8-b^#41rD!0i6wKE`uSZOXrs~snzyyS7&}Eg3MksgIomm zlitRL##KfP_*=@-$;v_<-mXq`Ax5PxW@KJh15Spn3l~rbSWL!>F5@!Y{*vN+bU_Z_ z!~g*0x_z}(f+F#Z8S^&K86_>a&gvN~X6G&@0WNg5PKH}OwU$A2`UU`MLq=5-Zh!1) z&;UQAJo@B2<3qUGZuM4V-I+>feZ4{_En1W(m16lVkeG zhsTF@i`G1p%-y{C`>pTRzrTFSqHVwb-7k+AosHFZ3llRlYd%qo7@HVY%JMZ%NBEm$ z$_KyE>ljw0AB}rvoRNs-^ux4LDrIyRFU&8kiK5>;EHFw5%a1ND1(toYe#@|_OUY2| znG0{9T>^l9gKl&u3{Y)AM&%bS?&#Jj?xJ z*4#@0C>j08JD#{>eCU(WlS-63DUE{pUi_J%wedck?AWnmvfhdL`EY#MmvAlAQwqfk zt6SGMHulh}bWNVB*JN#6<)wxOiZeYG_-gakt?#aWmvGx%BR|D{9Es%xgXEE2WCGvTp z6HJUXMlqS&CqUiGe}CCH)bGp=v}z|B%UQwVbB5qger*#Eo*4b;6Tx`U9|2zi%}_SM zI38SHi})?t2jNE&n(3R4$DQ6;iv>I}0PxK8OgJ9VS}Z3`0FGkcJ?EM6gd%>wu~DD_ zRMS(_aYxo4@tKEpN+o5p4NrT*o|&vqw6yX7wyfPTzvOXbomq3c3OLE=`xgP+xHC8~ z%+z)xKb;4`^Wk7{+(^r0-N$6l4$XMN;doMXEx?KtcfOI{{d#+EcPs5)J$wi})z)4D zP)yVEOM5qf)734ku1u|tK(TygJ7phnZKjGmL9-6C{C2|A*|n_ap8tJ&oCrL9jkH8~ z!uoj*_bTn%iMsPYjf_mG?awT0)f$b~mrSjLC+Mf2tL2TY3k%iSA-SGqI{jiYlZ?z7 zs*b265|%X#W!I804^54r<0zY|dB_G%6JayiXWtnU7E=i<*~QDQq$-gp)N;B!m!$VXYxQZCRshA$6# zfJEyZjK)|!JHesZZ$Gc^wfw*UBV8pN_u-Q8C{KK(uAie05v(5 zon3h04JUXCisApaIsKa_0EHFLtz05%U-9}j)2>7Ui!I*h#Ee(nqR~XeRN`g94OVCc4*D%ix@iv0X(t1yl))g zCSqxp(=;bzZF=(>#{K5u8oiE2LF7+QJC=i4pQTAKF&xX-hM#dbo(uL5Xd5xR6Kukl z_bFRI4UQKyjQ!7|t z$**i}U)yr6xp9@51XKV(k>+@2-JRaqT8T!JQIxy9 z-Rl*_a8zy38Z09GsQ}da&kN1X&6fTu%|(IbIlg>scGj+)s^VFhL;|2{3>J&l&|br{ zby5Iit1%7@nKkX@{2WUWplU{!pUXxJ<$8vtU|_sFg0I@#+^ENFu}uo#%+rqNCd{4X zb2>(;WQ4i%%sgRfFIT~cF2SItd`>4Os7D@G zP}*pcYQOT84V}XwbQI zz*A9Z7<|3G`^ztz>#o!`5<)a3rqT%)<}}{S&W2B|))0}=Cu?{W z)o}dy@dgPcG;59Ra~N~n`DGm%N)0`2y4j7u&gRwMu3!J?CIiPg^Xf(a}0` zTqCbB(K_YRPj}HcfmWAJ*Vblz$l7hSVooQKBAQ7tCOjRrN;!t1D4Em>z5rBhEjVUT zj2n6|v|6PS8v`%oDE#@pWx5hPJ=Ui42hN5!kpakFi;Op&N~RL~x!nH#KBjsuF*>Vh zCi!V{^$qYearVgrp8mZ-I+N8tJMaBMs$t*@WT&; zsQvE`t5mA`BhBCmh_F0}!Gnb%NeULFQYj!A#Ytg66dZ?#Y^sie&>#{jc!;9F6XxY; zDd}~3{Jzb`;R~%}z=vLr6GfgF2Egd_kiek+(U1<3%aNu~?z&)6g)`{JTd|q~K44MZ z_&<=PaH1e9gb{|NQBNBrZKym>gzFomV5kAc)YErPXYIKJf1)FSdzLn@fhPd98+EzT znaxx#vA>>6!=ZM52%fE*aq zUn(5kiytiU9fj~21Oo$MM5NAfedoHs(Jm?f?>IE@&v1qQgWAmY#NQ_Rdj;SGyL8BGj`@jFi)154U zsc#-aBB}*Xl!73`swnUTUw~v#M+e1qa2z2{aRMsh^f*RPfia3m!Xah>KpYZ-!l(^6 z5dp5E7;=Iks;g5LEUE}o5h(D~hDT39){^5OC6RZ+6EMOPD!oBP&`Kg_iv!d}w$D-G ziS}=t-Pp}s`?biE8?DUrI)FkT$gStoVtB_sR*d6E?zq!@mhiN3z|(ET?ce|kEu6Gh zjC$?JP|;l4mA6Mj>ei|$phU`@+Pj{+^+OS;5%8n{PuaO*4Fei*9jL&Q0#EXa4>*EU zEs%qXG!^N>5cEMXC<$;PsSEg&cp_q1yp(_fLQGL)0Cg1{iusEuW!KT8MVwHwihz)s zS2!y2R8sLX{QQRqepdY5z6&otgjM1mDWeVF6WGm=0EIxF%jeh8=><oPIKj?g_Q@T4>inrOV&aRs6{<=_V<`X4~irrzE`9eC2y5Q^OcS3ZC7fgX-T zAS>a>df$~R*qnmiK#8aOKL7ka5}__#D$;ZBl@DGlVATzdh>qTi@&}(}EEfhJI*taE zlpR|RpaFHGQ1NyXBO`8iK9=9d-tk0YKb6Xa>@5YJ-Z*sUU3VF=!_|4f(_j9CyYbc6 zUqOG6=kC@zZeGkShV8Ab?Jcu*jmBUo@O1Ns9|=&gLsSQN>g=xo92euxh()Wh3=G$w z06kdG9~>S&S))1uV?wu3)^Wmg=Je?Y(aV(99wR)dp6xShn`>C~8e}p~DpB^n{QS#j zdU*;H!iGAIVF&vjx!>5Vr&uLWYhzeb-}@JzKl8AT0XcK$ZGC>W!|bhHQC3#h|WH}<{?i8rqO_A3@L-B@gKyWDm$<;8MlBAwbz zt4W8vv$gt#7w)?KJnmr7doaKIlb!Q_O1qBZc-K0AD7$@5j4)(_)o|lLL1Y|hQ*fVI zDxpkgOzScS2}eSUZ90{GVQw+7WwL~ebwuFQblMHWUuw0GrZZcfbGv!z+OF-qe(0zD z-t+xI*RKAAe8J}Y$Kjq1&rMCCdzX@re>*>ba&Y6sT+faj z#rv83NtQpbIK6UZX>kb=uXYP~qQTR~G=azzg*XN65w(~kna7L%h6H4fv;E9cP znZaZ+C~HdCEEb7@qAH!~YCp=85>6_zm4AM^U9VPxxNWSQ%^ZHkK8w|))6`^hKog6_ zsraPR+}W(F6w6Z%ihrWL!)$YEl|mk9D&_GjzUb(%?K2!Gfwu!q6z@oDr)A%P0~M4M z?H2f`?@k}LHS6lj<$#Oa&3)5;xvx`i7;2$*XMTiB3NN;wYCUtLK`7a|Q#mMS?)5lN z4ou&;KO0Yir@K>=$R-b6IyRZai`?9!-IG)C-2M5fdI907p5bZTKQTNJ|8#gZnY@i; z0l6oh6JrAy;TVUU4qsS)o-8jfudVjx$_iR~O8|=SBueo_3$)?zFIRDmtXPCxh%JyC zY=ibZMX_)%Ev48}T5d3zJC%7dG1N>HFzl@~c^x_ph(m}&|F`6;c8A|C)5wIl)uVDa za49W^V4>bk8{F4s9E8MR$C<;gp#gnKVxS(07Zjh52 z0h_A$!eTZzE9*~GO9@a^$q%hoi$zyoUsnkKl)0PrbyuIm++3+FPYLeW)jxEd>NII& zvRh*SVPVLOI;=6+&_4jsC4=j6-v=a4_; zuJ`Z26E>(5iGNBaiC%@wE#5}8#oag$H;;J)MIQRW`IiR9#}}9HEG&bk<+PpPDXmB= z0Z(dAEY`mt9X@pTk$~{a^|r89rcj6r1X4;WrOWM>K__V`R{;kBM^L3TyKMa$@JC7l z9DZH9Ip7`EbSo7F01WO)Z*{psJsORYt0Hv-uHNAbdi6M=K-o%!2D8=g^V#4LW!wy8 zRjOUYFn-y08dXfkb1#>?>bKa3jPV>cQaGT+lY8XhKTQP;=%>@#MI373vFk2oE8?A!PC;h2IEeV(O9J2xP=xd-SMmL{kgKd?dcThuhi?U5l?rwOp(oJv!nt+ zgFO^>cH{jbKC*CusK)Mvcfrh2u|z_6InnNbzR8VGa3wtGPpX=|KCjaQ#gq{5OGHIZ zFZ6Q|9CYWQi37oBL#MAP5XJ@FJ2Fu9R25kvfO3o&)Rk2NI4Cy%h}GfqhjcoPmeKs)*o^DUv zhL@W9HWGJ+XXmabrzaW@72*D_$K7^x{N^%fBJpnEP8z8qZ79-9z>}=U?P+YwRmzG) zY|^f)*If-c-ENtJi+l?0yc&};6zK;~LWzX%q%heA2R+?NnOG%(d`{G0b%Y_9a)T#Q zV-l6yTv2bt(?b*t04lx6;tD3BA@HPlo|M@oGDk2FaCmz9Wx@GQdQR<)+G zj>AU8<-PB~jHgZ4-;>9eX6}-TY6b&duN4xj`VuyHqAFs)CK3UMwx@eLh5SmIuJk_P zh$ZL8J^Rx{;uwGTR@4i2u{Qqk;NiXd!O`QEP@LS)C6mdCdCYS%Kp}g|&sF4(qkwYf z&P(8_2|TUGJ0{+xRugbVFbsr-!uZ5=a&~YqIy)Dco^V4spy@_C{pkFaC59&ORQo}i z(P$J9|CC0HlpcuZNO&p+KNLqK){Z!#XVSnwkusE^*d7bdh7jNwond9qy-L>meLesO&6SvvBAju={wBX8YSroLvnaw7*BVH;T#jas zhD-dFR3saG*AAQ0PlnPnfA?b%Z(x%KeDy?ghY&YiN1c;a(2$S|kD)3_U7 zN@Ff8KmXVj!qdr@o~k?to*LJAdR(#+brQ|f_=Vy4Y$6y8LIMlb_GC~0aCmMK?U$wH zrIZaUd_W5bPusN_8)#Y$rR4Bctz>7jcgD0BK*2V^-gI6&vfT|Qq z>DgMd7d#nc*?W07!;^pFb$P)P+!NF9&CvA)piUar6lEa(sU*G7i$gv)GNKeByy1+{ z)bwl+SLnnAa$+i-?^&P2s(-CPp2I?siK;t(4uFO2hH5F16L3nb=P_a9wKkt%6{2u1 z<>`V=O`{z)le(IX{G8%cHFseG$EkVlX_~GvXYJZ^@U0j3loWUXnJ?l9GMFy zP@20AqA+icjuewdjV<0{czWSw4Hhzp_pjFrV2J#n3D)Et?r8)~p;;GMT>`6$%uYE& zp@=JS9V?13u4&CZ(d?b@P}}wC8)&1!32nD$SgTcRp(!{dTBz2W?ENzFUhqT$UhzoS z8`dj@B6uT&Nl}&7YIW+AEsUH1&lde4_)-?Bc<7sK=83px2E8`DrWoo=GGHa)>N_BB zBJxZKRyNC3s$we!4s6;yp}Yk30y&SXcLl;Gy|Q{6s|-A`sRpax@38KxL-vG$yUfD! z8GQPjebNN?#%V2|O+Q_7Fhb z1yDg(G!RUnLN|x?pTJXhBaFf6w_CL*UwYdJo_xdc^?Jc$OCVta-`5$6M4TfdWKaVN zmOwN*H|RSd7IWl^Y?Ljlay=rqW#RGYWvY|Y_pv=4`D$b?}u} z${3!~YgZop_2Az9H_4w@@Y%zO1X-SL!tI_!$m>2j2E7Gr;ib3V7N{|P=LxO%@76{V zz!O>0sR@}0gtB%_P-147tZ@?@426=n(QR16=~nHX4aQCH7>%@%s~01GN`K=sJl@F2 z*tms5ao~?=TC_L)>6ZoQ4of#}qDZGN1Bw+Dp962j!5|Vw_n^L0@t}Vy+sew>CXw`J zWAG}g@WmHV5$9(~Bn8443x4`f+sj9IWsRRSM?TTbGh8??2?kwgRhsu;7{}nuyP6>(1`tXZ8mwqP3+h}EfejQPQLxldlDVyN#mj5I#2&G zOwx9BoktLak(Qn(J{${;)eh7WmIzJ@X-Jyhp-DzAJ|lcG{b>=5FOiUH5{np{W|NMu zluaSN!69+jGzWoewOxme&tsZytW|>#k^=^?19yZyZ(Au2OH&#YY*{!gft5nxRGPAq zYGQ<^)bZ;FVHjqABp%3I6A<7S9_n>spe<#q{bDp*aB1q0g%2=iSU+C|Pej*(?lO}> zJ^1tY-)9ocs#F-G{&f~Zi5EOQW0z_d7ZL3S&OU=yIJe&!ip6YLP;-3&sDB$-D{OW7 zQE2ovp4jZ%@Z|WF#S~79%b%suMjAxHLv2wsLk3QiZP~B=WK<^6&buAi2;7=U>Y33S= zQQd84FO5NJ@Y~IS+8sqz#cF3{ZsuAv0G9se^LPKX)Vhb)=H{;bJ~iJc+t-H`-jG5~ z&0o2B3wk=-+@&#ECh%lLG0EPH)wjrI!s=V??Wfz@TU)VfKYjXiYpeOVxfKs$sUOD~ z>*ydm*r|V8AB^nD%T?>@>cWN{^ZIdnbmDSf>T?~;$FMQGuD;7_SB|DGTRj-CoUzo~2V$Ad(Y@amV}U@4Yr-P})!!wIqgUZB%H;*5JxRLE~TB4}ij z3YHsJN()rgrcI}^7ukwVPaq$py_3eEIZg9-(B!|74=@JY27C+W5^(*a=mHxPva{27 zrDIlz)=(Z-iys$AbFrnckiNM97DiB*#=hclub3=m%B0(yGC9>+hSlFl(M zLN|f;T?@c<=W&DsmSY?&ptu3@u*f@GaJu{+TKsCtEeF95GbsNmm~P?!A)>K*TV5um zn8PJ=`ufQD@0p&TC+p8rdA>h)4!u^;x98kXtnbQKj`6R*cAWiU8r?I?%9q!tK+17; zceftAZ~@QY{50B+|9lNlCrxy#`QoBuyncHS@|u=p9bKIN9gY*P8Dll22ymI?yaI%u zD61DoAO%YR@t|1?NsCD+kgm{}I|xnAI9cX_~LMB*MV-B!r9*WnwwMGD$oi6$XAH zc7l`?G%wtF;zUFQD@K6S7+iiPT{MTfC|bn|8b0^6we_dAmhVBl#9G<;(Kk15_<@=( zgG-DnGka^Ez_Pq@#rEbsOij!7E`V}m8vOR>?|(V-Bcsk;gO(Qg<;E;HeN5G;&3PU# zI&>322N6OD1ejr9jq9^ zqf$PAu1yj@dBo0$ozRlqd&28QKg<(Ytf;z$M8!v*CISh3t^x*)^~7R6tAK&D_+D*m zo1(89zLUz5x@Pz3xf_ej`Xz<9?##+9TdGP+t6tu+YJ6EnOJ@F(p8oKp6~?~x{j~r= zVcTKu*mw0>o!Kc8@;Fu87E=fg0Uo3|H=;mu7P4;qSL7sE89&27}Ll%S{uXPp5NQd^)2J zRQKzAG&MR!Q}`vg@wvEo6*_2iSE|d(yDRi^g(aq|=Yz|$3vyzDLZIO4p}i|!C=J`p z9LeY8Wy_w^T`cIyvCIvu=>$%V_D2kJnC_Q6JN6{>w(e2?&;w559W(1K3B%Hm>F^owa z$EC3<^@voe9!q?7Aa^J+5$QAa1I;5zNzKQHcRLQhI?~?k`1Jkyk;HXjRO`~9i;YE3 z#NLueR2sEK1Y?Ufz)$W!$~K)|y!!3T%!(D9mclmU-yZh-WBTP!Mp!CQv;4@dTR*fT zn>Dt@8_f5PX(?(wli!Ecd(MRSLe7M?%?CDFO4EForq%@+NqWQ@;|s+1r+Idq4m*p!~%gaC=W@Lc&m1W%J?p zj}D&_cF?;$v8|<0d*HyJXT8>F)bhgFZ%38xWLCpA!)L0hdMzlZ=Fm*d1M50SPs^FU zOK;unnV-Kl2M^&&eVWVb$rQ!FPZ23|TAc1tcguvQ`ea{g2HMPfY#x`r&gS*lUG_k(!&oal@Lp=+Ba(SKV{}Bhl^BjHp93_iRV!*b#GN(B7W?(iSnr z4-h-x2WyWQ(&LfBvOW}z)dkABIv%CQ!9y<=z>_1A=8e{rdv-@%;RCN+O$m z@l}s&((NqKW;nGOI|`kU(~cIK_T-V)#`HA26`ImjYt24if8*$=uFvMCB#l}HjdQO8`k;daWX)9OAjGT==7^Xp+ zAzJUjgyw##18}?D)zvvr()yU};_B4Y3`K3xLA1`a?i-RuZO>InH;k#}n&PwupN*M2 z(vvVpXNr92!AI5>X<)+EtaxBmQs+S8)}VV=u4*41D2lDQFKGX8;@aBcXI9>~y+@M) zIdSmaCyj`bCJTeL#(w4Gps{fmK9ZptoqtP-D#+>T6^a?uJQ*%1?HXDB6w@UYMSA-6 z7YORo2ZfW)K|_cR=%)X9okwL)!`SIX5T75aTDjb4qc zOTD4iDjzy%t<65A?zP6Q)mK`ry%knfab<2rlgcWuXwn->bm_&`T=~BI0;5hbrPBtZ z2v0fM*bmH2X$8f4g~DuBm`oZ4F!v5xEEbc(jEDM7CR4w!0qas|j7euQH7PXv9A_?E z_!6n$Z$GuaQQg{G1cXht}8w)gzf!Tjlu@B~%jrao}+$ybe|ey+nL6VfQrRpq0=F2}@HC;~KH( zd7aZaH80S8%FxpX9)^D8&9~k>0IT~mcZRRq*$*Sn(GmY#Z_CXIcycF-oQE6j3!BQc zd5LrA1g9qwo*bGucWiPxstLoR6YYD#V=2)yeb1Q$PrC@BP^y{IU#>UHH+zl1*1FYO z<7UqQ(a=TKCUWlnutjWs_Qg@Nv*(u%?svbLKLJl)15b#LFF!Z)Qo$3MF@pj-&56!1 zr^xFsB~01$Z`LxWFbrrJcTayP)Q<$ZR1`@nJ<#7zSwo>XCaKJ6>u!d7rN>1%7~A0S z_y=dKr@+wAF9`P3^wLv1(rifay8<;xTN2wvin1Y5G5n4V%{uVN+xmvChY6IFGe#UC zRrQ5*7d_JFbNLOzKpG}JqDDLJ+>fC}pM3KACm%d}?*q?GkKVLr|Ni}VOdQMfoZQ<= z_?vi=%1QoQ&rEDOro{Mm=XBILkAC)Zk(F!Qj>L6Lt9>ak_SD0doGWSFXYE>qLJE6) z?d8H6;57Bp)>KvS%Gx0Ml%=h%^MNJ2F5LYg(oDo>UmV)ga}ZB|v_26yef8Pp6Z_Tz zC8r-1*jCLyE@t*+61?J%$LFXi42r_hbmc;#8 zU7}7g7U(i90W4NVxP9V@ci(vRBjTa+EG%{>M?0@O`^+{Eg>(HYNJRPEl?tvA4E$%*tz!zk2h^-w}j zWCSV5I{2_y0Gyh5I%oHXuQu1G&%Zdjr}zAW`S|ZV-w`~0`85VfU490h*)ad9XRf=q z#Rbjv_Kv@lFj5{(yC0Irw=p8@SFu43k~r5ln$fzf4}d9X`l3SFec}|XEq%rGLYT3l z(>!oWmoDn{jMe7N2V5gkF>Y!n4m@A?L(W+Rp4^7d=HPTI<;}+_M#CG;*NhrVxrKzE znO-^oJdt?z!`(N9?Z>s>``mM#$I?{_g&@GGg{LYfv6`=1+h#>B6S7KDN)@$`-(p5( z&IhTMLn-*=jNozF`(*X3^G@a!3&&2|rAj$EBgx5to~tpN37NaltE4wTVm9%F{OR+L zVI_)^@N94QK|K8qoPa077oZ8~Chxu%G1{#cq~uTRBnl{}?adi6SutwWEvbrZxoQ^8 zBBENX7ppk7D~**#1xKTTSiyuQdHcjK2BsrP^tUlU-*$7lYv{?%YHLY50#k5M> z`pigPvz@qx9W7c*=IS&|xL@_}4hMJMsV2-s?rPNkH)`&x=Z+qi{ z^LFvdL~>=-DP3EhKf7aCwz&0Be?KRA2O+@|d#`E54Ttak;zKmJeu3?-xBHrdc={bY zt-SXh25vn0WkXdMwzTkc&XFzt>4Cv+Pze9k{Hg6-p-Gl~?S^DxBvrD@CdKg zyBsQ5Cr6A^L|4C_NThY-RYXLR&($%Esv59bb)PL$&wl>S8$19eX67^XOvU)2*rf6F`AYd%a1kjL=<)ePefjesdq50 zz}rA5@3zIwk|P)$R%nNXjj@QqD^cJ7(F66gnIpHm)bJ;9Q^NofeR2nf;^n&J0R_?pG(O6EE&M4{=J<;zFFjW5Wi6g7Mf zhn~lCyKHf}?2$1^Wn6w2Rjgx{uy^L61FyaL*wPa4)Un&{8668_2$j8~-E>`eRyPFV zy=zq?E#sBT>luH5p)Dm$RY1@**en{?65Za}-g(`gr|;RbvlF|SXZ=-C$7o@}A1kk< z)xVCXzr9WU-JR|~fG4z^6aCY7P<$+sT&W49@fMm|c*1*+S5BH@P)MyRemTDqKGSlE#om26B9%Vk#G-aD0F$qL@`>e42$8AlMhVAshw5V+rknHVQBH4%@7 zLXjEdY9ZQ1hH6kFh*D?!%ros4vOCdadlFOU(sVFFTMUjV1?p9bG(qtTI}bm)bI+Y; zo;^Hzlt&5ou^wl5UOc1596vrz>Trv1>paWpLPoTUeLsPSpsIEIGpG)I;JF*`9lP3(+)6HkUm1lUD|g?mOYi&~Pqno@CCeypD~b`BM<1(E6|X;tr{BcW z%C!&vQ1m#R_s84Xyqj+KzD;-pP*l$xks{%<;i2yQ!IIfnT~Op?G6Gi|LrN!eX``0R zC6f!WR0DKVLCVTOy|%UnhM9}Y1W$N)M-VUP=K6$JbFo4;Y-L$=d)9T^V4%ti=>H^* z=Rp!fk%%}fG(!^vUgiX0IzrRcswQy8h81lJ?t1D+_RcM+jVg@eZU~n^G$d)D5ITZ} zNRWt>O9zOC0y>5SlOjS0j6P1V#72^Od(a2}$)4=Vo?UjkzkcW2lXJea|Aj+`*JZBFEnX)s zZ_;U+Eyk_Qb*9dQ*uuNm`1Hrfkj^rF$p&SuO3d*iW^qD>D0n;p*zg)wo9cNPX9ea6rwj`**$sUHIU_g$sKbro7x}oL-LzY8l$B;`P=+@bu|_ znkQz9FFngZ_{568=;`w$MgBMg`LRHmGi3GqGjt1^V}2xQz(L6|F9x?y4WF!)EqQo0 z8^cuQCl_UpB*jO|6VwU$`Psxd?VNNLAs}W~&jCb)n;6Aly)6KGK!v{zSO6kvyllWS zKY{#~zQMYpqTz|IjuunXxcybq7=(o+aexWJQy$?7YH^}pfmeSsCQ@u$oE-M6v5|B$ zzyeL;O*Rd7sMXcZ;mJ;~J^_O>ukSW$D-cME#0pw3t8iQNR*TM9QCYov1HqMqxp=+| zk%?h;w5noFj+me%hWn(HvtUucz|+!_*)g_VtJUg@yt8xLssXUdGCI+OOYYa}_w*Ip z(0aLa3hM3cWtd(_f%i2EMX$n^X?&C)AB3mVNWN!&A~)j7W{-zqWa;T@k;J@oR4f#Vgwc{{oSQ*sUD%vbS*|r6!j!8G zWDGGu3MtSUZ8-24*DQ{8w{_0tau+AnxY2oq*e5zJ4=n{OPFTPKMU9riC zS4WkcOpC^)(u?90irKBsq{`ty4T~5@hy_z4*=}1BkJAu-RJaY&){Yw4<*p zvwXC#0++nIda=JYn%`@)**vzG7(Sm5o_ta$6p_8{S*9!Tgv6hv)?%5Kqr{xU7k~jQ zgyxCCny)ajKL^+^ngx>qNYa#|M6mh6zxmvmAI_}gDG&>S5(kyv`~W#0bpC^$T@Lkp z_wd6Xp4_#4EI!_`#4tQjPJHIRrye;vM!Z&$P=Iq2YAY5HmTrBR>i$DdemXuiG>*8- zJw0f%bh~C|+(Uq8#%{MGzU9Pxp9^zBTivdq8MGIGC|0r1D#8=NhXv|pGWt6W-;ua- zDaoXOlsx{WgEYWLwI%^%AyE^~)L@G3IGk3Lln)0hze=4mGGZ}w)KyoOWn@&gwiR-5 z>r)@^d=Q~INo?q1qgE`_XjB?>h?lFBFjOOyCyCj7bl1k`cI`#Ss1p^B4jL?bOiiN) zvWycGjkx5l*|%!Z7qfTc-eRpNtN>z|@Nh-1ZTn5nE%6nQN3*~e&4lI&S){&f5S~B` zfdK_V@^p?t>dS`W2?q{DlBTq7Vo>f!{~qU&59A3elodY~Fg?KKa?oIokca#S#aS(f z;_1EbUfaKROpJeNh7n1`nyHUII{D_9NFoyQco8@^kEVPCJQ~a;V47^0b=Zv>HH3V^^_ahoXZe0u8DDxUV3T zU{#0~Du{lC=uu>x-L>F}HDiUOH^YWD>z+NsPUo;ySGJ&Tv3A0d-mzy^3Y^$p?RP%k zU74AiQLR^MjanII4b4;KWoS*6+9XzF4o5Ub2?Y+bKoTD>Q|a~DUht%~yX?8(=`gWR z-Ol8K#2C-}pS-Zo)63_FhZCN{du@B4e0NtwRAgkNLOfD0oN2fMPd_rtTo%hpp6Cr> zz(OdVK-*cyUpORB#1IDO>F4FEV2?l!R#EE)!gbo;*zpU}04%sl@HjnG09^E-+yQT|-=YVdR`fP#PzD}0pt(2_!Ajw#kCL4a(9 zP~wJbQ4tbLh4%I|48JQZ+E6xYXf@R76H==2d$h?gIc!P5f!SH4pvSALD{JeztL3>B zuur6B%u}iIR7$u~;v^qb(L9eWS`sf)DmTC%@9=Ij)#z$7EEDsC#DD7UNU7&XoI3g8 zy8}zTig127XP-_DeDcz+n8e6yA|pkTm@C<*A5cdyKL2SYPY}H+TSD@LT-sV&!((?Es3v zHAH#B3yLLXiP|Hir4*^Ohot_h4n(bBiGFa7RhkqNm?a!pe7>aH>C`8*I@{9XVzawj zC$mknM}|vQmELh9=^axF|7jcIvJRpBsiIsX7ArLxrA(uR&n1Inj+O&flIT42n#!6+ z`_s;?b%Slr-F4OSY?X7uT}kXyU294~eb~~;&rWXiC=^kAKF8D2JMRvB8xESHq6ETl zb7-D$vmxSb6EcF>CsKyiXrXx`Ako61c_M!&I8U%LSOMCUC*~r8#o$mYctXL;uz>wx z5d=akKIa(hM*VN!L20>Q_6c#r-g{}|w+@(phLK2wj%VIK`Q_IRTLdp6EG&%hB+#e} zz*EZR0t(cvT&Nuo1Ep5w+J^wt6y)k^a=XW2slXGOuUNq?Ct!dg%2O#38#v?6C-6mT zsvjx8Xcfq!;z~Tx$1Y@%3&NAn;r<>lgy0D#4*S!> zA$fuc!`9$DoxOxV%CQNafCg<22;d2S>^oZC&mleXFYG2f(ow(3e^8{vISgu_-bUnc zSn*AG!Xy5ZP%t)j^xM1JMLb(XSWFDgElg;t+Wf#mhdP}d)`%26H`!23*`)t((MaaZ z(5HBTC|AGB-Q@0Zp(*fH$`c$YHb4%wO1EGER$Pi8EbO*8=rWLy3$RaY0)eO3y|ta$ z!yQG*$#H95|M=#(4c^v5M6W+Ki3k@ra&sn@!hc$asj(_edAT~3I8UQeYG9*OT0G@Z z$&*m8o6Qc1Oxdo~Pab^fnVVEHJj;QnXf*RV#@gE@ zJlH3eCruUVyH#Sald5ULS}-)z5|ly$=3f)`}oy8ySBIQJ@^c!!pK7VQ&O~AC=#HdPbL#fcrmR1BrvJ#0aOdo z0;i-T_M^oolL-mB>y~-Z;h=@gV}D;7egdTSiBlY{^HZEJ9acR9xm6&WlFg?FHfmasZ_93 zN+n<-5{qPOZ_?&Y8@D#1soUG;oF`E~o9v_Gq`qr5SiloMMi4I(3lhPT0z4%qCh{Y$ z3*#q7fv3c19(ejsw~zlzJdF=*YS(0H$Hp9DhEXBlyjm?3N+5SWjgYQ(h_i#aTgi05t4cX517{1~5LMxwg`9|cL=>AWW&Q4C zsx_PvXp&X}Wmga1ua#srTa$_gCtHgUgW6P9B9%T=@_ZqNrC(2CgQc$4H}4pqda~!h zf#$3rhO+)oH%%JYvy3Bb6cIIv2oqu(fM**vP(CZ zjz*^#equpAKM@rjcuGu+N@Nuo1^0>NY348Tbo?x*f5Hpr%OZ^|1wYK*!}*P zr^j}#)hJ<&L?ZZ4@kt|cObjd{)gF(BzKA%tXkmt$@Wcu+A+R8!C{dbjC!xg<7UQ0| z30ME4_hBeQg1*%|H+LjJWYmI=d^tBa=Uj9mrQcHN=+IytE6Z;pgF3xVC+b#=GCzE{ z(OOY4IBap^M{J!1Kiy0&I<1StlOIdL)2g_V+V#fiEJQcZ%ErRbbWA5Zsk!dO`%$`XVLpUDfMRyyYUcbo1*N4jc*#i9!(U_ivK`SdG$ z=lauD9met4D?O)D+oc{xJEfggiiNYn+K$>#T7t@LK!eg2)OM>CDvV2|+Rklm4h`C3r$RX<3Hi|Ij10#V!R|e6TYWCJ!kUbB!2Qd&v~Bb z_xzgbnuMEh6OP{$Sklx~U=&A_aD$(DEQ<()1N52dz=({*?YlQ!a3wjsp=yKIYc&`( zu4XH?LiWPX0V*$2P`IK13gT4BS>!Wy9PCwV_1s`)54Kkvb~Qcls8DYi%(QQr7h?bXc`UI9hiH*eW1RpE5HX1-4C8f|L=afe_4N- zr`{Fj33JE=um~s24#Nrn0F~ACM~Az+DsIN`o(iXqQ8ADtD`v$F5~cJ_3OC6WQe;J` zucRPcun>L(Yr#t0xM8IS*@H5c?optX%eQSq<=9bzpj<*rFQOo z#sBs+qHubJR;kn~wK|A+Tw>M+m_m19q@=Bqf~FgLVUn=zPo>USX}Ym$N0kZX|5lV} zFTMB5Wu9JoasSlN;msR1R&o;d_mVA1ewOlnlkzv^uhzD;rIgEDb=V90!m5H&mv8JD zKej4%ghJ%+D1M@kMMxBgGHg|AW}I4!!{%xZh1$_xwR`XYGXZmwbNIb#a0V#ne9#d5U-W!2a=_t0eud6OzVV^dCdT98i z!%%~jL;6Y~nw6Yj<=_cYuF?`qH~^IJn~SGHnJaW{Z56GdsTM5I)=i~0m(^+TAQsnq zY#tB1ok0W&CoPKIQbwoI=}^)`Jvg(74#EBJKKQ_0tvha<4ja+DS5hmZ=gGfhNx~gI zq&%}(mVDyeTz~gp=&$&@lD28l-(lZ-ler(2-@P9AzS^yO?!5E4rpn68c3gDP7QjT) zSf4+1Q&-DdcRwC>X&Jbsv-HQ7YE7o{mz6~+7f|_yGCouUoG{FyGgDXR8^{b`HMs@# zV06q`$mv;ZHVVffqDsSgta>ZVQ&aOm{n0sNJ%pUrp~b@(+zXzLZlVmiJWq+dlbj?* z<3tpx5ccU@<>|ctK!3$PncJq_0#7D`(X8-bPv&E3O+$lKlS-XPl?C5^qpY#!6MnHt8Fu14o|>T)W+~+|V%zXlT~7=@Xo{F4;^PJF+s^o5+W zGePv3jmN_CO{rx0_;~bdesACC#sZP2?JphL*}p5()#I{*Cq)jN)&`2Sme*SuLgj=C zJlPtr#fr|N60Hv_p(e+kX|~&W3#ZkiIdiqNoYNai#W4P`zu9+SQ|E16nU2nm!TVkW zPvq|&@2rg!vS`MX%L_@7NFg!@GC6hp3iTGL^jzi%=YLZxctStj2XCIFhDx#pFpLGF$?`F=2F|%hyYZHJ~sKxWZBn0rrtmG*s1i_u_Kd{lj(^WzOIxOvtWIB z%}WpLoNsAqOpM#L5_Xv+ItZwoNaYI6|HwQ+tDT-wMm$wrxM7pYgf6)m4h_qk&F(Z( zUfif%LP}44o4eT|Ln*o2{C7uNszx`?M8({;wF z3O9I4fAYk!6zmZm2;n$-3yy#G{&y2XP+rI?*Lljx)2$zTv9J$31%r$N*`V1YXFmDv z`w0a}=r3@ats+moOnKQ1RvDcri=IC6C3gHSJ24?qd(+7ozxhUSnAgpN58ofK)Ysee z7LH*R0#W~zr`!P)eRJ@H_q*!Ro;`c}`>J?Tf~OL4_)vI>@<9 zS)MMgzx~c8Yg&F(L_m4Qqh)C<7C~7SJfRTFmz9l2$5S(QQ|}G| zDB{U6-8dTHkuO-q(vnbiQ+pj=6}v^- z8t?0$e`W7I-E|#83!Nb=BMw1Mr+#Lp+;5LX$Bykq{jT%k;?0`}9^aiv^uaz|37#tI zh$ph9NMbH0pky)@EJz0gk`MCA@ZsnigzsBFvNPZVZrsesdk z;m4x;%6KaWP0XMHFPI*WMx&FHDZT_PEY!WSp>u!2JKfP1?;xHCrW{P+f0V@TM|*%= zn&nA`#NnUiiTs_%E4PKP-n`i&b+Nb?c^WJbhJ;mz`xE{BiTIw~1C;Xi5K{(P*g^r6 zRxng}wd1^Yp5mv;z5P=MhPD?MA8v2)`u7}KeD%sL;3?36>K$_8^f&-$g|a0V*?K&l zlP4IcW~Y{x0tYGO#F!!o-TcmhzD7H1aw9C|(+w%IrpKqBR^PppP-Zsr{lnJ8(VoXr(M<+e}CZ4wu&^maF4 zHia|a$>(SNT}xvTc%tbDyU>!^(tr)mD+~>twDG!yWKVnBGoYC3< zcX!qGH@{Ke=J53lBOWK7;u$RH#G_HvBuezj^ic9boYwQ1xp~6=oOhxOuhnzJl$xYL zLXdvc3N_`QB4%5q+a0N`-IDlhJQ~wm=ud-?Mx&C%qT?fzDLdK^h^LFdQ#bFiw!Lle zaO!2A=r}7Vmq}cB`LZiyqF9zwuJ(6OZk}MC5R&tDi40Cp9%8dRc?OGs6SbNb6bbFY zv@KQp+%f)$Eb3;wg6?Y8%{*ox}TG;1<6PEGhkr5I?apYX|zDvP&0gXtF*vrx&*lwGP!l z01W8R1-5u-InY{ML!dSAqeDn4v4>#<3`;prhUnQQZdyZ}>szqn!^5ZPk zgyHV!LDqR%izf`;*_rU6=ZvG^iJ|r^AI-PQuBLYyDUYr^3ixPq>hglTDSUSf<0X5|dYTLHf(umw5;%O`e))*B@z08wM5xKFd06<;bINaUgd1N%vnB{4Oq|j30 z2_KbSp9M-TW#uv_uf;iQ2IN_o*2t6d`g~2dt~sP^3Vt}&Kn2Ud zQ@UIliL|!gXu9a;ffx5UEG_YXgV#!O@I*ujUyZFf9?HT+{*RUFc{>BPAkiF%QsP*} zlise>+`={a*#4$`i9au2v`^N?O7L`nurwWX5G|w{0hu8(Qlw(c|EV76>Y&+V7!Bw%UyZTApAIj+e9?~5aCKs8Ly6$;NEAPb5}t+L@z!}-yMFgnSqkG-IK)h% zG#v8F;E6FC8RAJ{^Lo`%g`p!3o+isVjcQ41E*KjhIYK=3R?j`sTeTrGe2d>|?Xp>I z993^t*(ZT0MsM%$=j}d8mM5i-clhVAySOfEpF~pt6SX>mQsR)=b@Dip0{&Y(O4zDx z%RHehjVUYzSgs{(v|sc5^OP~Lw6u2~XuwD^L6w!pN=}cryG<3FS_bOEfjWOD-qA)}yZe3i=DqQ_U&r@%^^-;e^ZoMythega_=fMqD7(jA zx$~aB(T4WN@2j5@@=w#F)rpKr7LkD`e97C1IN?%w2I2iqesDcMo{OjV&U_R-eqsg` z2|RKBv9a>h3`fzFz>`8{Q)|2q-m}#Yo}w`=;&xGHm1Gtzb+AuD{8X7~+%+)V?XtGG zI&4->wHhbzq;bs0{aU2R6%LrRQ^!93?$pN%3txVD^7Qv77a&~YbNb6K@o&-N z(S?PNzk3r}I5J{wd$+IguA3GI_V4y48uqr-=ApmfiBpp_ucxpONKrkw{FPH9l0tHL zQt7$e?~C)lpxivYhJL#5f0&q=;MBBwDfq=FXHI^NbxIP6Sie)0dbC7>yVm~M$iDKl zo_LZlLg|=E#_Zt9rs(ahs+F4gaL@299hSDbK^M=d&*n)6|EKnb`kTFK7C32a0Y3l| zB-8oTLZXM@l0#arW4=ixC)*_DWVzA?5{I4Vk>SRTSZ`sa*1^gG@O0U=Z5k01Qtm50+oD+Mpo6@2;by=$*@(W-ih z^y?`wL(*@@7Qcc&6UPu9YY-9yBap&}VY;=bWv;atJe5?V06~tPZ}&(|p;Et~(z%Qi zzR9=}G0}#<6sQ_~VV;5@Ml(Y=>f>y*iDOirOnTNUlhB2+%3gS&C({P_Ob3-n$wPn|}1*<~J`-s(L!&qR^kN_v>H3F|E2uoVTpUdwiWF`=$Aof#T6g^tw^wr=TU+6>Kevj}^Oc-csHe@P)+Kf%Y1X z4_9%>XELcg0Zuk1*l8MQ$zB)Z<#n_!$rEkv+cR~jFXl@aRGxG~BqZ?0bhk(JI&~x{ zej+H>^iM1Clv~Zoe1pFvb0{(QvmgKD+rPYY?HZYHb`7RIEx*yea_!QCUw-kyL$@~} zoSZn(*Ez%mYQ5{rOmx@4++a^|nWqh14<{dv2Gdu0`g{8Ei{HHe%`?NjqXx1g0|O(i z7kVcrttQ&Ys66ExA;EUZm|Vdv#EV*bCMVt44kBg`TDqotiojD5czS>4;m&Ahd-qg( zjbCW=^L5CNr}6}%tX{^%P-Z6v42ZOs*YG%{iF7D8a19sJ2{z7V||{0fCS=W zVU>focVw)|Q~$JhFQ`#-ZQ3^Rpj=rh$QhLD;~e;i+h#rA2$w62B40<-so>yfWzx z%$`1RqAwcY8VOH2x~q3|!+t%{KWRMa_d~7{w4|?r0&10~zmg zr6<-qO{1B@lcf2lobfAOXLfl``Oy63o8SA+cLs}AFvub_MPSR=+%Rs}9z1wB=^HMd zKQYl6X>>G_^=^ZXwonXZ+CcW0TH2tC(WByp>1| zP}HH376KrxKV3e@B9lj#Rqz)_1|X#??(I>n7dp*WXVF-%s#mGM0=XmSnd)rfviyFB z!-9A`C7+34i4B-(3j4c$(JL}OUafi2ss4!uM><67F|$rJK?x~{$JG#>(ybr>R$qiD zHJ3>~X~w@J6CI{Tg(^$br|ti>U!6?%F~nQEfWfGnzUvU1r#Fe zd_KpT8d*?=jPVlsC9C5bMb*!(c zN(v1%3M|$;&9v2yqOCEO0zwAK6LB(Lnb9qt4%3_Jwb}Lv=(VL(u1bb?C|I`c-m6h#Nv2wq`i5#WZJ*odqC*c$E zOt`8}DxFT|3v$at-u~F(?b+jPiTM*R0-r%FX(MB^@sZj1++2KaEDoM-%ni4+49|Ui z0W<-pR`4_mo;Y#hKwG2|@zd_lW^RF~Lbr&MKIx$F9>u|Geteau6?nq+M5jRN`ZrsG z1f$0*=0v|vqMp=lo9nUEk){U*i9K3^Vp>7pGw1#TcM`LW6?TC zR;ZE}RGw1&6Ep@!w-WQYtTbhUhBy>+X~%gc*6HHi0XQf-tMUYZ7^{UzOBW;t-=ou> z@K6$(q$ag>_;|}BJgxUD{rw()X;#~O{JP2$rVYnO#^PhR+=!12j}#9eewqVM!zWM1 z2MA6{o_w4*^U>zYMy~ObyFdM0#q$*mt1kk2K1DK zTe56MEs?5!LdPD5k?2)uo|hyZZM?D-4+}(W#Rz9dARHf)ph>XLf~R`qpTd#O&h}&H z_jn`>XXTw%gX)yXOEpcjV&{Dc(M@5aXB0w|nlNB^Q3-HiH$_V#rLA|Ytmj-vbJ}$2 zINj5yJuZlX-;yjyDl%^|n+Q$dX>q;F$SLKNurF)O0>3_Sw%2WL5 zr|0R!)`-H|EWoKt>lg=;NITYiHpUQr=C&&TI78=40_&Ppii-zOWj=cvJ@8+;fLKHj z*lDX+y5(&fYcn#x)D)RG?(=u-ZOY>0xz zd%uC*UQg;q$Q_HbkX8!4rkHndUWVoYP_rnbI`>l;mk+pgnpTO6x=!Nh#56>KdI!l6bU>7)Dm0ezuE|4(Gn@ZMC1; ziw@%3CyqBZRqtryNqw-!(vo|k0uCb*1_qBrlklAPkoAr>_j7_riG>x#Czy?-bPC!7 z6p5Qn=?qVq9PTt-+T%RAWY(e3IF~_NAd)yh{FLNr{l;>i=#VQvTRI*_zToZ+bsrj6 zQ`|v>01H~hV0OPoj=t=G+JdGNHgs1E&wlEKrq^z2 zL<8@-j=Dw;=fNOp*+1cf1ug#JE-qmdi8heT0v>Yi-7LOXcL zn3l#W2$g`9rhg(lVZEz&h`!3E+RW@&Lgh)_&0)DCm!g3{ctoDC;I-cJ9h40<@!7HzTC!5uRU;Fgv#k;q!y)sRKCq~mh8U5M#|Eaaa*kV#J z{3jumZQD|j*BA*z+pGnovu1O{)yC|@wQsMSnDF__KOS+p>?%#LRG!R(L=uQDj=j3$)JaH4?6I-Au-!%f-Oq&X=~ zpNV)JJmJ8lKHp+@)aKTYFZw6g+`wGCXsl=qX{RE>Q_FnOFb*w=+fsSz9eeuaS>E8VZ&_4kvnA%?@D_g^f@U$h}l!j1(%y2;hxuOET3BB#B%B%BsWp`F> z-*xF->t$m7h#AFvHmJsLd1%s!Iy;VC(E9FlA3pM+WhkR8>A|AnNT){utyk2MvSTDLX`vMBJ z+{4F^=-v~GdPqJKL>b9x4<2X|f9Z8{!WlB9W(~}c`dZ1d=Qdh(OReh~oq-5LH1KgL}&TMswSaMo;_4 z4~O>c^Hl9URYUXzEAT`!6hsJ+vA`$s9wYARstWBvG#vAEMhxotFpU&dAjYP#F&|1{ z=rp}WNeEPWiXoe+U!#{|-cU%Fwv;abPl(6s?e@~D^7`>uqWB)-Csj@`5XaQWqImHD zTvRau49=;z6~3w{$+&{hypY7u>zYp4ouYY|Jk(BBKfA3g!l|=(wY< zcMPZT1at^bvd05|wT-;R1v3-g|K^+{o2952jno`Y9Dgyv?P_ZdpdafB>m5Ur2k--= zWDmI|H7jhVHzo!mcAYASq6BE!@lA!pA)b2sHe|I~Y5<*69$(nf$4YIATYYAtRh2ax0%NBT*N$FKch|0v?|nu*o^PfvN? zvd<~8EQ|hzn+>RdG9p86v5@74RIr$6HD9pI)AL*OX+fvch&LeV`7L>QuYc$3-u&j; zgM4GB=Ro7mq4tU6=bTNun~n(JiFt%4iuJvk9FJeS_HdNMPpkc(o|dN*VV8q66hi`v2vimu)B&iZ{>f;@dPl+~ zH6GW);dkkDTee`pRK>RZZF#SMZtd&K_k46J)Omi-v15B?W~M@Qkw}e;#7|G~WaeGS z3y>|MHH9Y%vhu*5L+w)ukOiYUPD!5D zZ`}AM@Km3ho0C~Plo-B#5Al<>rfC2rsZ!r85tigBI5q~JR{K9aB~KX8$&;fN=u?bv zNfg9%OFYqxy1$dLz9oSwTQfN_ixZ7)WP9fig?!C9>oeX~a`1fH;R7E%*xb-KGZU@+ zxWAd42d}`BHSA4!qT?HQ|eufpRWCAROM;4|I<_Q zwDmO;{Q}|jl{nHaCz_I$0}k-? z{x5y1eAnLE^1YSqGsi1Me_>WgvJrLO<2zIksh$y4hh zPpO8tF1+x~m#%&1N5MGarA>-MVF-oFT8uW5eibW&Wi%G2NG z3AXigOmI1n&{l=M7D5#^d1wqBDp2(WRgNv`824R}S2}JqVw>cgZS5K#&(0DR)Z@9c z{eFMO+urcDjMskl{L%9>Gi@~=FC1!K6lVa8L7Kc~k$;fC%gP_jt_U=7l3Xd=fN?!{_Qsrrs z!=$%+1iQ$kbiJopot4IUut#=^n!t_lR9RBLF?VBqUVUjHM(k(ZnumY7JfI%Z;e)`0 zdlDfSzJyCFZul>9=hC!w*z0dP{#E#=LNDnjw!VS}?MQSj8QJdrKlFe4%b(Qg{eRKs z@8d?k_S@xe|K%_04L_0m&R<~U;h)k!Z9Q??=_Y-|v;YbRd3H5A$GH4ZDdA~LmA|Ua z&-+WG2#8dAd#-X(4+YK-wCqb zK*NrS#eQNhtgR?p2YkpXms*an$kVf|fBLoh{XhKXRj>N#tN-$sU;To>^=e#U>f^6| z_3B^XhQI0euX@$b@rbYd>bI}@>F@uk{oU4Xv4>@?R(45Ghj)Ml*@LV?m8XW<^#xn# zErkr*I^x8{yXncf;f1*#o2#g|w^g<>mhG9C*SW1QT#p7X* zFX{ZjSnoFFl~*?It*tH3iiP9-_wJn>gJ=%v%w!=aOf55qjkKW9oLEbI;r7B=OIG4m z6?h{3p91C4Bd5_;I+D3Z*>U;p~TSW8h)u*)vU zjG?BK41_I26Zxs5M-Ml36ch?>ji*$m*q9)8iZ0G*aS4Jwg(sLje29$Gq&1UWH0yQi z<$$2L5Ii|O37^xNvfc?^rxW=C7WxBJQ{hB-O*-JK%r4B$-I!VU@uA&UPwlF0JM8i< zTwL(ZjFf886#hib!n z;hxs%NKK%crC7uXA3#dYKRv@d5!dwVUnIGL;R&=n!qacn+aLajAN|*O`tZ4vV=whi zLOZTPgz{f>KYSP@=OX!P{;43ZfzQt0wytao-P`MidULdRQ2Q_5GdhW1W9xoOEZhcZ z)HYvdJ9rvuzLMu~y2*O?c>H8=C+v)oqKW!9HGTq5{fD~u9EusV?1A1w>&-aHvx*5H zIHJ(&%$mC1(Y)7dPBU4(-VlScJj73gr^XV}UL~WW@kmu|c}e*TryBP3T|9R>Au2_J zFT`4cq;2|Wa1@3H3_Y!_qb(Qb`wv}zaUqT}PsiRp-8D5c2R`?@cb2r(25Ku%aNeWz z1Wo$oa`O#c_|skFz=h<;pIx4Q_i93i#>vf_Hwj$$S_)5C5pheBg2zAq`Op6>Pw4-2 z``UNTUYMrLhI~@2dGDLw|L!Z$7l0>5J#R5%-j^(AWse(rTPH`Ssp(!at_)#Gkt ztF^d`BK1-jP+cAAo0^#k@L2_+MiP%xM%9-5lh?wA?Ep(N!qc+3oj#0RF={-y6{lIY z!ZVo|hl`xX@Y=AKr7pW@Vdv7VN!Q^AO=;M|tMzx4CF|F&&E5FH@(-eWW@ZU^`pAKO z$ItmN5^#2U<+8HA8I>7Gcni_O~?5m-&Z!uTX!47rIgisD5o z9eF6H*PgEXd;4FAD8_4owNj5ve_m)x!BQ3)SgdMLgBXeKmYy;|Mo=R@tGn2 z=EA0k(`KLyPx2J=`j9+TEfHGuRAluFb$1_%F2+wzWIJOHlTj9ZAuDp0Sn~vz2|Oju zB7}T)-5Q67R}>1rT=*vj>s>kg6MFmRf~pU`Z_}5)^jvL8NoI~_nK|Wq7p=Kjy;N^P zqv-OE@>)dinVX(_Z{<%G=1aV%VVUU7R;sP`pxg%xiQ#F+zA7q472?UcLstu zk4^GK8yzgYPM5x=j6(|m!6kEYt7bCMe_+=6B>WR;pjp}1*F7;2kg^JD8l6^jy{8`M ziE?wCLp3)mdLV>Kn#meI7wOy+qO|pnvC<4jnfL%N@(^z-$UzBw%9uQ-&m|yfz?)f% zU``_*D#_DpUyBnLG9BT4@B85U&}|$Y#y6oG`E$?ZZbS>|To`V!4{pjVEzQJ0j<-LT zle1|pmdQ7~OD*NMOBQ6$|pQ_mmmL4e7Ft1GI>HYObIy<|MpKlBKxA`k&yA3ow!4pj_ z8LupU2Men^ny@*dVT-ojSt*0bYBo`v==C@tj#8YWV$gU}nZj3m9A-?pY*vxM{w|H^ zGyRn%=w`3+gn?1-`G*fXHc0|HOzDAw5N zj3GZR`tvKsZFcjDJi%$HeKocH9ktYJEb61ofvA-xMtNAp;&hM&mtwKb(PlTPMb}J? zpmdP>n$l<|wryGsIN~QMl#}FX?YrLfZjhtG1k-LXpat6mr&W8TY3Sh9FI~O*sZW$- zmVfrt?#`Wit3KV>Id$~>t`BWLHB@)FIu8PJP0qd!maGq6r;4H0sPR+UGaQdUYX9DT zV&G|V(r(>sA^8IERA^D>0g(e2b$@3ua{^0eWo6_<&tOEp+l`}Q{D`W%o1Pp@^7MWr z3_M{@nb{f^9QpM-tc0gjqey-nV8Glge4)k@r3K`SHQXti{hqfqzyQ1 z3RvjsTx{xSSe;c6Oz7EzMNi$mtNHQmrR%{H!Rfg-zUzbVJa69w%h{woJ-2AUDYJR! z;r8a;l?Sh$I(X_x^TEn?r|*Rw?Ni71ZT-N`Z|vOB*0#GDJVEWCLIl&RMsQLa_MjYr z-mw2$|7SdX;a9|-R$p+Y_<|e##0t%%=1%J;MtH&-CSUbW21(##zH0Ah`d%KMD{^r_ z#ca?{j;BXkm;BSVf&jfhLchxPaOCLG&XB*LpfY|w*N$Dg8cI9bKDwu~v##yq*&S7N9g*mva3r)jOFp^p#LYi`q#g` z{pGp2F(+nQ8q9bZ$d8Q{kHm+2RsZySUS+g>&(VoU4OdVYTG>Cj`#Bq#5M%YYQvDNU zwYnqi-4V`|%s)vm%A~N6l82xH=KGy=>NIr0Cy2nxo^Uz16rQp(Ui;cNy@~LYQ}fYd zd#0wQ4xKxFPP5anKD_H||Hwz$N(!@@hAR2-x+|rnP~SNO-nTt7r+(ZSJw9<{`=>H@ z?cKRMGbfLR;#v3DTz{hGvzpV=S9$u|^QEFnQ&V#_e$Rk}%u~dRDC&#`t5M}il8mPG zino8_Bk)hN0!vmq1AxYuhb!+ldnXTnadpt{DkB2Un(s7HC`RGw(Y;EQ-e zKGAJV=ARfFW3!?Ey+ARXkJ3w?SWIDcDQ4q+JUoSs*5jI7@6h#fnWv$U;1qGvGBBuG z@`mQn#jZNu!2LNs+`9EdG|{-7w@=yuiY2M1vy+)X9y8%-9mh-7Qvcr1edPY| z+?bGU)_4L=7Bk^#PUQ)fm#wsK-5M1f1x=MKId55kCp*511x`uj$w+xI52!C1laq2y zK{Uxev&o|PydF2wmZ00hJmMwvh^^AivMfuwbS)kSPgxlN>a`nRyEdmb!iPIgUYs91 ztJ+KW{J{@?@X`&e*GfQA>avF-m07$`X^Yj3`}kOYAgkfXH+CMZY&&}X;MZO#Evfn1 z!A%>t;RFx7CRx%aTR_23qP4o-{hfY?A3if{wb_D<`uipQq6shXBj%XaugC8;NKQGY zyq)mmWXXbPE`;GcY_v5VzufCGtJPEGfj;O9`UJ`Bui@+<$dJmDkqyYMuuGO?Ns_$+ z#d{2k9S&&O8}94w?hb1ibFJ4v$Y9 zIxSYT|gJ5=5I;QH?CXd>2LHq+&9u|wb-r6 zxtjnAZc5dwBsLShZ<(Lx>cr;eratf#7ujSq4zmRjKdC%zK|b^On$C0kw!W%ckciq{?D zvQXiL2WNjo4!Kxw-%#`J&hWXTGavazZQDmqeeG0c1_E+5YAb{3h$T08=_dUe@C1Fq zGn*ezv44L(!oK0&9v1pb){fxGW?x+J02Hsy=X7vJV?kj~N#FfDb1i5Tw!{G@(I}A=}f2zM#4aY3QrnNB>vZ< z-{C4xE9&VcfD?Ga(gJs)Mn==q)40Pw(MFXgiPQAsk^6Tp7q@EbotA+L23rT>!>xkK zQ)ycp=RNVNDUYMDX1f#mCqoKRSUnx6=QOK=C}2aS_$Mr~W^bn?o^vs(;doe0A}B0F zo52(PC{KEnD2s}SF&&&+awC>XTU_t*3f32_FDxh>-yHx?6Q@rOW1U-G@)oWi+85=e zN%P+NyeK9ON1s`Z6^kp0M&*x z@Vn}tR@~p6SQxx;;R5H)JGtNJSWzNv}sGYhFaoqhTGp{YKXP~JxYo{ zp-V%=6=Ya6o0w}=&+jVohN6OZ>j(M-M`2-&fc+hl8jqWe&}TxJiP88@T&#>70!)h$ z6dUzWHgD9Tt#@MB+wZfYw^r^iQVLFI?_Ym$U!N!mj@Yc1y``}BnCR?xx%q$;2wmBHr1IlRc;*8i`P|jE z?9FY}v`8u-FXmFb^heZhZ@C&*Rqe*XvWc?hR8s?OZB zC0>5H1^vV3A~#WD`Uu3NS;l&alFnjl5!}mTMIvF{?cmwI}r}vd7Ds)Ltve3}*^ZFji1H zMOw#-lv^}mgSVJ3$Sd$4sm|$Wt8A|!{^`Zj^JkahCv5NTUf;K`UF1bazbAGp7Q5y2 z^hf$*w}e=Kxb5(+UAx24_M=C?dhjDV!9f{pOv)3lD>&`8Y#(I&<&d>*dSy^JIhzeilXr zT|yCf8tVm5@6W5C-H~&LPHYX!Tz%dZoVPrRlflT4gB-V*@Vdv!+= zF6J{g?1#g;dpk~(cpN;fTjgm*p03}#J%Dy%OqVJd&o?Kar+ZHql=w;iWCBm<&r?xW z#>UZxvR6XAf3G^Otd(=Ky~Qm(7NHc$On;Ls#=1|Oh#;ToujIi~YQ6xW8Es(UcNE!1 zj+*U4z-dS+_H?$N>uz@@_jh&|2adp%)(#5SM}gwQA(up&2_Sef;id7^i2Yq&L5aVk zw3_&*V>2(FnM>t~tanrAoZzXgt{#?4E(Q3^Kno2t(#Xv%ZGxWeuk4-sSJU?y$Ik&q z7s!f$KrkQ)Xdp2W5kkggY}RNKS)cjGUuOUtYe*aN6mg z$>j4Rscv*mIp-kbv=65$wn_a_Ir@;7AH8TFLh4%*YKjU<3VM(qXQx-`*reXviYG#s zBy~R61HQ=-n?rSykSJGZ!&p2;QbDoY3!uRm^59h0?SbgH!#`OWb=<-idXc)}cO2|@ z>Jj)^h{ri(ix|Dhkt?=T1oV)-3JP4U4%R?K01 zPuDdzE}TAy>iH4y1UY7BIl~c21LB@3`3eK&tPYm-?tm?R+RZ=pD2|?+=@cs*NHUNW2|qUwO10n6#6MNEqgo_1W2b?OLHrbxP*gK<3;FTY z5H_o-lt=2#-(K)MQpIlYMGOyNlGsiy#JS5(tta#>HZL7yNjHuu~b15Z`~)T7@{n`%PD5?;Q>FFlYbg9F_I z$@?%CyZHEcKfmn7&6N`;V`4ZXsyO_YhK3x;zy{KKoZjKtQ|c?azprh7w>wYgD$B83 zqDnZa{T(%O0~sc$H7UJHn7@XPUOl;SzvN2At~|mMX%0_#stmXDcOy-9yV0*MRF{=E z(Rlv+bco7bMdu3`R%S=?1yC<|Ab^?=amf_gS)1#;zNUnaaETCQr&g56NdV>OVWI&}cE=E)NWcpOe>MXFG59xS?j?mcUu?tVT!QE>dYP?~QLVVMI^Fwk@uJOzTM zK=6e6mJh&Fhcb>D^@#UQwK+wwr9c>t(RO~fd;V#@G93CRH>8uO2pS|=8j3-lNqBPc zmuldjz*AhX#Fgj5C`Y~RHQ1%=Ipvs&9(>VJj`c1kH;(%txBxuKRZ*i94;wujtbv_eiQ_`d)bYc0odGhV(ked73##)!& zv$nrXy;!Y1D(0oNqy!T8WZ?-u4N%3Ofq$xRwD43t#~}+G?FcRAO!I_lmv?`R`LZ^i zcJGHV2o(`DO`wTM0v`G-g#^$0V>dgmqsv6Flwl|D zCS6%$WO<6J-k+Eh8h4q5ZrAe0h`vCLNZbucM0t{g0iND64{7kcNnhdywE^_w01W-3 zBs^CMU(LW^SjxViCl;3loL~vf!hxQKvCfa^cpSHnV1HR3TgTj2es)(OaN6bxhOy}J zCr+atVVkGG=4zQB4hQ7UZ)k#%y(G4j41c13vaNS}^ndE95k~WP9&k{Ul+FzXwF(*d z>O{@wbdYMvatEGVL(stsQ(oKGS69c55A`Xop`mxiMW+NAXo`t5n$>f?=cg2!AXzlw z)0!tcCiDg2Oh<+tnbH}|R12-L1(>B@TT@eW%Vd&}4x4t0@L=f2?Ik=r7cVsvAr)=A z@#OHff1+{n)#{$J0EO z$vBY!$lA7-JHF4mKZ0K6kd3F^`-$C{hXPmPK~zReWW6aP&&*&EIlrTM;>P?^5X>*n zDX+Y8@l{R+0}{@Qedu-5e$mNe-0+KoF$evco2uq|FBFBSq7qAZ$d6m|M7DVHRCzQx zg<+s|nOYvsv|908OHiYmI$x8@fO~RMhpW95;f~>%!D5AQd%c70%9F!3PpMQq&hbUj zovK-vXVT{fi*rb8P*huTb zW!2mq8^nnOK-M%-?g^(m{s-Q*>7Vvo?{1*ON)rCJGl@U)XE15O3OT=HW)Yr#e1NQX zktlHC$kkHk@Q@HRTFwZTXA1ck*Aw2(muGbt(EsV6tgePqq>9y;YUU;shttmKTSUDj>VAjP zXSNtvEbr{Bgz9RoEI*CSp=F8lwcgT?VzyWk@>+kp7!cbcfO}2#Fg$64WKL`xf4llriN|v zbT$_}aoJL~op5zxqE_L=Ht>ApMMX84u0Cu-%3FUD z;wH^ZQbaS6D`x~NoOTAnhNosS-QbF(d^=J-6)hG^#V+Ez*MBL=L*h7W>f5Z$eE$QC@y( zLPA0ou%b*MQOM;jN$SjmEJF|&3Mwr016PQk;sZ(cz}?rE@H9(!;#5?}3L+1@!3Y-^ z-OZkwmv6_g#pApCr=AJ5L`;GVHxPhL9vzXp5dD*zh|-TsmAPk0{DeU*g4}eJp76tR zxtNH@3Pqc5F3ay1$1o(}a16`XFrcsLNqtc0Zcw-pZOS(iP~?Ie6UQ!U1y3x86Qm8< zOrf2NTA#_Vq{~4QE_5J{l;RKbPhD&-i^CI2s}g0QBiZtj+IhJoSQzf=g>t{}J18w~qxN@){vYat|M&iB zsuKrsa7^3hBiQ26`6nk!zQ7qgk^S8-U;qkQC^Ywt^Nd4@R17PI6?pjALE@lda+Spm z#hJyGI1A$-0fB$R+wnLRLeX7(nEcF=RQdJ*bmh@1q12M@StMUDgk@M4if0iF(`e<8j z(bx4yQ)4r&t3rvZ95^0b5oW~)kqei}38q^JC zyrTMHpfcIn#?v1CRh~Wibg8eM$2W-l1^!r#j$V52Yf|jQr1f<6ZqdR>=$lA}38%tb zenOtqIhXVgi;3}c-v`Lxx5$DQG~SQC^Gc5UNq1v5<|ANn+ts#Dh$#Ul#gj`(O>nql zbP;&kF-L3L8z^g@e7NbF>gwWVbC*#sywx)}b=?9JGMacTO^v;{)jG2pENKb#^E(q{ zn0ongdb$mDHN;Pu&A_Um7w5qNr}w*$7$PC4yYzDX`p}_6biFzJ)Wth!Ju`HOD9FjI zY8y|x=~aG>{$YQ4HAMO~Ir>A%-TC=5YMvq$y%4<$lTY!4#f=M~A~hxXLVJvF0Ysk1 zJSjt&_kMTxeO>*vMYSFg?(UwYSw4v88N1tdeF7b#Qzpg?;iQ6FNldE=);}C53r|@F z4mToOXE5jz^NXZV&fL1W1eSITJWaISR@4g9@(q3f%J8S(w@oNQg3~C|>BPac1HGFo zr%#;N@7@KT>@Qw^Hnn~SVsTQ|37*iOZtCgX466UQji){PiM<3*UuRsj{5=lc{@n|j zryaeDg{NO|S%fEnB+<c?9q^6$p)3-0bXU)@#v5AJ->*{DJ zc!E~Nu-evEfQoaGC>;tqjv(DdZ64lSIDLBmNt&mjOTV31FDE1OX`UcpnSy_M_gx!L z-{qfvcmLzN7|%Jxpm<`;ym<8Sdk|{aZ_lF!Pq`FOxm*D^GENXF5;&0c4@O`jN3i?% z?+t6n8FQTk@O5t*Sl@Y$OIbB_g z)HHa$q4p9@(%1AU9{kgE?e&>xUOqN>;HiM{1fixV9me5^+`tq3Q@{!Eq$2Z!bH+N4 zw_o|OWxWGXcPjf{U8IW657>Cx!#}-TfBooDX9zm-GX4F*Q+Q50HrHgo@3`Zi?7>qm zB;o=91>vu~ATBpDGB+-lO9V&gX@V{|GHsW6xB)y3Xpu$8j)wf@d;F6VV}~c8g`dW4 zFaPv|cru6t%7lmr-;phIRy26(S-pn!?u|`NO_|0*GNo+j>0pLGwY5!1f`fyx*lE!9bR zZXBe?sj9jRW9qZFd0HKtmdE;248z3YD){AoKex@ z;QS`139ir)18++IWaDX9o`%(xcglG_D3x{NP)6zL@PYox-=6MIC$^7t2n$vx7_$;u zGLdp_$txwcSH&=92*EOeRW$Mzz;racEGH+R0wi`j^KjaApp78p$sYABHlB9rU(hy#`3USVEO1N?lkDR~;EApec61{CNf4c8 z?;o63pw9}{@HJW`F`-l_Od}>tD@`em}8F!SwjU z2O3FH+w@@XCi>YQI~>(K?v0h*$={i70QF{dJ@C#U$g!ZO^FL(cY4`ap4Kw_36!Lok zB8n$VFGCW}ko@@B15zP7HGd!pHvu+K5fPz2VG*J*9NrsLDgzqCtF$XB)m&Y+CU&c_ zsvE~*ek*f6UQYkvdS?}q{}7&>cX(y6QgNLK4n|*QiP5OyB z?5uZGqMQ^5d%0k~l#`98J?7ut__?V>ER=e+(`UAHs|)HpS5Eq?{4!EHuU=L2LXun@ zx!f48z|n~tgG7NR7uj(%kjDi)tu)oCB4QVsh85|7C-&cKk$N#G`CazfQ-gr`o4P}6*mK5rpBMaT@@=@B6< zS=xy1%%iEe7N!y=5Ddv9TQ$Qq%bhNNAD+ zJW4?RS73E{>cz`H&d8vi3U=Eb@d5|0NpR}h|HZ?sS3 zi9Twv^ZzOQ(~oRC?atG$24|$MVIJXqMD7d6wz%trctjEMa7m5)<(EvLQ?|tPgEqv_<=lGy>|6a6iaUt zWz7@m$C|Ivb&OY6H`NElE}PTz5Oz(sUj7Tp5YX%JBf1{p#mfnGi7>N6MxD2;B0*3f z^yxMvv^7i*Eb7&@1H(<*Jo$3DL}5Vrr|kwS_(snw!@NCz2U|S8Yklzf>FcP#^Wf!F z0wb@mFoaJYczJ;+9_63n=0jThJV>==&U`{wQtIuSGfC>C)W?q>x0K`+6cy|Ho5sV! zYWiDvoRs{AB$02_Nmdn~oZk_i{zv|aw!L_w=56Hh)ACETX&u@icb&Pkpy9@imp3n8 z{_@K&|FUhD{_uJ2;{s*XTp{5}HPJA5qsLs(qHll=HV!uYaj?g{La%q@zL9Zstpn|z zB8gEA?J;_T_(RNjwDGhHPZvy`q@dG{1Wd>{`GW%FGpPo?^t>{bcacJIT`rgK?T*TM zylCi&mToSU-+A!h0qJ9qBbF8n6ooMpO#}M8>VavA2#3Rgr9Nc1HD3R{f7%2 zGa0^3I@Dowi-RSAf>9$G9Gq?bX;=SrWA)lnIrMZ)CP9h_-OBr3y+U%6I4FCGd_WLVp1ZIfN?c z(fsY`guvcBSuoliD|n|LqBX$Bft=qtrPXM4P>Xkrj|LbaC<04YGAb{9Z8;Biu*FZip9lZycdwW3v}Z7#GMN4_yW^|3KfBaUq8M6F=c_f( zPbuUINtzoZ-~Q!GpZ@vJfBwyH?mv0-==IZ&zr2*gkk_WV`ulTP22FHCoB+9mWBXQo z5g{XbB7p90c0a`SN1`KgtvE8Y-ng!BlL9O>7e_F7S-k#m!7>?J$HrX ziP)7pA3yp6`X|@{2itkeUi0sspr60oxv|u*R`w-^+^CvD{pxE+tTk|PF=r3=5rzlC#zlG>-$gJZ9ILqp6=P}zkK~_ z2*yCkogL%avp@Xi<95n5&^&3)nd)d>ce*ZIoXFw2y!Yv!|3UHe^!1Xy>?@^ zekJPE`$tZd88a1%5JfPeCfJ?wa(=W}sM8gq=|v%eag^Q>p3uEsE0dvc%GlrATc>=G z**^^bM6Y+w;OX+S7wbnUo?uwvF5da<>1P<&`7X^-@pZ{_9y3|FU-{O-V zb8vq^U`))xlP3=zBMM+{qJ+nEB+?{nW_Gb3TR-m@En>sP%IMi)_{DFS!+}VDo+f{B zgB<+CP9Ayx{Uejc?4nx}rm=IEk6&I|y1ah7^SWB%qbc+|gG2#%qT})2;o)jsifpv2 zaTsQjsQclcD4w>2;OWZOpH;TEZ}WtvV)jEruh5^B_D_dwJngE_9J@J%4&B zFGZGAtI^=CAvY}q4dg9VN|nlbWg1>ETy*4}rc;t}z}d!XxR%-2Q%6oEpE`8}{z)rA z{;8qn=23L2y7XxJR*gC@F^pA66_=l(4Dr*A8^aeG^Al=%Zs2M7Mnl_RFX^vxGSIst z4cR7pZ0{T_l`RLhH+We1Ks)w#HhsbF^-{Nwp34dE^Kzq$?(D!5lbj2a_Pmt7K(?`| z|Lvm?A>WlDl)KsWoxApQ{n4Ybum0IO)4KlgxtS1GwD0at=fXdMC)iB zR7&pTw<((~&FacuPDut#r>M(9Y4E`L^F5~9mo9z!<=qzzCX+g^g_OXWyset0~m^0+#Loo6bt@btmK4-QhcADVu5 zjvy|M2oWGT=Tf2st{?*61-V=iDKF-5a2Ihz9CW>e37q^zqA(7}n;u7Qd2_rmtvu8> zOa#{^3YB?>;TFb`aqxTI-l2Grdyq^Ps#6+LRyI~nO>S&VCQoiPCpO@uyY2R+Pr=j6 zX;YhezJNV47Z3BJa3cQcJZORq_YU_gE-nHnfOiI}DTlM~4oENs>^+&s<}h&_avE=;e!jJ`hf18z@G zf6&+7=Ze*0F-ee@BvXA zwo1ed6QzXu_yP`DsBf&dw>L+Y;_Z!j(cYnu@o99b6cyA~d>;`8+z0t`@N?d>P*rTK zcT|u}S6!X0>T2Fvn{94>UN$=3EExn()9W-(@J}-(MZA%&pm@LdZU3Y(!8;8==Q}W9 zrp(mPb72$y3Hi+G=1^8#oSh>Gvg#*B&*yZuV;p$LR&Tzyo-Rom9nPl5Bto)8oe8^; zFD_L4;63Q>_F?k0DwVR@T>QX~b(r!`hl!z~5;;|c zrX?m+rOZwYOHpPUt9;lR0Y|0tRaGTaWpnCfa4|ZKj-z6^@2l5pxAGI&*%8@u9rcM@ zTU%W^?W__9ZHY1r|BWrxRaKZ9D-x8|6?NtsopF5J7*uvIzj~x=e758H%F4ous$#q& zA+c_*VqC-8(%Sy}vk0Cnu( zvC)y`(z%q-gwhU-@l{sA^PmomX#KJ0j2KRR3b{CP*I#;9)`SE}YJn#L1TwzkF}F3*-4mzyd^HXd$ujf^~BsnBV8 ziFH-lwb2x9#q8*Kg`@%TQ``DE!V?C!n39T%`5j$BG)-6WD!gYx{z(&B2=gN*>V7;3 zo=(S~JRCLtFgEDeSxQZ?!xKLAlcPQ1>HlM1{{LQI0H6l)_-r30F-XRVF{sowGm}kt z+SoMT7)#352^o&ubde8RBtRNnAh1+Qxd(DsoOD0GgA`Ao3GcFd@xTdo>=-0c^~RLM zk*=wtB*}7U9Os2UfVaj)sbajtGnjrx)y>8gUS|ED{~`A zXFtDJwG3M^Hdj|ycP(sS6e& z!ovs8QuBhX(-`l-P)pVDfQW^?TA zBT>=m6Do+KErzZ(XAvVjos5eA05HK0$0H3z^F;jwcOPq?F9(WFmM@1q`tIW(=@~^A z?gfF#W5-n3sio-*z8G&mS(c1EQcziPEIsHxARsxmtV~u`Ru-F##jmUozkqjgVIgiu zkP9!w_mz>&#Cm;InruZ`)wD3Wrqvbq^bVVAZ+;BBJv~rdV@fc{MlC4e4W3NHy^Gc3 z#5y`~$;&hK5S~t)Bs>MV{~{8_Qr|Q%^&@-dcMy-;_IG>r&uj}0hhUr+?q=zp3Bv#< z?DynC{0Mh}?C+v|1Wt~*h@#mfP>svY%>_@egGBesf`}Yjx+uUzXrgZvPqdMK8T&kO zLqtW+WA^PMh3fA3M#RGVqtNj{`LMsvWX?|kKWEBD%VJ{zRX|j1Vc8^j3OJEGx&~;H zPoFAF#vL@BBG-V^?;kl5P*#TL*=D52n;XYf%XLk2Yipyk8i_hJOD?YL`|MhIcyLHs zc8E4*b`ls_XaY~>-nZ?14*;X%@#cr#tg~ki&D8R(lzcv{)4`RyY`cA6qQ7yd~W%F3lJ4p79vJSm<|QatS#vYIqdpa=$@ z=vD_X+~AN<tncR*L zgHJjFn2sP3{yx4T7794Bwy`lfYHY3=-5Bp!UK?F8mVPKDWnIzb*Cl+C85Mr0oHdr6 zqDZph=>k5(w2=%HozFb%UELvyJ4>lCX_Vdo1v^0Wp!T+W=B_*ud1iQ@hgU|16O$@O z*w&B3sQsPq%4X4Tr;^mAGdwUP=)C%|KTc>kst!_9S+NmRh`(wuM0ucCI4I(bP9Hgiv^TEr6NpX`uqd2h z6i@L1rzWvJ&MnTZ%|2{e+*n(C-qhIN*x1;QVoh^h9rb0gZsF?HQ&v3H3@$GAH!ea) z2OEU?X<(oi{aCTT^UlvkaSH(m7{RQ0BGJ^lw*2_s`SD5|n0mPpF(v87N{?}+I{eal zI(GeLNkeC)D;FbGMCm>%o(J^f-F@A}eEfY321AM=CD0vwoS}F+NnoP<6JGRU2c!^~ z)9wimSmlU+Isu}<6F~|{vb4>0X7lD2R=hJnh%9aal$V0DB5vt@;6r~b-ibDvCw#*X zjxN+GCqJ3oXj)iXTWdD!p)SaqFDWt?g> zShrDR(|wRIY!{&z@@yr-9sqkB^BrK zIbbOMa7>H^CtNN5X@@7M>?z8mXc3>Li0q$R%I(q#>r3CHkvj-RadZS@D?FTim|Y%A?o5JjrsW*vf%A7 zMQ{oLPdipPySX@f`h$~EgeT|=&`+$h@8TgWaTHLPfA{3k-EBRc%|GqV)9;==A@g2` zhB7jU=qY3U*|R5?$)lw|U6`(EtVSWOR3<{786n`GyZgn*PhUU!=S=6!%`ZN!?3B1< zu9iGtVTr>OISMG6Cu{cv+h^ekcTx2-qTyw@T&hD_coP z8P%#{QII8*t(2|kM#~mfCX?4TVq@z!76?0^ED)E4``Y{932YI^HM!67Ar*4I%o5Q8?@ra+c((s3eKJ#U5CEU?= zTz>J+)YN((rPH$UwD)|@KU`WOJPi?u?1oxD|K0shF*cDDP*OZ8&Fe)W!W@-6T$~vp z@L2i+^6|g_;r>@&J$drHsGOC)YbWJ11x~|xit%oV41N!PUviN;+>U|g< zEId)7r?usc$;r``xs|oG<)*5^pY(tyVoA5J%}k{Fq?9F-9pVmBfGfa?C(J7=?H_&~ zf7lcH0>aak%MCAXmphY&Ds;x+V#fLy^mG8q#?ziWVSq5En6_hs$FzfGv_AX%^|^M^ z4TJ92Bhs2%Ycl2XD!Erk0!Nhb(HBtD5uon>;Xd?qA0Ky9j6L?is4!2OCprOz_{rL= zdBU6aPgJ_VJ-{6e2YfgQ=7NM8epiLAs>xXYP^YTa8@24Z#-^;MDwTe*zrR#7S67#k z&{Kd-KeesHg?>0<;R%ai+34gbGUjWae6rk>IC!BaPc0Nm#iZT&y_(dpezK_DFS3jCq?$Y8*%8;r!8gM))BT`lb+vGEsKJgvnOJb|OyJBjo( zzBoR~;3+&3>bA=qTBXC*el6T*YmTkrs6;%)5*vG%kK4((v8#RlKr9*b>x2mhjc<~l z7y~4F($Ey%!KBM3-0;@#chAPtp#!GF&{vaT4|$SO=dShSg;vJW9vfNN{B%kt;JsJW z!jox>vTpwV*O2=nKM|fNKkeXZfv2K8;AuO9r#&dI@mj+ZV3P+-JBnAT-YXpzP-MbO95yQw!Px2F?2*Kis z%5?@$lS_cpJFAfv1N3-$LrbEiS?`ppn+4vH)x8XysDg)noSq~!5L3gjb0%r*?&v;$ zX*fCN^jnj|G|x$LOs2=eo_Bb{PmC<>;s3PmC-$8A;NvtOtPm&)%gdRSb!1NmJmG%F z@&!fWV2|7NUAb8)k!#D#`+xm_j=RT?AHDnN@sA(;;FOH3?YaM6Q6A1Y5C-4?_U+q? zCzGJ4xsvMxf}Wgr2#8pKyax2|z#ve9>UDI4bwdt)KJ*8L(HRVy7y<^fcevNAG4`6f zdiCb|z(QArwRgF9a=dqVx{-wsUige9r1m|*6QG0_Vkv2NAe_#?$_&(;`WA;Vo?N1v zAFzs)KA4Wd(bg4{o0<(!{W+o^p5@=$n@wRR2 z{k!Mk>EhLEhaoShC@jii44l)soN~-|lE8rB3%>c1?egegN0V;I)YEP^$$$0{@bn1Q zZom2!2CMcfTe9kUET^|;gC^LsXZP-1yY@9MfdZfaZAtb}tVcQX#6d^x8Eg-iI#m_&C1vON~C?cHiP+qYs~eG}_Bv=NfUq zF;x`diB#hRD1s8ofQzO5ULCdIN<#8IQI3A z9vit7if%9RdNo0R0s6tIw2_m#F3db9V1>ac_#JYpHf=~-_osV~UgaYE#Oh?u7C|+) zHNBOrpQ_8N`Fs)p3vvigc`eq!HJH!m$w&I6z1oNHAqfkpE$d~I5a%eoL`Xt zg1EL5y6rT7jt=uU>H++u*W#o;ixFE8Z`BBzc~L3 zZJQ{V77KBYB z8j4f|gr|IhQ&CYvnN{Z~Q|NTjA^-|Eyh5{~Ae*jw_y8eZ?~RPOMN(1XsYc_@2TExeFX9p8pgKyW6s$kpmW`XgylFi@JqJ%` zKY!q>qwOjMm;AuVHGn(^$XgCit%${wvLvl7wV_5LcWR>TX3#zkn zI!65(;DK_|Zt8^W84o0bI&)F|?yavdIf;Vs#N?;^;-V&_jMHLk8LmKvg4s8M2n4i; z(I5t(d{OtvvGXCjp#XRSYS4WduU%c4^U)Vy`?hbArO1iaWD2QCI_@ZU0Y*6K@Op-GviFleZOT9q_XoUEIYuY5cqOx!F%i0|4nsKe(Z| zV#sbRF}5hiaN-dRbF=AJAUJ36gb?e4Bcp?ZL8qo(T#KryezJagWdZpHhaH5v)PO04 zj;?f)I0AI|po?l`%BOd}4m|lla!!^4OaK+0|G+LV$K{?1(=X{Po-TB$W{qS{C zOL#d5c-2c(DiO1wQ$l**ni}A#+@WjIHC2{51My4ee>z|TsiQPviz+QtMNDUBe*x(w z_Y0d#dz5w3!4=9&krAlMYe;7KziwTS{w#Y{bmC-4T0xCN~|q$0$mPct(DiAh8k zHP=)4?!=Pl3nL}@Iv2gY)8qBNy}m`LeL)V~nO^kaf3tSleSPokITYmMiy)(x@L+C! zYq<&FLxo>2)+Cck_dwG%r9@Hf7`YV4&colj5t82*qMc;l*rgYD1dD36fr83JJx=j= zbtVEO`nsO(xp+Er9sc7y1u+D$U4s2MhZqCaf4qb}?~G~Kv;jJyq&Tm3X7GGittc;} zfR`?`zAxj&&fMkZ(xuMe?z=kmaB8aCfUYmH&RQLwhhTt}U#QdTguT(inTmhH(^e)w zQKA7n#;1dKAz#Ayf!=_rg;;Qr&N%2ZqyEHLVr6=6Y}!8-9Ggjwt*pfL)2pkiGs{bR zk)9Tl{*^mR$;j~DF~6S(Ckhr$d+#jI7@W&9)}Tk(J}_&k6K0g_N9J_~b1ib?v}XGY z3ue7F@1u2=a__q)pn4`Uaqg3Az?6aZE$GmpUH>D6+H zGOY&DmI_H`?Qo>e#H+@fCZeeD1>F51zg!d2)z*G#Yj&NAW=%uO)kYe#fim2TbJYiJDMEiLDFq$l(0^rTM$d<6=lw2x&g#pST~RQ)5Y&VR;5ky;x|-91R%8 zmsTl1O*&)4*2&eC;n1CW-?)FS^htgKNPAD9VdNlUm5U}mTFWwN(9d0Jn>9>_|2*;60-msAb`vHCMN^AEA6$C>gqBA%c~9fFEe<`V_0#0Jk;0c_Q?2L zv;+$Q7+emk6>JD4YLNmIm!i5FZ#{|XA|`niGqM993V~?^XzIY912Q!1(#SI4_2%9& z)J^&d@A6nMIc*(IBv;0ojR|C}Rl49!&P8+!<8xz?^ynWgtd#|CMBOE73Lf6Oq)Aj(9GTG>Lfg2JK6U?c=Y~vpr@ll4tUCZ z8;`7a*-;Ks?;)-?~p3;TcZmmmM`0_!c8$>t|x zNt;wqBGt*#9lhb>mv4RVlv0VkIlL406r7j*ccZ{5WxFW?}jh zRu_nLW*NUgC14CUxaA63YK6ck4gEUuS3@!3sj!v;%1yj#(X6H6DU#+kzSxLZjU;2e z@tNkxa%^}Zp7bXpz5d>nvDny5d3>^v;L)^Y5>vA0igN?t7_z1HsCU)%oj7hcY71fDWeFWmUxgL_Bb*bJ6{ z@I(pg-upki3BBfee)>=QIUoH5`X@4pMlpV&b^k9ue)o5+X^fyQmGaZ8sGr(WQ_D)Y z8H!@68O_E%sWIGX{S&pbx~Cm!kpe z%0e)@I=2uUUm^+AZS(ljI6S0Cy5ggbF=BFc72V{SSW{i4lrQb>xIb_o{?R-851;5b ze;{0UFr_*mMy0pwm0fSaAm$q{AU~0Q{44i{qUQ01#N6DH?{;#! zacK!%;_>PDikl)Hn4TF&dcub{7P}h^x!toishW#D>ZZCkfv1B!&Oy)l16Oudy?$;; z^9ma;?b-KAZFP^cfeyU%*WVy&oS_TY1)Z{Pgzzo&8jeV>trT zXW?@3gZZ|XFnX1YEQvBBRW7ZP)TtB})$*BRSKddET{QfCPh698wQmowc*?GwcIlML zI+@ZwV38}eQVx@efF>f|)9LXfSU|A}ip!K^+{zQDv(!Lo+kDaoESeb22Vf}n@ra9PbDRix)P2INv2XRw2P7#FJArl zbolsirP0i(~*98uv*HGWtS=&gem9HT^HTvrH!N_v2KcE?l`DcR5 z-j$W8Gd{h1H!>VgPEyZqJUFJ)$>oO+o=~r1;iRP#+&;uKr~)z1DfGnaUuxTsnn`|iER-l%Ji(uO8~LkjTF28f z{8+#Gtt&g>XOCfXRzpXX4KfO)6PAC<+#ssM6jfcFRx1_S)yrqT^^3=kAAkJuFMs)$ zk3V_vgEy6Y=*LeN=MnvsJ$Cl&)YLJ;)2OS-B;#k%#&qC@HM3{&n$8A`CnkvM$`IKs z9*b0KxmMTVvPiQ8TAREH!YFS+XMMfd+-&WH$^f^$K)g5R4;b_jkW<6fU~(bubw(qB zm64gb#z|C4OCt*y2)wrA==VHL7FB$P89<;CYk3ad%Pri48<`JsF zG$B824fs7aZC#}@(P7axS!CQScuuFKbNC!)`2ky$KgClP!3o)kLwKr56H2gzkuOxJ zltyFMXX-%;HJa*>dlue#f z%Re`D>-+enW2cRw+DG2ByzaLcxJeZe#E^k2ocZPX8zq?V*A zq!p;2E)t%g_kH)?qfb70@cSc`oVtM?A(NkWZ!N9Y2%4MCFucrA+3dhm3gL;%8k}YA?2r^e(?BX9C*K+_$AJ}qx+@66La3>eQDpWUAx7Fg%$PUys`|5 zu?cv}0G?7fd}`%Texi#WP{r2iNQO*)0@Q#f+6GqBP)7VGY*@_}_01Efb{yvQ7%TMd z?&CdfF9;-~(W)m=gN}aabT%7a_{5@=HT4X6F%I?4Px$}RuG;VXn*kE>v84* zH63YHwru`v>ofn~@%M!Ic`STA;L-9pt=v{PicuO_OB`Sy>r@-Iq0t~PmXsOwI)@SU zxMU3V_=g`q{@|Ar6Tf`)=)qCBjH4VF0RNN+N3mUy7!(znJ3F(BGbCD_9PmK_1>DeS zN8LdlAJsX(3O1a0i-UzvTsm0OA!XQrOVhT@;*ugZH1>m4r{3IukZ180RJ1h7JM89q zbNmv9@mwLSH3-4!VD#+BC}@Gu*};%e($hB|9N)X|oxP2{u^FSo*xT#ydc9-uMMm|s zy0Q}SN4)x^AF;eRJu~g~I^j9()mlc*UW)kR!{#r*?7Eovua|beQu{*E-DhP!nl5(BB)oI|GIA9oE3qor%2$c-ozJKg;S_3X>oS%#2$~K0 zW}ZSP8k;}U_vq1;E2JO4ckgEhmC79LW$*=rCybAa3t&}$x}Yp8OH_yaMCCWLi#UVI z@^lVlRXJH%{3;%gE`IR3=i++Do_F-EO9kk-8F;TqV5vNJ7?WJ*X1#?PfvCmi1wG(* zDSI7Csi#kAw>hM`QE;hsO6B=ko6e?{dXhd8AT%y5$Cg+9cL(p1EpGu z&ul&Z>BfPJo=Ozs7?Gm<#N5C*On@!mV8R8eGT^C=mg$zHfG=1M_4ORNarm%=@x!>u z6KJ@m2@el=B6)#RXM>KmN+j3HE9J`ln9AcNKH-Y zS6BA8p1XOtN@6pqg%;U4xNh9!xr_x)uLHZroIbN(XB=_6MD6ZMSBIs=5tj9Ix7(zB zrjGegM{^=!E(M+-37#9DTpL7Kf?|_NP+-=NIM(1`ZQezvkyGJ)qN;3J&B2C z;OT4AGcyZg@fUY(eIYTET|=7`sk8Dlh%MLxFj0bfEp_8Mo}LwF-E#0xd=C0|?0N(? zsGC=Uj!Q{aS(yQNdab6R=Co8XYxEC>diLMw7fEiv_g>YxR-w5|)zb}roD@z4=8j{> zt~`7=J8|aBl&P++lEd~}=n&=dcvV#lSBkH3$`PJ&R3fqwW|;U4-YL_>t)1xP>^Nm= zQVXC#K6n^HzaDQzZ!$P!7@k3Xvg{(cZW&sF}W^{gjFuW6N#Oe ziU~kMAYoBFnb&*r`9638b!iIVS5{$-99o&)yXWP%2v0M9$W4Zaw}f4na>hyYnXFCx#O#jRbYjVG^^6uW<;0B`7Ob8H?Lq zD}Jr`y-J0yCyW5lni5-9wsUnyo7kcAP&y_3#&0j$QoLl<9=F zl1d{gyz$DZ%Hc^MQqRcY z-Jyy4W5b5vw9gzL4h-JK@NUH6Xpu^)PfK0$O1Zj2Ym7ybh9vM*`gKS+p&4hLGM)x; zF+4%+g2v5i%ql%sI!BSCL`{f$5U z=|U=0zvS1m$+DiG{v|#SJ>9MSM^UHncw90pfC_*Md1fmg928x;a61E6U@R{FuG4F@ zIa3wpL}W-NmGB`p5efyuGU$+mrz3{qypF`ewF~{Urj?g)q55hug-*J9$q-j8=B2s(`Mu+ofT!Y z!S8MnW^e?jnFLuHl);$=)kaY*1!7B z9zqicsP{Dag_>Ra_SKI?iyJau|KpJx{TCqlW!GJyeRuQbAOCb=1HozIXSd(-X~rSw zpWv_Z{okHMa>^+ z#}yXCN3*zr)j#FELbp4CNKjr_oROu{HdS)cSv(>5Rdn9vpnw9qi9ARQd=9Y0@0UQk zj{KClWy=>gVEG1DQC3`3R$-~E>Np-6YEDGKVZu^z*;=ph>(IOt2;(86DvKj*=~k*Z z=|Yu9D>NGvLVt3ykr}U}!6`N-5p?9SFnSU==ERARd&mGE>UzVZ!zWgcGpN` z*uVVZzFoOv`Uc?X#)ns~kUkR$2?rnPGrxE5RzHyjXy9$yWLZD&{tZs7UIlu(*I&Ot zczV6{XOG@}@cj#D_~Xh|gRXx~xd`=BTia`GZ6q1@+U;sSHM&jW9#;tckFhmvfq9?RjKYfa~J`Fsuq)6nD6+L$Vp5MM&BLYwFr0tzPO;4`&? z7KgN}*&nEPCai^;u?0<6+^)ycDnZb#Y>}uW`tBBoq)Mgo*jns5n<&BH2~7CjMxY2* z20jWDQcr5AMrNjpyf?-5Nm#Em`|8CN2LIXQ?fNg#UqAnLSk~_MnvtKxES`4!;=_rZ znS`gceXqa$;rsVsFoxKexp_T5JtMyQtsnh`@I>o52~R1%{n-b9`SBlK&qSoMQ7$l+ zz1Hw*adkNmRn!{{%K7Q3j1Ih^h{00>p^4%Z5Kwv9091A!@MN^Hcw*}(VCNj4E_TRI zRD`Efeo~A0Afp6aF0$c96uBE4kf2BeoLk@+HZ}{ITU^20wb6LgU>@r=o8xxlpnFK- z>a&FUJaSpL1NAtFf+joecM?}(vXpT(m_tOPckVu*dCo1yDZ`o@z2L9Qoa7~ zchAjFzj*gZ|8HCQJRS^KNuT-f!;g-%rl6K$EDuZ4vINSq8mR*dSPaF$lRK#7wWhts z#H-bql`2Ml%FCy{ce{7(&MnZ06bcaB83JRIoW&Cp9FSW)eifDCd@7-cLdcQiWaWrh zJfWArW#bpyO2`vdLA%QIc4LKcdFHmb-aO+^ti*3?fG6$zs7Kw=XPZA1k{Lse$l0(` z>eda7gxV$cq_30?v$@z{3<3HngrDYD2~U_uA5JEN&?A^ZV`(%Br&6ZIsG(4#4xjDQ z>9DcdT-;DzS*NU1>UZyY5%qWj>hb>T2QOr@PCYp8aNb=wiA25u_<|qn=JosCzX$Xx zAKd!E4d@F5qAEV~&F|lN1Aby$Mukg&%E-cbm)3IHDeTf07Z?&TPuf1u)5u?9GxGrX-6^pgwBj!9=QId$#UO>Wm7%v9#6O19W>{E z$tV8O?%d(|k?>UCYCzVyD7I;F1 zpdyj<)srw70t44baLi!#jCSjqV`PsA*};deHML!UqIsng0hOcR)qWAbzGn>joL7Z|GIlhS~p%kjM>=LF!Xsr$0*%{Q-U zJsw^2tGT(%rl38g6ljq^U0@CdZMNQkE;M4t5j7Ma9KyJJz#a=?5tV%`5;SNqynypA zKr@=nn(%?aj^@w;YI6W;DIOTTIO=vN&`*-^s``0VlrFhBlBx3pvzG_XX(eTn9+gtt zlEaJN*(y%@>$4kDQ?gPwuy~@=ZVgYHGgG+RHkYJ)X7`(ax&i-!TM+yTgy?&avkIjr zdJ&#zLKt0t0pUrg)+^Gu7Palz)mz{D*>_It*tz4xsZ-zCvH!%$YhjO|thiPT2ZM!J zq}^mv_VityFln#JsC)u$C{Q)^S8=MUh=<}KC}(j1EfuWv`CRCucygsu)vDg9R_R>B z)3sVXEfySoSpYu4em?x z?bZ>(6OEy-1o{q~9qh$Fi@JwZ=V+5YPFVHvV?CD310rp6adW%KbG}`|NgzKZ{PnfP zz!IqN%})csVhjwjR9m*Cq_6Q&^wqEa^z!}o9xaX?0us9ph$4q05!7tkLU>9~ zl^UfjHc5_2qI&zMA3l2Y=$8+#Kt_J=&7(VaoZSERfT%2+W?Q!UU>n{n5>%f?8c^CQ zk)Dv`nBefKTB;IJ5d~D`FnG!V%J_8jZ~@)SZRl^LqJ3CiUQi^i-Hmi7eu^h%0^O{V zhzw5y3(&DE!>mD6Xeh?!;m+Hsk2z&JUBECI(Dgd3v4zP=7Eew`Qwt7)#NfYk5PrKIBhk;y7a8N$|{ajuIiEPocaZuK;ScL3bq%O2^>xGtQ0n$3NraTqMU#q4t>o>-w=cpX$)R% zXlQH0KdT|FtOT3^YcD1iW7}^hWv9I)u+-};7Q5YoMh_ekT{BBQAFU8BfZz&CsVfQ# zdSip$PVr*QXhkf}(VqP%@)H;+oOk}H2*xoYs+oXk5k2U#sUA3U=J-@N=ysVpM*HRu z`J)vbb1#;uU=N^RXz; zX_Em@IPvg6FefPw-$Hs)#|24Bf-Vm`l8}*FgSioElHsO@?d9THF~MoO7+Vvm8m9$P z-od`^ZVxyjJi_j~cYI44qqis;&=`z{3U9N)8jWhO20s>y#1JDf5C$XjtDp>DU!}uB$81Tfyu}gW9Cku~G_4WBcQ`h9?p;t^ziaQW@gi{d0~a1tD+1O!9r!zQ#{c#gUWn~1Wi0{ zl}dyQ7G3U0sm@1m(@QeR__St2YDO9o6o&8UepgrkEY)_>22RkCfr!4&=uinIMw_F# zC9-Hp7>A7t?~qwPrtcbaM#qq*u&iTsW(K=tnb0jPEKzHish>iZMyHfd*F^$O96D-w zQf)`L$7oS1+egog#3F`@?mPQR+x-O%ui?Cdz+l6Zcsh}=iSZL#ug9NLj~_op<+zY{ z4&PildK3sEuuHNOinO$vwqkqx@#7sPrM6DlF0VR#;{A8w@C*O(_gOskGk7Xy#yPXc zjY_pll_QWyrBb=}pYcS;C{hCOL{I_=MS=rn{DC#k&jE{&nZd_7BsW@d;votMADC{b z@2sC}tdAy~kyyeZY>q^RTC6J==rmwkzd!&AKv6AJbQ#Gm0g%FU9(u@KJ~LvxkzLEN z=*O+^%%BbVi9`(|9&r^A4pOp`*41@&38n4r?V-Uzr`dgHpSXRf2#PNjLH~#+R!P2* z{KVGr^lZN1>M6+LC_|unB66AucKnXi1+cs57;3Lmskv&Y+LXgNx8v5Mk6;-6=vTk` z)khyaIC69+2YAY%`8#;|_Rb%>HE>01>l+Q5>VPLK)T4r&{gIA5iIA%CUF8RGeYiz`&x2{Ar zRuN4X<5gW9wV*oHQrydVN z3jC$YodSNltFnFYQot~A=f(OSM{#ORDr;!Z@B~a`GWGZufu~KGpItxuPd5(CGX76o zuun7)jP_X;1W*Jnf)@Z*F7(XGY$lUPhMRm&*2(=3-n|DrU7-QtH{aZOqK^9%Pr2E> zmo83RIo{rV_Ttp_6PV|O$sG$OmWCoy6YOOU2q@w-xuBuQPnZY;!qY^D%wjoJH``;8 zmgU0l-a0ny(i^+yD=BX>$-A+?MKlFG z-LGuOtf3WC?11@(wMa?HgdPf@`Yg`}f2x7~oHxFI^X5(Zmzz}r1R36%X%0<=Z5yf>3y;$z{UvKS0PfpbM~@aq*guLL}vP$c4Vg<5-u#gXt9S%VAa<-}Ng zX(qO^{P+B1clDT@3Zy55gcS0);{i@0Tf4{A40~9kMdBHm4;cF9_L^s<#n2M+55gwo zb)0x@YI~-#1B%l!HK=UGySDM;~j0(Mf0h>Dd2IEU{Kk!8AI>OUx?CfaRA>h&I zYL!|dl2j340G`a`nQHF>OdUfa%b7u^e)P`Q>n+j-{9nI${nU4MUO)-ewvmBTYX6a= ze}o?t3F_AI^vs}rWgq|G_kV;oDTDBomcHYAzy87RE~KOpmPoWxYzX!=Aq}MCdtkR2 zwOV*T?cX7%<#9EtE``!2|7SdLIP5SM+tEpXGKWWi zg8fAr!*w-~YeI28RpZ&)9d^CW=IF3S4Z~yJl`iL{`T4=okem4N(ZLvlCxlP|vqty> zDU>2|lqxqSq6-GSt#4t`Z(Lp`I1!)e_lC}18Vo2%Bue)?Jo$WjiF&%H+M5m4)shaa z=hE2~|KQx$CxNGi)U^JGA3nT(fy~5!r%WvDJoo;)zdp(0>9gvu@;4cQUb~K$Sb_im N002ovPDHLkV1ifma)ST> literal 0 HcmV?d00001 diff --git a/figures/SoC.png b/figures/SoC.png new file mode 100644 index 0000000000000000000000000000000000000000..c26e374b666606ccd4fe250bcdd24f5d5a29e045 GIT binary patch literal 21160 zcmb?>Wn7bQ_%Ef12#BC44Js`tAuvirO1gxR(%p_*H<(%tdzWOt)?v6;yrt z@L|4j9)Um{#KoQx5>`^ z!@}CL#6%exnXw-9Ov~DM_winF({2euLqlV=cFg*3KA0W@$u>kYMA8Yo!HpHz(8|z^Zg>YrkoFzoOexJug6-O337~8jk0AYEM} zN7Z-2!b+ZuI!Vt0QY_%=>ZQV*vSz`2?Z4UL_}iiGUr;GDa;esh_- z*B5ft*x2Z?c}GLT$l6C+P=W`9hs7~GYHx2B`N)Nx#r6R}Pfw3YIYnPz-)upnXN+;U zlb?&RZAr;^LBZf`EEY!qJyG15nVI?XXM>4}OI*3L01o!I;mzpiD7F@x8xWzhB#(om;wb+?Qqyy0XI{dV z+L*TWFoD(6qNrk5WJ|%R->IjHK!5O&le6>a`aP350(Qtf;mR3FHj^jhAUS3?mjNzH z5sQ2L3i0yzFI`>V2oO-hJePu7_ASR6H@kTx_82U?aOB5oA zD~e}CJY%VcvyK*P7+X>Hz~%CW2<)Kv&j1e(R;~-6DP+eV(~(k(25QR zivJ7p?zJwV*==+8|3icRZHI~&n)hI-OzRq~z4O;fjCr=<x0s>Ds;!gM{>6N#iJDk;H;kcOorm+@LH}=Q-!;u4OkvCDo=}a6P8-;vs0w`M# zXzuD|pRj~j6hdVeF}N~P5l-!dlVk`zIJbyQ@0Qu zYc(%dh3rs4ud1Dvzj=zZ%0MRPK%RfKY35hw^H^wkwGfN6_9MFW{*9#3y)!3R1|JD% z$w6b^1J#JsEj`D@qCi7<<(k0VV95@>^p*hEtr2aE3a5x*mi09ebR1)o<9IDo(rVTB z;%wt=em+}bamKG9aPv+fWiie>&-Qs%zl7aPX!WO@j$~n*gnXLv&@DUjL%<`+r-TP4om*qXXr2>U zH=SvPCG)8JaJO&0q|6GARL|dv$VH$W+SCbOZZTR+bxEzidf2}8ki4DR?0t67)$ndr zl{jCnDHQx<_xP)w+o#~As$+PgNcGJw!J2TuF;Rb6z|~jm7@W^IR@qRG$bbDrblSV7 zW7Io)WUcy0zShtpviZ)?JZ>f@p%bZR|C2Y}X4hxnfKz=A8)!>tvi34AD}5_){}j6j za!f_{c+FMX=v3ZxZ6LeYJGLfRStxgyz+}H$%oT0v#u$N?0nDYxNPf-&h=1z<^Pshi zg$S9u3{NWPYS)y3t?E9Go|XkfEjhB$96kFh)2k7($nni3iMqF$BO}d2T%{6p{C5S; z=N6s=jn$-O9(em?)e>>{0R2*j`n}UUQ4%=RRaPZmZQ?cKJZL^59pvYf#mu6yO zO94_dK5=MW5w<~ltEoPaZqFCDsqKXa_p|%-Sm{Mqvy9n`5zi5AWSgB8;S$-pVHRQ) zNGKW^!J?_9uOCL`2;|7}cvg{9QXRaiq<3F-*5}rX8OAd+sP*Tg)szyvTqka&`{D2f zbMcs?mcW!zPn_N)3XPuq}L4?LxLF+ z(B?oEbILp3HH=L*+5$(LTly8^Jk-YEny8wd)}Qq^B0(RJ3JpT@x#s4dC6zB|sNm;& zb1I7UG~Rrx%XBDb92bj1-vt}h`cr&%$(9p$A!)DZENK(=m#Tr?gy^{9?|*YZ`O(|~ zv{yqFI1jbB+LOysu#i&Mz9H&hQFb0ZM-}U)Xk=Xd{j+fp(E9errK004_#c?mBQZk{ zPQVQ02Sq1!doMo#qhMttB;DoqvcP;ZYh*>CQYB0$W)ZgfrD7IR?a$YCeDKHJvm?fK zo*8h42Jf*Go4#cRp1LXmfEAtbcf6C)8sst={qHK+6@hLKW(`(Ws4K^};OX}QtgS+P z9rQeGV}m(|Yw-D`_XhcHT0-e+XsycY64KN!B}fno^S9wVbfWz@=ZeCLt`NrvA-9ES z(98}2EHPf55LJhBYG8p%JVgan4bvf9&OV({taNx_QO#gj8y&Gal8YBUp-WiklztSY z@`q5TGfSs})QIee@!KwFp*UwVeB_Fm>vsvU?en`SLf;{fndnkZcJrWZ$ozs92D1V-?v7$*Z4Q6S zT=_z`e~4OGy?$v%SK1=bK7dT~{T5Z40AX*eiGKEs8c4nDrpf)W0CFSk#S}xGTM_ znlLN^@sll*-1yU6Zz^rh^MkP&$g-Wqw|zDAQ?8+1Hh{w)k0f!Ah)<6tChx}3F4#wX zMHOZ%`XG$&}Ehk40FRi@lY(6TflkL`?I*~T;zU+DCT0dOF_?x6|j{; z3KD~{RY--%Y3-4$Ysik7pM5XJf(Zzi0}V{&!v*c+4w3*RA{N=lggV-Xqx-qwe?x5Gpu?qW+oOr z^d5<=hg`Oz$+Z0&r2kLig?_FQ55U;XM;+d0YPo-`KCVyaZ0O_L9vlL(E>tmzV=sU= zQkTnpAhSB;;S&vWt_YEqkxUsC)6m~_arSN(6)yc|VisE!pb;auTg20QdnCIO)7nF;{z)S` z^#;yBDiJh*12G@R69b;dT|QGmI$jr_3ul7PX@48-CqLzLZO$p|f2G-yPQ)2{u^!N) zRDiA|`E?eAVm0UkE%0QPCZHv(46yoIt}cQ+67A5OrKW)cWBZHZw%3+iUuLQ}9%!(C z4+skoNI}y0;*p9RS~XuMNk*t3`!J+XQLXgu`WFm*>cAx%CXwQ9x@S*mP z%W_R7L0H^M@jz}-U*4}lHrKmcO2~jVOycuM^RJN1W3%f*rgW!0sRH37348U)8*^7gkw{B3KT}}o zvbRVhx%>f*dDU-`h(g>4VC@GcJxwpgoN5qP1W58tFwWnHbvz7$gVjyHbF5@@0|~%n z$pf1TfX(L5d%hu%tAjd&N<;&itI;_mBe9fFc%;%yED7SI7w7runc2!`s)bhZhIqK$ zJOg(Y4ahL4w2&|e5Z-(GZs5(PRR(sb`(GB?(X`?u=3U-Nr9E|f5VOpef3m|wJC6gY ziJ`VCcz&$%NZj*rF}9(CrjBTzMJA0=uDXkurE?bW;vneCZ>>LHW7JZ623=+5Cj~$n z3}6LopsM=N6Dutzo-e!J^@812I|4l_RNonlB{D{OQ5Ny&Q~uJI0F90;vS}n5IYM~T z=dBMY8*N-av|N|QB3j12dbkRSly#>#0rJ#kgoa8iV>(v!1BF4ZR&*z`qfk~L4ctO- z>|(siJ=D=5Qb~`(7-;O(Qhjup4;Q?+SZDYdJ|0ZHsJtNR8yngxzJ;flR<|#TzYysP zoXgwGAXoKzT7FURlu9Y6AXjyHmL_OVXUJ_4Rn-KWZ5!u?(Z;d{M5MSMzlMNSk_+`O z-J+ASmNYNZzxYmjSKV#z!>}OBK4=_lF4OII;Gc7WW9krl=jaD}X{!}^%Jpd--`+N~ zjEL!7Xl~G`ndf=PoZvyCPU% zO`J$cc(QK(f}UNP!UR3|cH?tLetGlriNY2*R5${eoydAyH9?5hDAis*_jo!zV^mPV2QBG@6Sk<9CI_bd#(TZMz zTn*2prV=gb?Sm+_)X|d8g}!HVDz4{lmfj|bPtxrQgU{Pex;`)w|FF})=0n_gFmUKP z#@po508j}^BZFhnP^gq1X`hKH_sGl_NYij)Db{!B)#Az&bW+(jg6CJZr2qn+jQke5 zonj*{jbt***wZ3^-dGjA>zrRc_AN^Ykv;o57aZF2NsMZW6)?Wt&tl@}bbFxubdC)a zj+ajyBFO(3ZGGyx5dp`%NA`I~?hJ!Eb$7cK&11vp45$nZQc6t1GP8JkF&?MG`&yxF zq)W0!#$TVcWPZK6bNOO-!UOyfhB^vIj>Zy9f*_XzA)S5u`b&ief zh4fLQ>LbVDGv6K*3~g$;JHuDCsN!*!nbL6P1FyRnyckY#9rl;ir$UYMp4V^~9-b$k zro2M!sU;2DeNOfoyHsez(!LNo6LvGO2YX|>Ul5+3w57d*VM(2vOB#4aK3=_Rwl}fy z`m2(&=RFdBO=Bq}ISb+fkmf=~zYhlUCj5LUmC2$;R)nwLrweT#H~ z`pHj^Wr$r4;h!py$ic|ckNAZ3O5R63mT0;WI!U_j-(*F*#$^#|UQvBG{>6KA8FREYC6wn3T8F(aefV~PLH#u@DR>9lKu zd$W_Bf;Ibtw0!i+VGl<@IDnmm>}7I_*($9Ff8BS>$SRKt0*XiV83vF1bN(FMeP5x^ z?cMVEXwcMElaw5VEd-i$xrSVVVnjxU45)r zv_H#nsDX+gPfPXY^bJYwQOaP8noGCIC-vLO}qlH-@Cu! z?XAqMd(sN`KOM@EZk(JYe6haHSD)x~^Ym%7bTMb`zIfHvDZ1|TjGax(6>>bu)#M$1*p1F$T%g9;;L=@uHN<@^NYhb-nhME?8J>8*SH^tB`Y4e zh!NTO%jtW3FKs};e63L*w5K7(qSKNn`*8<-K@#p6YeUq?Q{kv2#hqYtzw|K(sST$j zs)g_g%HX*zY~QwN#@8e#&Cb&ux~BcZHoeooav#=WP~BnY=NBm7Y#p{}sGYQ7R&Hlq z;GPkr{_=iZeCrC_)@%s9vDRI)?(?>>H*+0DU6o3zJT{kk(qX#%LDpVJQ_4lAK2rC$pz#4XZDTSGm-4vSUK~2s)CXr*K=VW^c zivS$TYYy}laGN+!B2Fr*;O;Z;cc0-L7_8n=xSc@;h3nP*ns!vL1F{BbW1# zIX4HFDrHfk?l?Cq@UFoXhbTMI;wuLy^3Kg3%sh6p-zUSFU>>|ze-n5~W|?mxlw-Xy zdCyUCaniRTMV?_!Tts zh;c@>b{X!dqYU zUTxJ*&V^>a&ZZ7Q27o5qt+NY^^s&!Z;E{23fxzeQd3nMNCOqxxmvk!T;}>jn#y&kzM;o?B)viqta>g$)QPLY>0M^U);BkY0kC~fyIT_auQbz{(Bw%> zcM|)6O1Se0v*bOs0l!P!sQkFWXT}|W{_H?neS8%6_$}WHK32sopU;J}=!%tXFA@pv zaG)h;R8-yQ(7#LycKi*gjglHIm`d$feHA>NxJ!F;xgLk|jB1K)GDBU`PC27I96*{z z*m`D|hx#+JejhS@mq7>@nklRYCLG)?G!vot=4c8c>U`+*(;nsZP1Y@Lr<%vTdF0DH z!ia&B8|?0c9z(})tYAjC(ivL&H7->eR=t(9i~3$_W4q8cpUqL94G5!(@f#c#4xQ{Y zM?6VK1`u5j1G3Z{URa_o>889;f-o`9r?-^7WlKoW8jS^wYjCoAV$_%7Nd=orSH5EG zE%$m|bia~6Sg6&nA1-M&8Bc3RyM5tX#!TE{yIc*bUyvF9jqpXeCF|FPvby(R*Fa=# z5nhqX;b%ZKL&=yYKhGKP>H=~frEzCC6mTts3440}#pviez7$nAwAMv;LY8TKHjy(Q z9)>ReA+l0@(c>*HTk0vE_d~g1NI||Fqqk|k$=95yheRvp+*i0a zxOetDX?Whl&@u-+LW_GAgJvxgG9D0j!4bP$MlQL{X%CCjR}e-SESsO6D7SW6$(}TF z@#zgWu&U^S`;W!bm>kE?;_^y$x{1=snu-+!}X5v$9b%uaD-5$&~eIx|wF9cXhw z3yVaj=1uR2?c^+R@U3BAYb~|YaFl&W<3gRCjm2}$$rF7lEwU4RkJn--XH@ch#`N!U zb)^wV^LzYaLT{KzY9{a#c))VWHCtur17oeeKSW^xce6b|e^ks8E;hkjRHhxCw$-rE zheohBYpz02DckIyvWW;@44~Dy^`RX66r^D--QQ~LGA+(X|L`|@NF%jncyCBcItxGM z^D6%px?I%=>Lu}@d;L*h!JUqS|Gvl*P?tj5fmOK zVZhBteoBzs-!@e?-9w6oKX86OJDef)s6qo22Y(sh&wa|ktCl1fSTYXP~a0eThQ z2E(7>>cLwdzVt>d(XyXTGL3Xr`&^!kuAx^ z%8?p%08jI&_u(*YD?D5x*LexGJWzv2r_F?gxHRHnvPlF`bExSmrujU6d=IWxd{_)% zd?2y0^K;q|i^f}FQ!g@AN?nliv zD8m$0y?w){-oMY+yjTfj=S%@+@P&3l&<|HYTf_BlK&6_%f>TD3KUmvf`x0T@F0YOTCUfhPM@$q#xi0OPNlDX;R7K7H}Xg7g3K33%Cr*{GG3dT z-;Vx|5i;Rr^qmpN2Y0qfO&b5Y#yu*{9^`?7iKIN+I%%z!^XW9@2K{8h%4P9m*Q@ZiMJ>*l0Et0{GV(Qf_5&;8oR5Mh=q zChB>y6mSr3fK9DxU5G)&ag$gM@If_KKcTJ_A^G|5SNYcAt?p0p!fF|8*6%gyP7ig* z&9_X|`DC?%p57}#Lfds(Y{a^azlepMRaZbWlxE*%pVU=U2E6QMe|nEqIo$-`xaS)k z3%9{cn+y#rPlny*N?*jiP1WHn5p2Y`UAn~lT9CL~w1E(^UF}PntRr>0G1Y4?i$}0N zy@Wcq)5V#^_PbB-i3sjcPSv<3x7s|t$N8yzU@-NutrN2S-4Xnm{(CuK*Hfmk9IuGY*90?KmJF$XErpgq4-RNU-&u}3qZ{R96O?E(_t)Ew`$9**RWA#`lY^PMt%If(y0g~31E?AnzHVo@WH6nR!gAX^+^(5Z zfgHdS<{GO{r7!E^*CLEkBN%BMghYC|7~qUR<{*-gX3d1Mr1>qlVYYF><-0SH0)4@X zW)fG}^Ma90(Dp?@Kl+B-^%_6l?Vx!H#Bxdc^xo9b^;nS0b^7Vc)L{*}0Z0b~-xbEd z_iDKTpzVd2-r)&qM2!E~4gyM+iSu)}=*;&ykcYQJeE0+T%QXv7M33wc&@ZJvH=u5V zXuu+9$-&{kC08#b;uN?x*tvZY9GdkQ$iA~TI`?lLa&v{zEWupsccJfKf^Qn4XSlyR z^rI)~>Qt3zCl^LJuJOT>>MdL`-%rH&KDs`DN9 z#eaPe)8wHi`14CsP5TbH3TY5wTLd&HIZv=Hjqpw0y~7ti_fGbF7xPRb$G1zBGCHpd z-3yf(PmgA?gMwIJG1s*AOywuQ-wg5XoA-2)VvGpGWYdg=H61G3+TNMXzTxGD?p}Cx zS#W2m;}svW>(S8CSL)|L3)`S~{o5XNqp;|L^U|HXvmi+JXFQ%CPa!a(!V%g~Ri${H zo_i!Y^ap5-M>WE+AMBMw(bMsWg^`(bQNUpH;HXBpQOy=9T_eoVC*0&~Px=C!MsW#R z;ckO>dK<)}Uos(aPklFTR9$p7$*C7n%bP_l&>^O+H?SdeD=HT@G^v+bFc}C@vxc$O z2&?{nD=W}FGk2wo;MPk^$Y(*O!84TL7te*l)qV<<=|Z@smI0Mp4t3 zQIE_~d8LiY%2J6Lph|~~JTlt6%%wC!LRkTr+6%uQ*Bko8e=G|eW7f8;r7QV8Tbc+F z;&lvQ>S0hux72Tzo6@R#B&)Q}WTmLwp)oduAwzJPV1c!w`Pdh9{_E{t5W96Gq+b;E z;`Y?(vn(>szJOXs#MFTrJ zq=G%>A~}QHZJa`B^^V>1rE{bHEb%j15QwQtHkx1hRTVtXa`RT9<7HMpm_0F{yudnV zc&rx#+RCh%wlg!Hcvux3OwB#{?Xd}6o(MF_x;EC!_VehdF+X!=hfheWb;iS$-6_dm zV7_w^uWak&lDSP+fkGA&x`|CL5>|usxI~xJVQ?z_euL6y(9JtNzULdIMlHTe)6?Jd z!$mhmi@AnA7I8&Ymw5=D{0&^-*g@p8 zOLhz3^R`epuR@jjHP_JlJ}#0};ogi7VKj5Yh)fUtH-HiaxUALo-oRMolF$}lf;<$R z#-+yH_P8jGDgKd91o9b0t#2M&4~#iYp!=MS$~2}1?uk&X(ouqwEX-*u`Avs=nMcN7 zIorc>z8rzx+$p7~g)RhrtrG}jzPGdQm(l9@>ais2q5P*bPA?8~>kZlfV*P9>5h%NS6B{|vcCpA;&JD;Q^u)uKI5@>2>e zk_vVKX}H@yq9qecja)$(AiY=EW8=Ww#C6xE3Z`#F{TjV)Y@}VuLt^TO&c5U2*S%u4w(K|McvWf0Fft~GTqdf# z;RR63oI*T=P0&Y`!)1QXX`UZjK~_H|S5;s6F7WgU61Jh}$bm_&^MG=mr~vhR1pf`= zCjc$YI;=Kv_HrFmH!)hcOgrzr3Z{M=5yPzz{FAkehh)~3FKzc4;yXMYK2Zk_3(%Ay z_1tZRL!N2RsD{;@Ud}qcr93P2qJ)&4@BuH$kU(v85e)+xG}4QF{#Rk#ubI2Kg;Y?w zia$cx4u2xS_1fEJ%aw(=JlHdSL#2`*t74QY(Lc7;DPUvguM8IKk2QDZ8tAJ5dq4=8 z`3r($-G6peaVb?Sd)3$SQ2cBVe8Zz4iZ_Z6{CaX@Sfcwi)=4Z37k5d3$An^2U~XYEoL<;J%-5fRj+N z-)!hLrC83uSgE3}5p`U5%c}f4czN9mC)hh9>JJ%!kX>zlLZ3Is^@aDd_X6|2*L~9- z0VSLJnP;CIGh6!xJ(b4jbQ1;l<{7VjnEi`zeIp)sP$d(@hCpj>1>g4Rm z`h5{9RE$QfrYFoQ)sBc)0In)fBz{zIy(}a+FZ&xbTc*A{K1L5*HGvS$QYo$JhglEk?Tc zu6plzC`A$xf#J=JPd>Z|E*X{d$bS+cMc5_%kT8wvSK8*n)f<66r5RG;FjoYdw7$Qp zMDtlg^OFk8!~E1X>}CN9ecXrcu(thyULM)lV6eM0A*~aJEZ(G(KxCuPTWgr*YJq;t zsE2jZ{xaC_Nyx3zum*G5kcrAo0K&$RY=G2a{xlh4`V)oeJUv|4w@9W8`19>HB=B_@ z@YFJDNOPp*WbF1PyiCnRJ*W8R6On=1+`lt1&e#jmeAwvGbelx@KOpq`O^7d7*n|RO zKWHjx_Cj0)ggVBIcK%Z_pB)G?hz{M+h8QE;Kt6}_+O{@+ZYI}GGv2s>+`KL2tMIC5 z{!?{NbCl1y+CNIb8gf%=8|M-9`Kb^>Gk0V|<^_tZ5K1ADmexWw*BYz}EY*YmOSj`c zCh}QBugdJS;@~Qp3AO^5-2a1+di0OD{4j8?!=1-H=j}ujqq2%R zX%hdbBavP!v)FN#*-ALkrAIjHrqNi2C_#VuFHk8Y&wta{Yc@!?ht|X5%u{K98LI$e zP}a+ZdK6LNPIwdg+`q*IFc<&o2brsCxJZnJ>+XkI{)2^P{b$=FmNwf{-W7VYqB7Lp;poi{qSV&tv(4+>4Dcd;ff4JG^BlWa5LZS=3o)aHI( z62CI<)`*AG85OGm*#8LK11>pLj0h(tG1%#XTShUH-aHllLiSPR{y?<0%(ji+)6@Lf z#;pGl)7f9|WrX!n5Zm}!gk%4(Msq1|0>vjp+lPGVlVKJWu%pb@jw zak?#{c^%ZTq^z~Q%8EbDh=2ZgyVwZ;qbxW^as5mj89{7g08NNK`A{>=SEI~3MllH*nE>_4sb~VC8o-O^VrtN1MQB3g z|0e@u9{cmbLyB)7rUzav5n>nt~xeg zlrl(~o`Kg|$Y8Y4bnk{gAHzDPx5a-{Ft1M09`4`Onfh%HxyC%77*~WY$yibR3E(vO z8ifUWA6#Is?~sZkI*iWCj0xYyw6~-xUsL>j#dRS}krbo#TTf+V173HaIbyr20VIzM zKY8%!XH6igKVxB2qPnU9pHKop@C#3AyeNRV`D$Fm8u0<<# z!)F<~E$@em+dk2?wkwGE-yDLT=?fg5HBzCM+KI+X1>;uU0~RD35Xa@dTQ8u8BUvdr^4C&5{dHIC2}?$pjl(7?o@N`P`OP4n}>Dv#GtZwhwqYS%iFXgwbAz2`oU z+HE3G4>tTtR1GhZGx#j*Y3_BDj|x70rT)T)rz?R~O4Pdip$?vXsJde06B1qCt$GBwhmOOeMYe3$&+`>-qzkUXT~?Ypqxtkh&$fM7V#ub-JSBiek+44aqeXhWoTcnV zxl3-JjPnC+(fNSy;;ed^9NXVxLkC1zZ2F}pj9Hl^m-*C|d+Lv8e14X4zJW8t+ZXmj zKJ8e1aA2t-6(u72ME_@J7`IL|_%`A|>hJD_+@Mc`LS27z=OzdwIYv zAd`L^-H6ZoYLcAMzTbTn@NS)x#MMADb)x^WiSZ)!x5L+~h-?y3p!nGBJK$6SW{{AO zTENYYSb2>$;!=8g^hLM@W{!*~Rh)nKY=rm+(Xn!-(4?~3^+TU;AS2p09DZ{_C)`x& zXp^o@a^r`xLx?@7n}%jVZ)g&?5c*@<=GdECWh8cCjz!~M{Cv=^QRluKgS6%Bx~OIk zL<-`$V4pwB+0iEZ2p$|0vT6QF$2&(0IbgwOU1om|HoK;w5m9D9BfTj*^UEz70m(ZO z7gOyJENy@(NtTrE=g!uUbYvNoQU?68&aGFPHqlmk_xl6j>3t2-f6CH&4|sSjlZj;l zn3Npsp3eAeL@-+CP7OUF`nb8J*JFZ2oBRqbgtDf26kSJBbdNPG~Yw+ z%2LFVjA*B(m8O+qO?yby^}@cq#tFs-6I34;|FUm=deuwxD7Lv5lHl)>mYSoD}(l&Co5E;Pn7j$^VVxoI(Rzk9VGF`TV%+w0XTj7rY=5|AE!D7y?$*5 ztX}B=UcQ=THQa(5C)jBMb?638AH+l2T@5&HK7N=Bs~b>L5p% z=2SR)gZ*wNlTN{k4`~B{9D+}*Gov>giUs*$XzANXuD6laG3!WEUx#K+Zb!^TBXUaM z9XkfStFpJJRg;Bo$7`--58PgwqyeS!3$_){?tOZ#nqh^nrvi6&+U}qA&igC8tck`- zitfiCXRvXcDFsDq?k z-w7)MnliLaG(0wgv&}x6fJsF5K`dBmBlCtDhyLj+9vtOz8 z%}>{HWJ8B%%C@=7TYG*1EbWL#f-7xJhib1lzHxUA7{zM@mMy0FY3&e@_-bXba|4&K z3vtu?q$2a;V;k$D=}{}3yzk>hKSph?Zk*$CE#q0^oR#4Sk4@TL)^FHohz_3;oFX<4 zL|e0H@=A)HCE4&1*|)EUCEJ%I0dU{z$+yEGQ!Vfty03%+*(~y^>%LSmY^ZAWt~PTl z57^SWQ3IdW%@7FdtDwtaQQmjCsxMaCftPC|b3Zo?j3^$Ktmp*O4;?Dhgp;BXj7Ht? zxn+@G2xU5B0G8UW)7}R4kjYCEq*!GYpv>^Ayam6-27-Efgpx zu0=&RY|Zo3Y>7uXK$DsiR(0j9-Thz&v8vL8DY4H$t8a50_9X;^(ej+EUHluH@y7vO zxAzFcXgO``JvNPS5gQ8DkP>hdWA{ zMv*4_I9mZ+SDDO4EX&_3_`2`-BgttyX39)crbFW9L0l;0>Z>aMzx0Ndmm=adgLl6t zgiTH{*U{mfRYBFwJ zBHTio+n(9qloS;RYxdV(nTc(qF{1Z5^V&vAH>JKqT}!Lz3I{>W3tcZgHfT%?oF`;i z?+o)I<0!0t$_peNKp{h~J}|r0sabQ?D6ob{IG&GJh?`1;L%bF=B6Ukw2YhtSzTdyk zEo+j2p?MFSwx(O^bEHG0 zxriNv_xN;os#O_JuUnXCEKdlqY4DiRB}RVYC|Z(Ww?lwC; zcxYi%zGooYEp8*$u`6L>Zu1_LHr4q9PG}UNlN_Z=UH)2`vSvyW5hqfbjJrz=81Amt z1sa||+$46&0r$-K^Gt+(EzNzD#azKMnL=hij`k>tSN0oSc?^GbT4?Gw>`w~tRw4c6 z;sKAU;pZ`HpN-C60+nsd1d{j=sow69$Z$}EiewZ$Ljr{5Q=_9hw5xP_Tt^UHJj+nG=Z2`m^@DYFc# ztNbzW+o`mS#?;urf+qgZGi>y$Rhn-!7^u<+A~BX>KbArZV*x0m2}G zR-q;RGdyrfQ{n0{(k%Krj}-LS{p%I_*9;%!LWC- zVq}odAduu3jW=83kOblS);Js3KodIQ7n=$hgQugJr9t*p%9a(|Z|>Ew20TE1J?Z+# zZFa=ip!VMKwd!+3FtJ03Cnr#+++)mE;a^H=Z&VpAM^BLIzMkH1PPmpoptB#UuZ&;I z;Y{WVP4W#^`Ez^D3sh=0t1I|D31%)Qg)&0k04~3JS({#S#CU>x6PX|lmS}KC117?%AbUaEO z$7q3Qw0-KW8sYUV8q$Md8M-xEED*jmN&CM|{9H>%5WiKP$j755e0P}s`~b?iv(3g% z*kxDn7m=oP)NASWz2UPD0U6T|@DnhLEC?xRv1sadBkhMS?{dZDQG( z3Ck=$c&bB}ehg%xiV(^EUP6j;NI-{BoGXih>UAZ@>|KEHv=_A3N#2(5oDQI=>?$2; z`5j}}`r4f`Ws8p~^ruc7I#8^V6wz%4pX7)#?cK{2&`ExI#rvGJvQbD`E9{fQ7c{e< ziNW^ffY?85y34!&up5gQpW2|yCy42}VV9k5D=}839p1YV72Jd$ena%kc~@lqJl1K6 z{(LP>duT>Kj=)7S4Otj0mSi>y{R^TujJb-yY>iyH)$$0=7gC;oXCq(dC^g-=HbwY9 zij8@gPY09_bA}HXbxi|nx2FV;OdP9V47D1fpj-D>dV~Mm4}3o_4iA#SRkl`(g0>!J zX`6^qmPXnmBS^_VGJV_^xcwbdX`Cbf4WdU|L7EdvQo6i9hH0}9qmC_Y`4{GYvQYk^ zHl`mVUg!=wUiJ$pzYBzq7MFv|2-iB?!~26<3+k#eBkk(HpsAcYy(c&@sIsAXbQEgL zLX1*p=$6go##^%ia8`htQ81tVV<(PiaY<&?#t3hgBQ2dC5k<#2i{|Wm`SD}x$`pCc ziIBl51_&u$|3VBI;9+KBwV8AeB?(wV(?ZtI#hOVjJPWInhpo9DJ^nc$QbBLE+LDxVa+?KRFga2D166Oh(P*`O1nyx?SO>= zgEC{%3g*{VPqTRJ4v3D!$-sI7r6Hac%y7RY{lz7dTmBVEJt>_+$U~0`^Oq}gxEVXJ zFfDvv`@?si;6b_uMg?AJ{9d)^VOw`?mz`RDg@>aWqLs9zLw7*WJK09wrshs@;`hN4 zvTy4o%`JtF<~(21^N zIq-3v5{o2?&gzA68QE=hLci-A}TR<`7JW0XQ2>YG!*2;GOb9=a!Zu8AHU z8N5+eyC6iCBCkQAdi}6y+vSh2u(2D%s!K@YJ9m|=nKs+nzwU2vC%&v*gXoH#>1fc8 zON5#6+~|g0v!18_4#@XtX1@xbj3g5blyxFqPSO zo%m&quQKF!KYFZ#thxT=2PfvMQiyc}Y4*`3DsDGlz60_=+rI!}Gv+4tkg*OFt8c{m zK>)TY<8W8QD9>xQo3)Ear(h4y1lBGdX7k9tn$RSH9+ zt=2J_sF(cUuqyo*_RJP6(=1G(?P6~z9Xwd$Oyj$W5`y{MuUi@sP9sE0$Upxpp%7m0 z-S^K@ByA$z?j{35COOe$_tsE&9>>XfFCt9O-;dij8-|W(<@(um5|UXpo#^oTO8$e_ zCZaUSj|N1D{3QPJY$%*wwq5sln=r?&+tPGd*UR;ry?DPn=#yaJ?;k84_HVr_hh?z+{MELa=Yc4jbXRkCym!2c0J>RyUsA|I=jv= z>^i&to4q^KZ4inAFnp7freL~t?F%e>1QcQfLxQWD!BmjcH8iNEcpiX>3H(%b-=MZ6 zOJ-JGRks%yYw&BbihD2rXLy1?91b_R=qFmIb@T(R(>nTr)@dF6KI(kr>d$flRj z_{irvt;24+R9fj-f!1NQSuP^s#LTM}m7;avO75p8^6<(CPwTMVbS!eu7M|8&z1c}5 zpDEBfEax7BV8;kg>#*N@GB)+9MWtvRxZ0DGvxTR1=*KO}Bpcq4KN|VN8_JS2HuV%9 ztwSH~TeJ>DXJN3;UR-4)T8BOkQBdy}THDtjeqmR z=wrOxHUrHy+aRKKTF3un;X>=T`mfD*cxUEnpVsli-ub&W5yb&K@{n2(+nD?VrSvZl zgbtQl3fTe~vUCYX%%;Y;=mp%aQzjjP-qfW_ZW447bvbYd4MdDsw?ZeKIuv#Bz3=z# z+k3v8);wFU-uDB_>wWLN3i7${_x(sNJFJSA=QGcixW=xt>kPY|UD$OdJFx2->^k$` z!mewu>&$-(yRN~mGyg5@x(2(>{I{^{8kuwb*>kNq1ME7(u1_Iru77y<{HV#UGwga+ zVb_`LFuUtL$yje-3^6sJd#m}07VbS0&v3E_CQFs^6dIOW#bq5 z=b5tbf%@rz^7V_7ms$|U7WFXmIt_t5P}+ZcMLneJ?_MQ`q89xkc0H%ep4Sh+Z$x@O z2Jc@wB4!Y|-t&bdbo1-_9+-(@g0633TklB^6RkMoQxC)S#1H^As0R*5LnT`Di`eyi zGP~=erVxIQbcSXi6cgW{9J#&)`Zq`Ub^V?urlueojx7j-wb;XST^|C6#6lf=P$V%F zwc=mIuIH86U3W2=E4(qL_+3ZU?jwkLflE2xZwhgcU)Li)N!-0O*H-`%)NoxNLR$&I z9^^6&6}Q-RM!9ZL#JA!Z`FMz#-r1d!{=W}Yn$NxK$I9z?7;-5iQt&pQ^b zON-Iqo{x=|nwL*`A~Z)bG8yL9brKUAP;XCl9W|sIP1p4yhzFFk$z>W!wb*sW(sehC z3?7al!Mg(}>dl)%7w*Wd>*t;+%l6c&IxeC=nd-U>D}(o*Cwe5(GBguIAYZYdryh~Z zG!(Vi_1t6OI_$Kml0C}Wv{=_eplBaX)KuMtB0jgS!xs1RxB;>muG2L8xz=MZxk%tpZ z@22ZGl@DDk^8Ij~k6q6S?0OEdaUIXe4C$auh=n`34iAF_A-kRx*!3I& zuGNk5ta1gt%U1vW#R*4HMeKiGXlGwLu_2fvV9Vj@X?~26O8E8Z1kBJO8N)v zdPZQ^bBLYmI3Fj6^^!e^cibuIhc3IG4cPS@V(Gf)XrEyl4U(>FAA247>PB|`*xbB% z&JuQ=FVhsAd);&<8gW78;=J~ga-XV)3S^}mYS8+yGSf8U&~ z>*e)@;@!%}>g>9+>kNOlWGb0*T?ldQ(cMa|dVRJL*mZ_oPbF)vD^_omUMydqA-%Kf z%vp5(bNMV%7nhc2Wob?jSBjnT{PmmcI+Fv}ABmi^w%#w_WY-yX{l8~zuFkGAd2l`7 zHT?aJ{wJ>CnS8jO*^2Dc9v$n$lxF zOT)11X8=AU%VY<3T_Z!T^Jia}Gwu3lH*neYe-FE!Lr&FoHxy#Pu4e#tJ%^mG>jQQ@ z8)U`x7YkzHg>iMe-!B&!;PhOFx3_3N>lfT!FFlAcx=gN9UvqSJ-2yAu8yC9?K(+GG zkB!1l%)i(#&aFACcWzX++gfCKsoVY3wsC#AD8xp!z?`D%ZfhchGZ^}@p$APNFNDfS zPqud9YiK50Dr5#_H@_EucZKi4_gVlr*iZ&w^z&K=cHIIS*Q<9#f{wb>5yaY}mS`KL zRdK{>Eg9=NLfqN5alO1M)K%v6Tz5kO`0@K(0)aG#XlH=(j=l3KLBB_Sk3HS>mJ);u zar3~_FdXA|P?^DrK-ZhWme+!(MQ`AbWgp*{cO2l@zJren?7*&DVek6l-I$A!>#Oin z@tX3t6BXv|?tOJc|L(=@YIXa4e=c^N?3FAwEL@k5@9?#S(VSl`3VG+&3YC!Vr+j1LFukSo`#3k%h#d2>qbyZ(eCI_j^!m>_nc^K7hnH~9dfr0FFme6LutPb-!xSh2nk*j0wNaJ zxel~Dju87;z+V>P^7}%9_IzTP4dGOZ@?Lg5bELa|D3qVzFBlpK;WT}GE#oe(rm1KE zmYTsJ^88R1?h@VgUHmz!{XOiE!#%f}SiFg?im0Rf(tc>fuGbef;gSWGu4DKS6y`XY zULe&CF5VLBRh8S9+4ZcE?)t9bI$^jzHK-WEY~N`*DXwD+JLJ&y>+7mm2e+Y7ha9fo zvAzQj1S{-aZ*Rgr7%sD4NZnGKm$%j1(%@Sc3#l~9J4@RQ&GkkN_VvpCJOzEj1t{wRI#d;5;G z9B$g2PZ7N|Clt=cXL7wxu~uMC#C3RSOA9CNU}%fpxUA4! zPYI&qaKW6fw~prP`E@-m;wz#;e^lYKBwTkx+(DlRa2tM51$&>5!B)~H_zs25l;;H6(dwXBzRHqUZ>E!y703g%T##{+o z>q7L+w0;>+-|DX8Djtr#X}Z3j*t!=t<_pYUb)9gBBV0spMHhO*Vb8ox51K8L)IcfpcO0x2|`c_`qDdK5eb?n*ZbXw$MZkCe!1JmkENu! zPP25H-#beMo%tJ>n042$)U@>h-F59y&W;ds+Xdz%=Iggz_+YCe#Gv;_ZnEpgbRBoq zc0g=w*ORVSP;rYQ-ga#cSu1uM$!&HcSy!nmD3?9peX5{{(jZ_^vCVi(oi9EkJ6>_Q~V&b&J(G8`q2FAMM<+isC>3#_`CoXkfV* z^#$Y}!OB9JMz9mMNRuYqE!ep+R^rrWwN)$vchxm%yl1fx6oR$Yb^8Km90_KJgLtzC zGv@mh3obiuvHN$%Oq^4u2Wadu?G2A4s%bBV>SUb7);nnw?cqpmpQOPpuGI7cRXwh1LFandM)& z^{j4wU9gT%z@T+C-C^tTfZzQhv>y9}IPW&oovCqccpAF9vGvL0^%FJ?_-8&HFCG-P zc%gH&u1M?WV`;4RRA`-1GTG&J*n}l_>}Lnb6>go>F9XbgkS>mrmf-e(y*?=Jb=)tb3SEY5sto6ys+zx`k?eTkQ_zL@ITpJC9_t$1{K7V@1SX3qLLakT3q!BSz z^V2C_&()nOHvV=!etS{a+jzZiFg@>szRT8)>1sf(b(wj-X4g67f6NiL*u8*~i-N6x zUp2^ujSV*`UeM@o_g@vZ-}Ws{r}aB+-7vgiNC`Jz9?W#OE1ofz%*Asprio4YIA>IF z&#lErbY`0V6R0DO+-^f@pTa=b7j%tUzm?b8FveP0-o<@hG1iU?EY!04M{Txg>AA8~ zjMrK{Dr}|kse*Uhy0oIL>-*PN(bQC$#@AlaI$DQvKI|MM-Jv zj^z$x%K9iSZ0IzT!60j>eeqq$IALjV8(07*qoM6N<$g7*-gNdN!< literal 0 HcmV?d00001 diff --git a/figures/USTCRVSoC.png b/figures/USTCRVSoC.png new file mode 100644 index 0000000000000000000000000000000000000000..002f06f06a4357f42816a6e685c975dc624dd1c2 GIT binary patch literal 24890 zcmbTdc|25a_&-dRHbiA#N`;WfHX*w#*+Te~J$sfR%P^BYWLL6e8#`rRGPXlyE3#)D zj*w++V;M6v#{9qdw4mV`@XLCwO@1J=iFi*8)z|J;JQFXMa8J2tzk?> zMF&t(oe4TeLm3ei7N4WsXg=0^@{mj>@9gYQ1xzk1EWqLL+1XhN0Y}7SsEW$Kz(8eX zB^A||4KkUXoqeOkflOWubtw7fpc)$0H4&w{GZ<}Kz7svTlU}kYOJSpuC3i(tc9938 zWs}k5opRfWQ}A*ssy;IMw>;GsbaEegu|zg{uzY9d+aj6VI8NoXP9}FbHIk`p%jJ^~ zLRF)vWTS1Vs0Jx)wp2S50kTw$PG4jx$mD}cRn=tqFHTfn8p-5z3MJJznY?aGWlJUh z8%-vMsya|n*+!Q~SE@S5Q}v}&h#P;~+S;Z&l-N?qrmH%9`SPWsqeC{jT$Vy+OZCmc zK~^?eN=k|<0BkIqtW=Ha>?)xOSg!2qatfG~Z$I4Vii(z%wJuvqPM)MH+oMX}9E_4l zUMHj5LHU5Gz==JTY(m(RazoQ2SCl*V_qwL>$ zoNXlUH756^Mn##KnQf34zb$sj%YTuvEw79!`4$yb8RbxJYYVFYZOh9y7E7|SvW`1> znguVArag`a)ypF;#nv7+u5YH7be(K8ghrKY7o@ZT&T{Q$QL+i2ZPJCs z(5fc-w6Lk{v3Iz4N-9jQ(yHOli=yADGi~)BD0gs{W*ji*k5-&0W%$8zEIW=l&3#lf zG!E>UUcY&>9(5pi2C^2iIz3Udy?_ z@DzGu=HUwVhp4ZTUmBEkJP3QygW%$OPyl8wmmID=+Q{O&MMxNoi((p8nmft|f5kOi z7?pfG706rp7GZ;}*6Qb17|*JQuxvFBtS5LbJ+6I*#fosdwL(2b4kps6*0)5r^13Ujx&fBLHh6={Zci^{p;p6_+aS?3DK%)2dFeI(RR5HE-j!RDdbC+i8L z&$sacy&~7JYR8@z(jErBWuMsqKVtorWgl$ToihHF{6Q`!Y#uF1$B7)U)%N_zoJOpD zi=nq%6d`e-cKDv!$6I^=4%~h|h7N)n4ML3#K9X4mrPH6&&#&|D@z^JLxc}ldGQ74_ z^^bE-sC6_P>05h8ryf>;%6&h1*{f6s81q)g2R~O77#suI$sTGX{J^qsISk zJ}N`?9gN!HCnENvUnZM%L&e4<&pR5_6Naz834TS-bHG#~e75D+d6p5TnbB&$$Jp*g z!q}B{60|rcC3x!B#J*+3UG;``y zN%(E_TuqEq&G>Eei7HR_NU#eef*;;v8KS-GzjmEOea_8po&S=WOaL4O<9Jyd$~@3Beiz`pupgHYj60-s+WN1q3J~TNa8v19PBT1uUCidM2u5E! z%f$0)oCD`j2!9#Gi!N|6vmgMkgAeadII!+D2D64ALy@5-P^w;6sRsQpAtCV@bl)9> zj?nzXY8k2;bzlHni>TkIoEXp0qaq7_9y(j|kPmq~{-Duxd)|hX8p?G^UTM@r5VXby zwqyMyLz|;rjg+?ZoE?ct52Eaoo*AxyIkN}zOQb0P?N&Mv45U~^m;?Z&s8w!7^)tDf zGYg*K5?7-ZSeA(kB%2+{Zw4O~)naNDy9qyT4MjOG_nl8z^EQ(&EuCl5>){{7uv+z6UiTn8qZY|8P{o(?3%!)cs1f)p0)hFrxT zQD37;n)AUXz$vV(>%h{<82bVJ;xCJnunC$#=L8d#b$y_=ocw4x%*du$A2{f(hPBwB zdu8;fKf>bRz5o1D)mjCi_tKb^2z~nN9;w!{f^%;T>R!3YX#PtP&A}yK0Oo7}vsJfT zWf03>#B`t@tF4 z`b?+l73bbZV-@Gu7|-RiwW~)@eQsB#w{{MliW8KtGmlvOaOkOD3at^7AX}}8N*PvZRg-UctpKV;vc*F$^bQo7IgOu1f`O!?e|T7mhC(9?Mx(? zJI@D4A^v$;z0Jt;({xAeVytBvSWEcCuKVm2>gfGGfE%n1=pEw@^~N>I=YTvmh`1#U zXzP0e3FLhr$#9TLusl%OGGO&Htl>>kaNi7(MV_G)7OqnQ^WB>tLl>xG8F!6ueE_%S zTfX8nd-HmRxqp3WgEVgO^dUAX+VLwwZR~(!G>)FuEDn4%$`T`J=4Tpom~Lkrp;<_0zRjzDh@A<&wZy={=)8N=39+6P8{*Zpt#1_+1) zABWM%hSj2UkfcDbJB_kWu4HIj6&z4R+@1-bQ!9Xd$j~;Z7`Z;I(ClOwDtAuwXPQji zeYR?bnYaiw_TL}bi9<|TRnsfH_WJ8BUuF<7i1d(w-+!?p5@QP1#vo#N zMgjcr6W2c7I95V9LKs~Ab0IVrw((?)-NEZJL#=9#b2E1L+|3W*MdVh3`DfLxtjq&l>HEgy1V_vJ*WZSv)H zfSj6~rZnq@K55jZVoBZ^$s-Ww6TuSLRa3aSM7`iY33;e`Zk$1MqHH1xQ|T=L$^$af zhS;fu=x}*J4he3Vw~=sF*jIcHp}dJjkUx@0R|{`@us$3J7=MODUTvK66v6g)nkd>j`G^VmR8up@r-VXh82g zpVoo0+>ZzUF}2_BL(CuSXj}pgsEXBk;q)1q;D!`^l3P&E@6pD@5kvsk+T_R#Vem4K;0XMLE^EZ;F<=eFO+Gn#=7hWBQqx42sKdv|5ypDfA)+q0Jm~Z84%52%>zwn6J$TH@4A9BG=bvW`` zp67A1Z0{fa9*p#ufyJsG7>)jLPe1#I3K9XA{2@T&nN3|zu6M>-(nBH-$M>dSDu^pD zId^JnNYu6ND*g6^eBeB182)ZgXj<>KI^v4tnJue$YO$ob)e5}e>*I@CyhGAG!K9F- znJnhZ;rNxwoGAIt+*KH-*yD1g(#pXbalPh0u{S|0}=lK8vG9m{Sl^P_Xf( z8Sd}e@7JRm3wZ3H-p?@jp6j$K;3$&mS(#U2All+nGEmtN%2_}o~;~Tb&g-*!#hCr`lHme!p$u*onf*ql$yk>h*02fk`?O) zjYq)O8eYrSN}fY8=e0gcN+1m11Jti8mCG*ggDzqDfcIT7kFhAAxBxgWmkP87un0UW z(_olVaJSW(SeR=4cx4X^-*dp@)3VQ5Tg%Qz0nwuLu28knO)(FC4~wmYEf0^cU{x4@ z^V{3wayJC9j4`F?R`Y|$vp4aLwJRE24OJ9}8a#(;B<2F2>(b;nr|$k~p8L2HfM^|C zNp^w8kM|e;?i2Ozddhg|2l10*zwagx=v}|pL|P9vmE51MU;?~XousH?=ohjU*&Q8G zh)a`JA+j9xkgg@Pg$|0q_=F#!JC87|1L#b@gTvSGBkSMWAoNHcgsyo3OVgxrln2Wd z!m}9^0qCB8!R8irHp%S1f#ZpuN8M~o(XEwoG|YT3Y9en z6FVp8^$&;}(ImXt#(B8>N1)S4B=#%Q*%|I-S96BN=bzYGVf&+tH{Owa3?9`&8hCuD zL_SZnYFd0sebteefu*C!E*vD4`*B*%7hSg;YUz{w-SY0@p z{OyG6nr!aLOTT$5sSh5`|`#b(=`#0y=d-95m%@W z-cz9NNeXDe%ZBLeAK_hS8t5mYl{}t0D1TqFSMplC4g52O5DIGVBrOEQ;e)}v$^ZoF zT4nhTf6W51^)OVA<;xIF zStQnq^*NNZ4r;HGhOW&g*Cfys~z=xmO( zRNHCNsCN3)#Yr1{<_;hNVZ0yd3~lf_p+;PT%-&Ce>9{*t!J_o;TtCy5XD;6oy!%-M1=FoK_rK-rHjbq`o7D^abfa zw+{e-ieb-!Z8ZFGfpXq$HPN_bK{E;} z?t5hRRG$(TN{?ki*l-Z>N*9Rs^Ov^t&)r7>AA;^*ol#)e(*MpjlZDT{MQL3Pu*QG* zh}M*XZX5>G^O ztfyAM_`8DV##L7}p>6S*|FnHv9X$>ihy$IjC;$mF?`k0ryNfM%=Xn)H}YYov-&D!e}pXFyK;I+qCn z#4VrQVN3FKtXeM~qX&YZ4Gg7_O>Z8;q0T2uo2CZ*pimq6YuxA3Eh9NyI1>E{2}Tw` z{CO;j2u6_yDR-I0S2UoVuelDli&5kt2_6k>PjEP{YLZ+u9DGSvXJ?`+G_u zi61Wr2z?wYBII16haAY#i>DVS#0>P5_00q~=(eWvdUOc4xBb)BlJx!Yroerh>8Y98 z6mR?Csih#r-gKJchQYdLZ_@rM{AdZuy>U3M4vn`D(TBz>1RFkUey0m1B&xa>e@7Mn z(t(Z=fBsps%B+*kzQg!TpZlKOn7GH|f|$>`-0IxYQ@JzO`#x1QR;Oa-UCnhi84-Rw ztA{^E6r{o+O&6gD16RU37&6D-nV~&cMdX-iG;A5&R4B_Aha zoUHU$xUZ}ACGf?k3QPFK{P>({n;wDniXvTlZlB)4C#isv0qoep+<@;@i{C2ArshuM zm;C+L9S>?DJ*U9^Ho+*znXj-aRs(2Rjhg;CSzt5^mlzA~bz5z_Bnw>P6)mtCv!J@Q zb{1G}nd#nt-X8F|xQ9tWozmNQN-N%36RKFGHnLRDtey`ETuboYyoA{wO)G*~-k`YI zBp%pJ2rB*H)szgt`{xTT)>e zgTudg0yoJqNCjUiX9oH`ab=DRcHKBIed=w5YZUYwujJUQsoxqes83J89tu|>vgFcq z)60pg=fB$U!R==$ArbAEjG0e(C;Aq&ehcPjvH@F?xbh)vKh3|>oNSek-Cqm?{yxy#d{wNARg*o-UPSPf4ur#o z&TZNj_3omD`>E?S-(M_TcEM@-)9brBm~*6nJBAsHL7+T_S7a0|G)fG)T?0R`7Y8;z zKiGCCt6+KhG=T$gQz1eet+wXO4_qlG_|IkGa+}`e69~F{z9HwM*xej#c61%Bns)Xl zE-?7_?z$(1)oF%;@(NulIRAR7-#%gBr)zKhu`s6Wvks1B;}6j895rLXQ8w|GA>U~j z()NsOu>*Jc@l*5F&7%ta+LTAWoN)1p56e)i^Nw$W_#Z@B9N9x1+<8RYXxFwJqB$PP zE6^yh*NLqK3$xOG4IBz&R{kQMAyj9_q2TJK+$r8zMdBCV{d^P#2({8~BI=cXz6UMk zK15PIT`qqs^P?6Q&+ zDC?1z0a+!BB*O2h~rZc)wVvi%RTD*l8jFhg@zIJ z)3?;1`5&L;Um4}z;k)Jb8VS+_FKPKlslYp_7iwX}$D<>}4twaUY_9is=X94XV!e`H z26*hjJ50n#>4MzV*yhyZAE{_HC~i{<-!H=yq#m&=D)+DcwXwONpCdc1yUDuUWsPt! z&Cqz=yJGVBansYAHOLg+=gj;H>i2;IQ{8{Iaasv}uYPu9txj-xEIS|4k!s3Cvjz5Z zYY2`MsWf%}O4UC~1JjW z1{&*!fpuT_&yj78J80q`EZysT!DIJ9E;u9^EJD4#WBh$F%7PjgsUMmWr`X5hgf1+s zGaqZS-?@ZXt-B$^9Jw%9Vx-yAf1OdPtnB7Z{5Rq#Ti3;9wzj`mC7Q%lt4ARu1e%|iac=+UWUQ|UIn~;0=q4DX0XKNT#uSNN6 z1-z2I>#))6$9=Ps?%Y;FZen*%tNl=1`Rz|ZlqJ-=VKAbWaGkQDm7_&u^{o{E{a*@z zxkC4;%LNaiP@nV74KS9gP;A0^wv%KLYO`$pQiTd!p%2T3#lsb}?`ym@?UvO!^;(Eo zsQz{6XQZn>ti}y!VI ze!SeYDwX<2{yEJP>IT^58KO2txu@)0AL*z3>rhilpXVq2f*pnNX}+)29H>5fSUL_;7~_OzK>Z6;#*8&qYRQ=roD{|x=v)*sX^c01K;zJteuf+8hGqQ= zd#B@yHxn%UVK|81N^mm$>Sw^C=X{-W7>rA5yN?h}8PO=u2Uvf&$St@vK*l(~Uv|OT zO<8=1Uy&a))7?yPax@wr246R*AujcRnGVwTP{%IR1E0e2g10WsAg-T6=Y)9seFR1- zMDP2kX|pvDR5mO1G_#W2W+!jCn6a5s*4f&$TZ+u5vR>l@q0(+~^ps~9bifpGhVqp_ z7wL@fXqmq`&&xg})9o$|-}4&S$30cnYB#R}On{Vwa-!Ge{ ztoi{@zwQ3PICZjK^w|s=_;EP8%6?=`eJI!@dRl+fwa%=SDd%ukv?-q4>eigktm6+1};2)kQU0Ma8KrQ`|OQn4mJP zyL)(L7i_qM6ZGiZg92^mFQ4Ww68){YaurnN)fXRV;M0m}8;4h;@71dzR;Rcp2U^+Z zEpxl+HWOI%U`el*HEZLP5-q#*5$uuo5R?71p6+%}-VTP&^#tKPB7LK9fnUylarWI3 zquZMM3J&&}!0Z&`E>3aZJg9crcInd#xRwsqz?Sm?-ESEH>04=vt(tl2;C0=ulD`vT zS`%k647*6^>PfBqQzm?SU>M#EZ6T=P;Cbt=EtbS$2jkMqv7P-YMDL1yw+ZZTcT#q& z;peSPgyU@A{j1uQA;qr?Pq_=EVqxj`#J3=pE5V($H8q4jj97TYOgV0)S(@FhTh9pMM6 zqfxel-sH?DU;6LDoApr9BB@)0>H%jS>s;F+k@0B?Z|j?qJ@y5eH2s$mCJ%ydnJ=DD z_JXe1Gn-;b8rqM(4O~oiLt)%GQJk~1RAMdG)L9D)}$Xpn@vgJ7@vg$7Ewd2Ig-TCMUGu$7#-z+5b@A-%JZBnVH?@QAUQ1Gp<#b%W4m|y#Wle~7GvfH z7=%HfusO{;;OS2XX|)UC`0c2C2We$~MRp4zTS+edw0xk|PKdKImynCndnCn~z}!C! z&ef#Eo3XE2Yz{a`(``rk&+1uh2_4n&HLmMt{b@RBs%ldTAbZ=7y1{8qz|lM?CETYV zTZ41#=5xKOpCvgf&mxwLWL<bsn~_++SsfY%VYwlb*F zu{TSs|E=F~Bl=}kMqZ*f^!I8{Q{;D+-jy5HH%%p!ioIYDo;3g6^GK;HJ(1nTIiG<` zc`Fq_@Xpg`6W+*QRLwv2$9s+P8=P~*r2j*k% z6%W$Ak(r>XXOLY;+N zrIY0v&^}^|)MhC`aN_PSx(f~B_eF(%0Co%jXEhSX_kbWs;(Ap0YyQnDTt+^%+OfhZ z4xHv>Y%v+tgr*tjLB|kAIBT0ww$Hb3dh$lgY%`c{GyXBf$hPN+Od1&|R3Jo!o*3(6 zXt0|;mTgR)f^ZXXC?`J_e0D3}W><8j{LEpwBSp0b!7nU~;@JuP_iw>PFD=#ULKALU zsA^_)={9%FUL$>Y4c0wPuCfnF3mU%dTKJ!301tehg*NB+jT)^kOsIl-F@gp+QFpM! zKE(CuCGT=W;mHp?#P*`cKek4pWiQ`LbmzSJ;!}Ot1{1lqMhWK38gFwW?&2!%fO&Ki zL?ZatmBIUJSV^WBP~g&1%9<6|gu?4X%~wY2&=~RPk86xU7C9r2gas6Ecp-XyZ1Jc? zW>*gXBzc}25tl?mAS)g3E^U{T{2OdBM6q}H!%T;Nqy5tP(4NHK>1*wk ztWsvG)U%o+lpo{ie7FOgFx-|sa<%s6|J+WxISslXtgdXvr%8VULJM=>H$L-~@Vuh? z7GqT%C|8)%#z`IWK4&B`6`Yn?=qV09copT9cK9tPe<&!EQlR;+kDR5Sdv0eT(3~C@ z;JU21_QONPU!TgOt)b2_;>}XWX9-8nA3*Y1Kuf;x^Q-qmgDxQ^Gvn9N&m=a{98c#g zY)0$O@V2$*zVWGc(w3R!l;1YFU)^ljlYV%>pfr)=`vBK-)+0$OGq%dR;M4sX&Ml-| zA)R>$@n=lV$VsM`>g~If^8{0qU8rraV>{&q)uvP zs};_Xd!-7eBt&U(Z*feuQn!0`F!~2~FbN8s$hAuSJT+y@%X-*dT+cy8Kc{|xfl&A4 z*Fl#O!VOHN{$DTQ-}l9U)aPlqo}ByxrKeA)ONj2JkX=iH@~3dHDcA3Qp$;m1H9N1Y zQ7RJyXu#c&&XyPM8yH{@EL|fN)aPbfn+=D6-Vp`v0!QZtG|Xmx%pg4bs=Bm7;Ri6T z2-5nuzc_Ts8h&y2@kK&tEL^(38M4h_Mff1RnVPbT8;o+9<`gs2bojEr!y=TxpP4hF zhZPv*W`BK+V!!vx_)J?v{2|A#av45!{{&aiUQt>{X$RTCd0f9j$>5E z(748mqNO58l{4$0%Vdb$SLT8Lh@Wt znT`Yhl)x?xeB~4~_j@@y=#nNw$%Y_l8s`Q}zsu8{Ti6$r_h(SVJa4!rHbjwhwr|?) zdUN}=-vN9zdRV^92&rdP@-dt7g8FLETxy=`W8X);{-zii-1Z9>6GQ0YkCeSje$KyL z#}(N#DCtQ3LY@LVo?xa>m@s*77|~xH!v%+-)csh2nCc=}2rO!fvj-;&us_1u4Z1Xc zN=fn82J=H~6vF zJOlI2ycOpWoYd)2&{g_Cn9K~LU(;81g{6bPN`s%3z?A>5wGnh7Uaq0CGPkZUh#wz5 zqZ5f3%-pE+HYtGwK6>C|v$rAhOLVK7NB%Lp0JY6RMjLzN7ansE^K|iQe%7um4}TN}ls)Ua z0=Qm+M<@C>cI+$Z!f-WV}iHub?SvIf2n-!SI6d!;L-RDgUA7WIHo z@{&BOZ~^c(mjU{#OtMw5Y}F0Pd178?`?unq;Nl;^?&ADRFxfeXT2oc4GWmM~|47yY z2bSl;1{qU~?% zf?zjm`uW8lW4R$^3N*Ntb{K|44DyP9soW#wv|XvwxB^a#CMeq9#GPBZw2kH*z**l0 zI_hDvt@<=}SH-wr(_Tm z3VlBp(`;NTBQw z{2+aInXY(xz^w?vj~HTxZ+Lu3LT=wJ5Upf{BHhAYp*rMnRkwz-l6glhd}g4z;sv5& zpZ@{U7qKE16p@TTK7{}5SqL1N!mWZW|1k0@?UIwUS|1~B8~N?0i*qZPgnk75=^`xf z4+|6YdzD`Bpo{mHbt*vU-MybKpDaBKAx;g#&sdyhv_GrC`v<*r;ONEBaca1sfPKcu z>v37&UZMVcZ1R)|X3D}piW)bOsaL@?j1ZXyIQ64@Q8T!n__P6sigTj)uDvJ5lAVP) zsw5VqiMMj*#6P@w1P#e3PO-NZ%15@EZVr-Wv}3JLt(5@rDbCqzyK=0Ap_%`L-J%G~ z4Mt3>QdCXQ>xq?6fRxJgZDh!~qA8RgR(;78gEjIh*pChjQZsvvb<2@T{}KMKMfI2G z5gsZ;5bgJUT0$lodj8kAM~KY?PBG+251x_IQ_xQ z_|w|wNEg+&{v(tSXh{`5gxhOw^wCzFD}fcZg+s+6a_n*u2Hhl|M9T`M2n_FmGk9-j zNvJXm#VjT=I<@*bbx&Xn;UF45Pw-5iRKcd@eErvYa`qTkd8Y~-I*R-NR+|Z#tHRT}X z3Horhf`<>Eby5_}hu{QBXMpN3kdyS`*jcFevgHfg%J7IXZMTe(JP0ldd>yZaEmN+) zt2H()#{cX|QQ$i5zo6eUaEX8GKYvu6H+u1+n=dE+hW`I1&&^SV^&v|UFQItuan-j` zlsr|3s%3kh+WIcX^<33E2;(V|on{1BJf;97Sq>RgUNOAX`t8`mN>}iyu+2@N+C|d> zKHzvaW5iztdvpc5?9DlaI6EDnSZy8n^0Ak@^V*tFb%(bC#d@LlMn7HpHMU_`zs&Pa z4LQT;svKl`KFZ8Txz7d~{Jv3FI50(EnzMx{JleX}@sxjuN@1`Q2EoQuJHHhiT+flP?TGJb@dquxlg&L)N@hNM({(la1f-LCN zuz%NrWTY|^QRvR+KV8yeE2)+6B`BsBAVDem(n)SGY1c~>SQQ9yeWB3V6Fl0`u7u72 zp-5Hen&Q`ZJI%4zIOB#vTB&DuC(cfB<=Kb8%RdJH1y^_US^`2u0cQa>P7mj`O`#FA zII?MucljJ#9cw4t7w@lx1!g6M6F4jT>7Z_*NdQI>;sFO&eLYkYC(Eh8MyWr4h2w#7 zND>D^9sALdK`Qf+1L`N8)HB&e@hL7_go=55fNJ1U>bz1MVV9?M9b$>CaSuQb4o@2v zpoV@iQRHAw%czwwZ(S0S>CzoP0cn(+;`(i`s^> zGv+%(1+JPtsk#PZNwXLHQYUupw1rYqQw{H+IrjK(JSbb({KD!vrC=jK zN_$KuWsGQelBVKUMA9q%FRh~{LO@O2Y;3wxB>fHeZ3i~siR3+wE7e1oDOXyC-< zr#I^J8z_;2N&X0`?l36*!81ZUUb8Z@oGN?-RPJY3e0}lUoatK*e`6vfP6zr6Hwc4= zDM*}vYxL^;?Wj?Qrw+-109d-8Zpc^*SzEr6A3U|;=kTweY6ovOA=(3LbHXDE(NbJ%yxfR> zPOO4fZH7DI(G=VYH|kjUiub)kou@~)DcFE#U4_vlZ&ES1b0`!~o3y&da* z+9QnX2yqC*s73F&Gx!LaN#Bl!*Tlb-nhEjIl&U)MABf2wiTYS?xk`JXNTreLEVTQRASk`H=Q(nv$0g^=oUk}t_wsur_>bSGTI$;oV_JG+_yY=F z=9<{)L<)#|)2n7OJ0&(BG$5Pp%7q!=kSmM<3sARI$swB_mn<~6hjLuG(%(DAXOCz= zM=ahoa!~;uH@YT*Ne(!Ci7Q9NynEMoPJJ93AmCcgKa74Y=Rr|Bz=GNSG&SSEceIR= zAX@we;5y@^I<8%9@x?0l`b)6!8}2GWPEO-#|zb2%a!FIX9(Teh6`SvvOzjf7H})EJ2}^mtwI7NQynRPJ$Uh^@%lqB^ z2>)505lM>1md^&R=Sn1H;h0viePbeNkP{#v`2M`6k{7MnV3U8yPaEzL$L_;3Mit*q z?r}haCnzTybJ8hs+Wh@16np#LXSHj*&Tj>srAH9ixW@Dwha<2R+RD~-)v4=1+>9FI zrO12Va_i^Xd4_aS6h~rp*;g;BC!}&bSa%8uBQ2*ewsB{B0-~3*ltS`6d55^k*7ucH zs^2EDCMVo~044Mu@6OR@InKSACkalaABO#t`5s$=!{eRr&^0kGX?(8#FFMBX$;0m_ z;uywr#9$-U*BnM(JnqpiwV=r(i^F^eq6`Nd>{B+fRw1?Rdz%G?ki<685W2FgcaUu5 zA!tbZ&)#-9B#LN%!c^>E@zC*WJamLRe@k85t3mjPQs>YR`g$pbuSPHH?cS`2{ZC3( zZ;e?C<+kbrr-jbZ#E?HX}UWa$jCzx18`=Ga<7tb6`Ia2EK` zVFQ)@uZ?n1P6;V5z4a2gEi>O@VvWnq8>FlXlQ+_lf6TYXhxQJq&|QX`VI;@PXY1D} z2mZ6=bbfMU2KGHh6}&g~;UNL!-HkZE$tm=;i1CI!F-jpg7xRy_x(~vh8%vAyyj7aS zP8U}9KB%bH>bPCG6>Rala@)Yjt(A(W*;3UG2^7Io3VeIhTn+=OdqFC3Tj-{h)D$-rBK;!12c(+f zMoiM->F+yp>a#x`RI~{AlS2&7k;1?t)>=zqW<4>gH0_UTxf@VzNOd}Zi^ru4LnKLv+;yp zz8i&lKjPp?YKmWZYwnLPiH`#M8wp=7gl}z$sQvw52VK)Tk(>#VY}nkA%+#`e`!CA7 zEybqr>l@{7K8NILX3K?vf5Z!m!SKVyQ-EpwA?0SF#T49ue#%>}hm07_Z5-w5Fx!25F!+^k+h{dIjmQUm*n=QC4%gZEsKQu_S* zZj&(|Vp7KpajE+HW(Rg_6%*e-cWIL``YRoA@EzHUmO0{WqmqJJLN35{>_$iNA7V+!fb=^Lf(=pnY z=_Aii?j%y)@4yRMyGl~&2yzrb+}9<{I0sZ4?myC=xeR+L=O|6dMd~ww{Vw-H*t8l^ zW}e%VqW>Xec=x*uBD+T>##>vv1QV*wDHcKS^b$*HBiYJvH$C!_#34F6HX)V&uZbV6 ztQuLT5uW1y&CqtG#D;U=sQ&&72l5SUQQ&{jZ)WlS2X|J67R$t-il?Efg@k_h#Qvk{ zL(%x%7^{Xw_3=}I|8(n;+ZssSqd19TaH!I0V#@q~67&D*rQIZ;e$tPNzEi@v|FN8h z%}W-`>v%1q@vc#?>!J51AvHE` zOVGGtpJ!5NR~;_JfZ>H|W1H6IemdX&K;|~Nnu=0y{(bu`*r^6b8d&41#If^tU=b3= z>~w$2m(Hik&K1A5pLsbFWvOLCdKsh*9aShu2G0+-T0Yt4^5NhaKQvf?wB->M)w>RSK4DOxS9?p(pJ`U)jl43d z@Ccq|w$hot-d8~9xHfB{eP@nlXlb}@mbKDZK+qL#Q+EZsymj}FeC7pm!93;g4?KFz zVq-`JdV4hQmr<;A=7=`-HU_W}ek*sc?=f7Le@=a9>}SVjqaoYTD1uaD0cPd2C2nLz zCIr#Iq4J}M2d66FqHZu&O#H{qfdOXEi#aGwqeEl+ejA|x|F8JEiexmKd(w9TW$ zcR2xB>o~tBKG0@=)>Y6RQ+eQgmdtt8mASz6A=iLQ5$rY2dft7@P07dCa^Vmb}5)h(G$th%`t4;xLwDP@7rLg=lSP7#FvLx{)u+jOInwg+bW zqFOQ3jy8Xs-t@sD>;Nmw{%`xyszem>wct-|$>n2s)y)@H!22pb(x0V0WzbKuCw}Ec zcO}h3t_Sju{tKx9K(^Mq6ruku-s#Mq&45c;<4oWqu!= z#c{<-pBrhok>DgfsvrcH(lb0PdVtAs(S}Cx151n;{nO;shnKRBX4z?D1Jo%;78y=2 zt^%s?R+8eAS^tl6tADJ+=m~+?i_;%=vTt>a+`;g2j1qnK3Q)FGjgd9I@~H{ekF-P; z{pshGz%qKESRmkmHa70!s6`}BDd!G`5v}&+Y(v8JPNDYhLFPx$@yqx5iU{3w7?PVd z)KE|d+qq2+ILCdyPU=hJF!wZh9U^-M@g{!4z}9)kf&<4EAt_(jLvvP`9X%eL=-K*+ z?3zS{;bj{|r|68Ld9bxcDD_paM(lOAHu~JV947n}C;SdW*<-P#9`%+j)SZeyjxd3& z6BKg)r^{aQvT1{r`jr<|J_Nm#y$s8I@FdtOo_i!|qm#WZI_Fm>}pbd$3%75x@grsrmuX|c5 zN*3npytoCan)Ak$a3Z+)EA3HHqeHT~1-7X^5)^_@kcS3K5kF>LTka3QuBLYb9> ze!QIH_NPyZgE3*6v4rm(z2EQ8@Ar?H$DMo6 zJ@?#m&THoRJon-tm*S9zll|!c46}GF)tkn09Frg?=0;FoDe5xE3ES7?D$NgaGv!Ag z3eb)}04!aHY_Ew9S?{K@_&;V+#&@6RnL zBh{kI*EEU=R@^|CzkjB#N!($Me2w^wL>k8Yoe>cf6#@`0J2~6ak>mMjcJv?4-m7VZ z5|n|DG)v%59_TjMK+S9f^SI=WSzoz!eWm-lid>J8C!iWhL0y*i1>0vAV+}xkvx~$8 zC~8h4oJ74K^oC3AfB%Y=8-eRbPoR9ltv+t(=hUg?Al`C50@b_LLKK{O4!U_SDCY+; zVn(Yr{3n5#Pg7IEk z=S#fhH_`7`#8o0?%f2Z;#0);H_kFFbd|+B!_(UPPjG_^Q>wgoU(|!EcXE#q5MOr5l z(|6m)iici{N3QJ7y%jWRbjZ^6t+5c-jfk$5XSi3hVDfz$~ zp>aeR0r(y`&#-lRSkeOhs5w7x|MStHq###|$LSv<>P9-*f5nUSztWUC zR$?xHbi!dxzugRv8@p47ik;#y>tR*zfL=yPWlCzcfo zlXfif?D(hAm5$Fs+OK~z5qBBtJfF1UDA%>4GO#(u|5Cv2r)s({Ws?_9*C4yaH@oK- zmyxB#+1=X>pKrY&b@fRAIi}!bzHr57!|~pQd&ySM&K#b^y}~?ZL+R< z7egJI$=%VbC>!fNn7ivv!o`nr`F_KxYPfA3i8xV;-j!!C)Q8D9bnm=Q=T17K&f@+T zlU-b01{(Iiu&K^t()m1#j~bZ&%B9h-d+;wes{4xd$h#Y$%EwZV+7{`Reu_V)g*%@6 zr*}T<$c@j|DR^Y#jGO==+E@x~2hHHi)pOF+JtJui=xHBoj@m^9kzDwyCFerrd&l82 zZ80V2wQh3mY;CZHmA!VheM?qP+go9rUCtXkA4TtUkj#GU!7<;uFz;yx{f1*-ay3&g zG^}$!*Y1>y!6S2+1r(^cNp;P;BaOa(D$L77r_Q^?^9#u?hviXwG$Av9vtNAcWzrNp zNx38@-0vsi_RPdp>kB5Fo>u_-rE3y##j)SguGTq5x~P011F#R2&wuTeO()zvzKS@d z<+Ry54RnUM$D@DhXZPpsSRzYAX=#H8JMjCpJcDD|eD%_u5AOW!it(ZQ`{_nsv%PrW z!aS=AwflmrfmRwyRf-9mUbCCAsbRFyX+J;%wSHyTS};Hyz9M2yF~50 zV=pFN4(clG%SYED-*4waM_5u~yv=Bik@P+Jjjs{cwv1{0vN>@5-TCU!rm#!)ce)#e zO6I(%@9H%tMia^N1N)SH5AF*nrPl^>vW1n!NsF)TFZGp_7;ir9ywSdXlWG|yfAjug z@OIOtYmZYBQ%?Es068h{9JWC-Cq_!jcAiEJ*BFyvLqBfycem%cGkp$&<~~>R!M`WL z@ZiN;`>(GqN(6+=)|0o<*FDnv2W1oq1(*12_|nzJkSXIQ-2>t=QDL-|A#Z{Vd1SW| zlgXn!NUIj^T9%8I5mBo`nSD$a{enrKj)1+muIL3XPcA;@x%53{dCKfJ4| zjH7vKf;K0R>4#N5g-SF%h1&RidzbiH_s7l^93!|yiKx^zMMgzvW10=b{ERHYRT8FeUCQTZhGf`t!l2- ziw}BDQ0WBau}H#I04y>k$k4r(lv_P^y{a*W8xzs~lS_6fKw6}{+dtzHd!+CSP94`o z#PVCx3EwsFNHOns0Ey!^rp*(Y4q|l!j+-BNY5s7mKLzj^osuzfu|orYnSyNza9YW7 zu8N0?+x{_;1V zsQN4s^|uq@Dt%8WhDqajIRQ$524l0=>&;$3`DbHaHEMOp)DdTLh=HsjQ?>l!)G5O!>n_l z^%(%ft!$jKK@m{5Py1E6|8xRuTd&QIWEMj8dtY>6wHlE^9%Rigx(pP;@L*`Ji z+9ZIutrFmb{w6yL)%Wb1L@f&)YbCumhu-X6e6j#=YXGFb_M~{&<_{V0^;KuGd8|G; zl=2VyKK&Fv8+#>dzyCm&s#a>A0m^({`tXB)Jw_|^4O+sP)Pk^(&vjRhm1y;|X1T{_ zGK3$0FtLB*M#h3NW&epHl+~xckS}R`iRxtlj-P2?xs`T^Z`tt#+_;|wm?qHm1HJ0c zsE)gz%T?m3`X;&4Se0#Uts9l%d*L^Aj%)I54w|#d;&niuRv7d7ULqF@F9ydK{b+vd zU6T}rScPwrjFYtV)l*H&^t_hB-qi~qwN!+v9-wv4v-FXr*f+5s+6rH=EfZ22Es^5s z%duN1;}EC0&An^Tym(?;tRA$J<6`Gak?XslklkAx2G-`O7hVw>w+i;*i^IHvT|@Yb z%dRlv*jLO0LqbNv`UaL0d9=Ql75Bv5#yNTVj#Z8Z(!y^OcQ$+6U`?n_k^XT~D8}a{ zb-L|hWO;qJUuIrxwYO_%(WeDCDlGR_!2$m3bzK^Z>r4KH+k!R-mT!vnXSf;;cqeDJ zCi#ZEH?=xl_~K91kKpOj@yZiHg9p7-1Ny4HO!Nvn(8(+ep9lO1`>NpVL$5S1I6|7lPu=2*SeM&w?#9dCsAZQi6<~eW?ch& zIHG$MEz_cFJK3mR9S1=(p)Iv{=LGJ}N>7lfV=wk#R#y|}+_r+#c*X?ms;M%LR8Lbqo1;SD#weboaO=$`9M&+1~ydMco~TUY6v zVW8!@S`VMM^HayIbXeSU&z_pmEOeR?t=b4>Hhrac)$z~1pt{p^PZs}V&mVN6TE>)? zv-VP@raC#vpDYrA{2w2L9Nir#k(|K<)Pb`=bI`~@n-dGnPnt4fJp%ZsY4U9(LeucN zK+vmlbVa}SU&aVfT;K#nWV?<5jeAv-9I~$x6r{rckxZ7@B|Gg^&AiI;>-YCWOV#$h z4~o+IhoS?Sg-Y$Yvr$Dt$TgIIYl!-nN@8()Ab$a(t7&%{9zJR`?i~9MM@JFIxR#KN zgu@+c8zP=poqSp%#>>xd8af`zwuMV8l3h6DI@=TR%*h?Aqgb4LTk=iAs>A1)RR(s8AsrZOmQ)Y9-Hwh0FjCMWL_sx3=}25dLDPI^(XRwjK=73Iv~)a1LS z$1!x1Sqp$@&$WLgtuC4EnhVaz`9xVRH=gM?l%6F+DQvO<-~e}aVg7sgd9=$u(vt$n z48XX0%GGrl5l6SZ3$^GoxphC0c6V_^p{&Qmv3qI0&#S+hBBwN3dNmD!JLJ4PzwRk| z-!2aRouK8Yk{hCp{e6u+^!udU&qM1@IJQYmJ^63;>BDp)@f;K<8 zMPG0ISOV>W=^k9ipZS!d_Wkg64}E^p3)46}X^w2K_QhyccKz8nT4mp++zQ0C@6I2m z5()j^Rw|vf4h~zoqQL$!4e(IhMD*nz_wihZ?M}fluDi<8cZb;NM&?Z-JlbRLrk%)iYUHD8x85^)+2 zkLT?u%!?wD3Ws&-UqF-Ofhgi?a1v36+D9oFeF(MRyL1s=5qX+GP(T?Rd&ANS|LE^( zE9*X5&y90c)qRV-cCB$vMO<@Yt8Zfg1QGuje5k6f+cOgMOtF)u=rg-CZFgq%uJ%j= zXXp-$T5Hn2?3ZyWlDIRq*~BT)u%T6T#z0f(h$}g@;7HS1!XN0apY464=!`@8QF+h& ztSv?{J1YwyDp?%*Ah!`*GU^8wxPnbq3!dN@Y}>^spm1B znXQ3dFEn^pLm__P`UQGUy`us=5t3mQ;zLcsv-VL$P3|?^_qWjo66`}wy#Ieg6FAUD z>9uKfwz`o3Ouc%JB~CIJh&$!b&hTc~82HJ9GjHL4_T9KIX)7-x*0ptikrX<-h7>R_>y`E--R+jq!ZeufgiHqXi zU+XnnotXz%VFlQcr$5Y=4J*(YTZUIn+>FJJ9-a2ixfG!yj?De*He@E zVr{pxs)nh&>R5hgAJ$VxY?8dHz*{7D{od2vOw70@H_0Y$YT<)KxP}AGu%b?U%VF-c zpL4Bm$K{;`I+QEWdxuC-Y?5IZgY{3Ov}l7!HijxY2D4q($!p`2YC^>STJ}15y^d0l zbrO~fg`(Qv>%sRszuyTV8FtDV@nFOsKoD=dMnL^_?!(-BH+Pb193I_106Pm922`N8 zgIV}q4eZU`sw+7Z?ZR^~u9)yssp*Tmp{5k0Yzu}%Oru`X;>iQ69)IqZ(d0ZnR`Pnt zN7~hv*p5S1GT;7)yJusEcnu8hgbFp+1f3ah!QCIeHmQnQn^dEhW5m&H%4J61A`P5_ zWi)-!`lmC$W}YN&rN^H%@GUK6@ZR46G#@!LCOztw$R)RW;isYVZ=;VPglB8oRT=+$gvy1Q?X_uR(bw z!_ZS>P&XLoK$8P|E2>|5>dcOShpbS$4hS*u_+V`>Fyr?_fcCqwN!oV(8>Z#p*`$t# zmtC}UoR9Z;mL)5Ww6ZjEi;UMGb4rG(M^rr$iiI0K*wpF-P<9g70zOvRpW8?uJve~}Z zsRCkTb)N*&JDk%@4K4vQYiUpy-^nt{oICRUP8YIUaUad@hVPj4oe{;d?-ohlXrU8o~vh8xzN?g*PwX7Vj zZ`wKf)+^z-8WpQn&Ad^GD3?yy;34Yp5_QLy?8+(g?D)m}2Xnz@yN z5%}1STR+=>xMEQ(HO(l#7e-E%>Re%`4V?FXl!r~aSJAkV(2azEZ89O7Cp1vnLNij~ z(6M?AnQ>BPON+<+crsr;kx8xXIihEfa)q=U9%30pwSW1P!8lEF5?&2pBv#z|{v@h6 zzZX_WqBAa^uR~w_VE_8|&Syt6#(WgBf3GplIE-Lo=z83BUO%}-Y6Ox{tyMu=-C%`> z;S3WC&X~Nrrp9xR^9mtv=QJuO-nn{iR48Pz=vHm9U%<@X?)0Sw#GSqOkg2sv9NxQh*#N;Zoc1I_hnogIj)H%}AalcDp;Sqs*Xu+#^Kr+ww9F{x&*NU4dD ztwuT@oV8dN4RKC5q9%mU;dxI8le?iS-Jn&+1~XV{9Pf61VbY^7FZk|^l=#_%=E!Qq z9R;uwk3gqa-NqZC0VbQYI_yrZ_dW3(ZEcgs`o58F!S^f6S6ZHn z`YyydzDm57360O%)PxoWGN`ay?ZtP|7v+|B2M%t;KYf~ou(GWgg~_c{AMadoWNDJtlPHctoq zDQ*#4QFm2g+oL)I15RIBw#=S1;u#sQIg3hgIvd<(rci{zF!bFYOO?-tUz=4)o)gWw z<@7uS^%SZr`Rw6aXcu*${MAvec@-Vk`(N##w~dHyHlmIU5*1I*5G_q#Vwk8*bPtX+ z8R5!hvK=n8ri*tF+-Sd0;tOn(Y2!YDRV^K3yxshNhxEdc_FknM{V;hqGWwt&a)g9~ z9$b9qh-z?em|7gLT&=9Fyx)o8HZwU(gt;Kt4aH5P@3?59rlLn@>u zs=b=}T4ySjr>TVUX*nxh=3WP0NkLu_g+lbg*+0t51_V@|3W#1$dj1Wc~_#wum0O54+c+aosjd0*H>z5kaTk6 z;mCx1!R=TIp|TkC`&Wg~wt8s~`$^-Rz;5KJOO{)$dfXT;lM4LcA_#WgH)z*CFNv!; z^^G1VmJDaDbJZ@S6=Zt@Iu=|jz$xs4ICZg!NpRjs^ zJE<2wZio>TIT;FJ#(U!L`>#j8*kvYotXJDMPT*NIH!XG zYeFA~4?aL%HzKNcBiV?TAIyB)CI4MIOmb5YI1az0X>ljsElIf)85=9}EU!qW1O8RV z<~Id7JZ6B~zrx?G7cQ}^L|1+%7*kvXMOOcqlW?DghwRi{N>M}-OODZ|oN%n;)>wH{ zy9da7M#P}QQcV~A3^5!)l@BM9%}SGGB&+Kl0Yib*sf0xFgL@E!v+I~=xk387*ml1q zVT(Ly5+7$Z5iw`9HWM8m#nppbM<3JkIhv6nhKG|2fgO+brnbitU>C&?LR7sSwT>m6 z!{Bla%FjUo|8&N{s0GIAk%xrePYaCb7aUQ*KbUb;lLJXB{FQBw=`xUre675dYjyPS zcBv=o!8eT!yQ}cG^Zfj}9;uB>&tFC@xf2~YX7mcs;;P)Qorw-#SJkK2GU0O~_cfMs zVEY1uSON280n-qAZ3OigLE_Vb`v|`?{E83rSi?mq3#4 z>S#>RD(Snl5CM}>|`Hi%ot1AqoUzU_Atp($Wo1c9U@^!gp6GxTV%<6 z2}AL-B#b3w25FA|=bRVk#ksC?o%7;c*K>dF>v`_a^ZDHOi|5S~Z*FGH$qr?QKp>n5 z6GKY~gc*Q9n7WR!9G>K5=lwWLZ_H8Gj1DsdH@2~{(bm>>E^3UyUo*2tQ=^s67+G*CovUNe>lmAp%ha1UZ(>In zNz3&8UHT+rlfgK|!^K!yTAKQ@G`UR2j%l*27m`ZH7|Zm!kTv#hKvCntwWJ@j4Z>FKeuvVuaP1*5`GA&}Fp2t&PVI0}`(VFSva z>EYo{9<5lhrZ5Fb&f%G*XIJ(k6xIvtgYAMB%7X$rI_;r#Dr0CS(%SHsOKr)bCa=~&r^3s)oF)>Kr;f%NJ5?o zWi7|`t08Uq{Puy6l=cN27?~H8)s*F{(`bvKbQsY6WDDZYHc(a!L}+ZDCg2LLt(8oS zHJBE7oJ5y?fy8vADB)vUrH6~paZezPuqRzF0jsVYv-5Du#k1S9rwD@RA_oLTPPbpG zlVj%0ZjA|#v+PyjH2PP-dFG=&GdiIz&MwBWL$}<-!+IWCkG9vGm<>SMTCo+0xJ;Fc ztyTosZ~|)%hESVTyQ26?-h4PSTZWTYO-j#Fb9fr2|6{~i;BIv!tmV#fButM}&jC$% z`pbR=qsdXJuAZ(n_s*-5drJsDXIef}{!9=nA_n^ucDfQ^XX`#~Oz+e7%4g+?oi$A6 z|CSMaHSbQYQBm#QuH% zSu>3J;J|YbsnOwz(hbUB@qK5(m2}hYc)i#IozH}3dVfa}a@Ozj0xNX$I@!0*@hx%Kp9o1x7rU(#BZT=Oi%ogb#^+M{+nu7jlVpLP4 zDhX0*pz$fE7EyPD$|^$<$cAC{6IU91c})Zz5TXj6`n}QH=T3-2;w0@>5HOiI?FQD% z@x?{)=TlX_H7Pks_#Al@7#7&|bn=5b-X?CgJi1blc11esn*8%oLum}_8?oY^ywIPK zzsXj!rd&T+N6f!zb8JMpy0OSvrsBNcYL6??9A|*q}nP2 zOV#5{EgSAy<7Koj-d7h&7aR@k+va#u;5rK?XSn96<@e}ss-k@ECq(-BK?fpBqxV;NinMEq+IRFoGqt z%NmfwIkw29br=2JN)->MkxiuV!~}8-S@P@ycNa-Kvih-#6kfkNR>c^QF)BXDAeWw5 z5j2OYwhG=WgjpgBrHYd+q3Etf6!2RLFSn0f`r=p-CPqsL(5iwp|5iRos#e;Sw*rsuS zIDV&ujbxr#J5VhNm}LTe1EF`WD$RUU{aK@3-(XgK*X|71i3Zhr4Jx1zMf8+1Cs*c7 z)kki*W&o;X)J;P2q2x91?}!q6)spFxlBMj5Xf3r6=AK>7mSUv?COdPPYt5h!MomiqR_j}90fNKbE(4<82iRp+&`HrthnO8RZGi59 z#n(yHz%grGu+L8$2eMqCy~8z~`tNurlKF}4D|G+?x7Hmzpq(4zlLSMsC8A&l1ZKHpqdvz#>OkwvtNbmHaygb zk0%G?Gkcbcr?&FZbE)||n6j_s9`>WGB`RX5%kou2veJwXRToO+p=3#Z{hHH|jN`z= zYYbP)YT&Q@fHFWnG?}*4mY0tmS@Hd)Ir(jo7sX+~VgA)P@E&>&A2w~szy+?7VjLMo zljvD>xAIfla^1s9J6*czBGP+&A^TIavfsR-EyPYFFhz_RmtP*%v1Tg>ee=1OHiT<# zU(#7r&E83T7cd;ib2L(t{F|X;Bm0*4z-_Keyz!)#@jE|XVJ&|TftH(8M$<26nx4IG zI5%qJ6$~%XuDL4-|N-i#kO36@|>Dedo?!dw+Y5VXNkS%ZFN_* z-wWL4$0l$?zMDdIJ$U=(l$xj0kab(^;6sBu_E`WC1!m~Gw83}}rml^k;RlWFmkNlZ zJrb6T$gXz-|C(~U-8kE8zm@ElKstQX6v==45A zzfd^M!L7W0Yilu20CznP*pqyDA9Ui|E1jJ(M7aKgip9hix84p2S)+gCiVijVY_()B zoiNlN9<^S!+Jg2u6Y&735gokFv~fwEEHW77l*dE!Xxg6IDy*7KL%&HFqK8LaJ|Ki+ z0M~xF5p!q&bC}|~`S{6s*Y$dcSjpdxEBeAP-1|qik}qF~*dCnv1bY|shzXqbjd}-d)dt-7R`~Y zlh9po|FFZ&2}%u&^Q45{gUDbOKX;E@A#8}c60!P*>|!2p)p?Kji@8MMOl(G?&a%jU zOv58U=y6`W7r$smkI*}*U}b#YiD>Ra9f5%7!1&Ls7f*fhKsmP;McVPUJ=JToqbYMn zo5)miaezX)-tdt$aEId2(zp|iRgv`nHy1eL?U0A zg#LO3H4vKa!f%$<{nlfUq+JUqi?L_I+(IUk0U%0TF0 z6ph2SipOE8x556*|E_4YaUZNYW#W`;_%_Z(5DgHnO0Xy_Wp`^$=XsYYa^AKdl8FWy z<=PCGvZhG+QD|ZERc{zb_p@#Vj#`me0b+i{Z`dBOfTkt=r`P=QPt0hcxuZ;T;+ZSQ zg*vL0BF#C=PJP}HfD}D`nFc5hTdJ;KF!Hef*8k)*Nh_R8w<}|_Oe55tCElt5UYn-_&t6raJtK;N{pw`U{7KfC$M+e*t!eu%Co~c6uA>FhZ1!gqMBP%o0^Ac*cr*Pt{(N8F z4axt(&ZgZM5)qN>Sz~kh(`bN>(~0XlMr5a3p7Ra?_Jb$b5R-D^#@dY<9Mw%zDt215 zxvo3;q;tl2x9_XgLgNOkx{22 z@H6ORq5z_KBK4C&82+kgO@k=~+j6i^$~C*R3IiHP?xtE_T@wAn=I(!9^y^aZDDBIW zWxkBy#Xg^+RowlxY#Y~2Wu*k4Uyhe#jY1ye8kuo)&H4?|x9UUuU9$Z9&NDX7nf@3n z@k_k8+C5p?orv3Dtqmma>?D5F3?`>O{a9(GJTwAcrz8e{n#j2 zd3$S-L*G10C9gYPz;$;TFOuX-M1XA=!rs-V8A;)()Y^Phgr{8IbmB>W;zOaOlC05`{azibM`z zU#JhrPyeUG=mf2fABCKYxi!vkrZJT?LpF~x@z18NAd&xxK!~eDZC}}BE^O><~9>{DlgrWlAUSyR1$yX6=}LadY$}cfUe~F_-sI7f@g%F z!(x^bWn3CxyrpdbaF3bs_S0H2vDz+Jz_B`qQw@-{}s_oa`iY%yp9tSAv);q P-y6cn%d+UtQ<>>s)8%+Iv)Cs+Sz)TmviNvZcmMzZU+&%8_W%GU3IM=p#C?En z`PrH^iLUgiDr!ihs{l{r;o%`g5E2Lg+~3~=06;}WMIaB+*WzX+smH2vB_T z@MoM_HTQ41uT-tg8p;?}0mNXA`*>@1Unp zv+u*$a@3sS6~AkRoKbrA+ND0)Xf!u`z-`S1VfZ4}e4pbJ4OIKl8mv*Cp?6*r6O1Z< z*2n9SD4quE)z@&Yzw@{6_E`{Xh9V4mbGcxIvgr=|gEUa&sP$u1?wJ=F7cIw#nsL23 z^Wmetd5xM}`%yAH#1YC+w3>C2s30;=Xk=VgTC#I(-tx0PEoN4*o@=Ml&aBy6{aOmK z!;=vzq{HIf>Q^MC;QsZ)41xS|fr$Pia{MEt51Gl`gm8cxnHeQnmF7Gr$qLO0Ey+Vv zvs=N+EJrA=m>)f)LnwPyCFJlW>%hqpa>1uM@*ndXpi=JOwAF zw{7z{1y2bt<#%7-u=ntO#6Y=nwHX-050z+%Pyl!WxvAK`%!XhyPxZokvnb^+^}e81 zzZ5Fwv$)Mf&1)>h#a|l@%9^XL@hVuo-(f2!YV+OLDaWwR=jEulP8u?;&0#!>tfX3D zcBGBXX`IAv7SUAr!3G)FZ$>eYYZhm1?i+Ivvov>3i|da}m>{^(TzN>&>nZB1_1K>B z+T+x>t9}7q`KK#jwuDu;(byuYSzNGFQAc_JxgD(w%-@m0$Y%|Eq3>-2Cmd!sHpss@ zz#9ex-RfdI;BPbxt5<%kvr>BLN=DY97w<;k@Y(Srt$h&6+#RgqM1^8nV!q^cP8jrQ z%6`}mhsPf?Az0NW(qHd;^hB`wP6`PRdOi-fBYx8nvL7*^;XJ+yq0x#;)`C0O>*=9_ zbUQ;`P3hylJFx0V4jMSGjAoL805f}uJ2fO=5%xNg4n6ynw5_nHU!1czgT1>Z6mt+6 zYewPTN61d|h3jWgnQ)8S!fMk3TMsf*M*^C|_bL_*1UgR?cRWhyxz%^xb5nISg{xzW z9Zo&RFw}hXh<8KRqTr13j}`V4aWkoeolCuZNfKgoU`QCWnxa^@27h~CH}yjZn0X@M z2{mzfsG}Z^$9SX8#16q~y9v=bne!L$bSGj!?_}=8R&VArSmyYVmIY*;SC*wou)*Zp zo2flM1pg+cc$v)DKcPGZJ#?aQ38~aFqk~x9@bw>ne&Cwz2OJ!b@e4!^U9;XUuo1uP zq7n4K>xpr6Q7UV8gw$XG5%H)Gah>yhD=#QB`Yd+d7S(ee`h<9O3$daaxU0jJ;iX49bkIEY9p6swozL|xjcxE#F)i_(8SO`fZ-6ENrSWX# z8@Bd-Ju(uET0mZvputomX+)ibZ;B!9UXvNt86w|lZxtz3Q0l*szQ)_@gNd`dt!4aL z1JJSAfgU*R7Nya{Vwmarb_%bE`?`b|R*o`Fhn&#SDAU^o{2kRMGvDLNr-JlKDmx{0 zc2c_Y=AVdcV&;H16F#t_%s@E}yE<+4Z&c?uc&@V{u26ZzgHNl!HQ_eryAR)gvGd2{ zEkrrarC+)uJp4(t;9H9y-b6|oT&wb;f=pX8FX>krqTk-BxS-S2{rb#*OIXqMC0PG^ zPJ4v@} zUp!JuctQz0_osUo-N-x_LrowFNFf$SVa0+mER+KnPxMd;#oNFNyA<_rw%T4&sDz?z zpd($1dR}owlkWih*&d(c!xZ&`?P0D61bf^^C}tlVz{eVZc*OAUqPW{D7APL`|K0K5 zWd66JdP;>@g%$JpT+q>qeq&t|WgRN5m-6O;dI~*PwCo~$!SG4^rRj#vt<#Lf-A6m< zRP^G@>CCM+*V;SDF{Ayye#f(aM06J1PsC2n%WpR9U;1#-Z0`=cqE6_~h7JUyn7^!^ zSL!Vd_3{inCpU+L$6lMXr%6;HR3z5f>L%Z+t=8D&@H^+Rm1)!}<$bd?o((SOy%7UOka+SYiQsoiqUzW9{FRt^K1SZ-V32WJUQ=WR`1VqyE{uz`<~QBY+=9ZLi1 z#{nigv`iXxL%xnUKVO&4=2Knrq&I>GW{C9cGrST7kKX2Lj^HZ~0Jc{+yyhjC$3?j3 z71(NbH8RaW4o_)Pl1I3kqrHyL4k%*fk`zTpt#$EwMN@L#^cc{!FS0e{G*Luy2~!`u z`DNJKa*SokF1ACV9C%um?|CYtQkh%8ye36t2`v$f}^Cl`8zBK>Jcny zM5_V~QMLR+`Y+z*^$Q{7>6iNR(yWfiLhQrk<*2YUYA%VVcF+{chx|5zU8KA8*dz4c zlQCibi~H?3mm}QiZXxaS*6et_^MqK!#7mI5U8uRv*SFcOBCoc~>^yGpjeL8T>}xba zRKBmfavILNl^9d#FA^0M&;JMhb zyc2xWUnC`Tx+#Nr*yz6H9K|OJp?-h5My7RfLFgjljg6~mS@dx*C^t9PVu6oOPY4AE z?6n5t#@!O0?K|3knc*GQz$1H|5;*Wqymf(w6QZj1uxSi~KTjMOnml5a^mX)MCk-f% ze=`J$>U!n#=Qn(tAf>q8PE48TF%~ojxa8;dTp7h;{0Am;zpnhF~DF!H|Y}4mhl^ zo#}(qHPF~vMCk8NF|pk9Qcw>Q6@PwvQIQIpv|(&bOC~|F3RSiZb>d4L1pN^?hD1hc z0ZzuZp|fGU8-m%el8QLir2?TM2DkoPvZDS4kRBz1@#Jztg~co*=6#x!;F${*ul8aF zq1Z^@rm_rMiSJOuVhROylsg` z8V^JeZWdhMXw?xW13dD4*9rF}>oI5y_$Ec(JBR`89a{b9t(wP;tz%^i&3z%do5|%c zbV(_U>T}W(U$My$WoD#bQ6C|0%E7&U{+g+5ZPO&Ee)L&`124*(P@0_rN{1`eX>e*$ zloxbhec`K@<*xGnP1zZDsro0fmvuFx;jUeKNc;OOU%O;t8CkD9IpSyh4LP;l@ZNf- zp~+PG2KZZh96kPq;@a-{K5H3DL{#Jy7L;5s#{JAT@EcxGHO@5|(0pzU8CsHfw!zg6 z#u}55a!)(GR31uI=tONgPl;7DPm>eJ8_-%qgKboaZ@|Az5?VA5e-{jIaKu2L?$RvU zV#z@5d3lbvLJl9NzKUOGIzCYv*-fv$@Umu+R?!CYRmc#neotglNQ#i8_wOlMt9h;+ z$1yaL%EX;TvQ0U&ExOSlkXcfgzcXa9F|Bo?d}vq|r;mYX4jRc=;8Sace*shC1#T&a zN3AEvHO47C#fAp5ilH11{FqeTGF3P;D_OXkpZ;;?=qJ^3e&$gkRnb^P-C*bL>%sxv z_r?i{cC>n}pVVQH4<)#d=K5Z9X|OsCZkdD|QAs(CD%5x)u1{5+nu^miKi8|z->m)K zVeB4$(3W`-nGV8!3OdJ9S`xCnTR3xXUb&}r_%gyd{@wet`Hie30af@X7j~sWB4vu4 z(b-0N#AEhJ;=n*sCLs;qi&)tZ6-#n!D~}30xwfy|nStxOs7q5io`1~Gpv`acny^qe zzCGe4te}6C!4CWQNS^5RPfbMulcPC9gVxIgvDoxUTo}|5ZA%mUGY*KXd=E72 z`Tp|srDQQC$#1%rT^k-wdoiS^d$i*#-KB;1ohb@6E--yezdw8YdlH#>ZtlS(!<#VH zh(Gz}L3(V^eoN1!qUd@@@5>%FRz&k3g$z%fOK(BaU4IpP!3n zcCfP<9myTP#1CUnwZZC4mlSQQ;ca%Bw{8t_?szI>88RJkkz0%7BVO%6-4Kif?Hz$K zkE{&7OyFn_$J`9%9u3kqP9d9nmhFX}D2k_~F0*XP&tVSN@>zw0N3#5Od(W%}V%?c4 zEvuW={~;`Xg3$iFLF+jp?3W+cw})r7cl?7XRf=wit=?Pqi{PU2_`Zd=SHBoPwGS2& zs#j`L9kfJ(W1}*MVZGm9N@3L0y9p=7oBVNwv7gk-??*iy9XcxO(H5L#mk%S0xh#>v z0Jrn7jrm_ z_~>89sZM(`X#5+>mOL!}SgGDi4m(ly&Vl)%Gz;Fb+^o0SVTN0wR@w7Ik#`BRpR*ZFY(iTz8}3Nj}};g{MapFTz8>@sfL{PQ_n{ z1m2`aZ7D}UigmV#I)L@xn}v+7lw1+ZW6SmXFg-ooLB2`e4a>mzL{_nAGgmV^rfK_k z?M&8Ir{4uc1E3=rQ{g9m(&VD`zG3$iCyE)HmI(71u6Ubd~ zWc`D>AI*zQNW6!JS8kH^QA<5XiRg4sjitbwjLLr4$vcDEx0H=B8`%u=$EvG(CWiu~ zl+Y&Yk(AZBbMsS3v?5W)hhlSO3`u)!o9;0lO?CCrFC`=3^0kvp>jF_^{ah{?n>pRUP$Zkw(*=|94XCEbi|X(O z^vE2yT^(gQ-bJyGuGm5GB=jAgBgzJ4!S_U78(x0AumM&I?E9~PDZ7+d&T(|w==Efq zlnwG}TQs4696q>dA{eazahv$rD&6{6#TFCQ-pT5&ryTQf_-riFi&F$Dl>o?Umg;o8 z@+r4SAH584Ekm0ewq2!VG7#qd`ZpWC)V=clZH0KIrOr#=60k&k8SsHm$p>+ME6XiF zTaM&IXCVtYzv&7D>SB`HD5iPdbGc|~J6NtcHD|=dsMjaBO53E^0;ejE;zY>3AT6jk zEYX<$3M7rDHDmVhQoPVpK%}>t%Gl(IPu?>wu`$eqI)!NhoB_C&W9vty#dS>&5ha~^ zLh}6+Gl^bv_FGUx%b5id@ls@#Zv1R#h0?bLx z6qZIa&D;9!N4B9V?$u;do>iCJ+=AEnkpQQcn>GKu*L->)`YBT3A(LFtXF#Xem#BJU zgkLjToD*hOupTM{Jp*dz3vb%XB%K~)?e3mU6S{RYDTc&UvY07jfl#hy&h{+%eh{=H zqnKJHKPeVQ<P zXN#r7t!i>s*hxJBMwW&JnteTy0ExOx^Sx@n+rbB~Zq1rD@uG8q zwf$YAFRtg9MVol04jm4nj8bDUM6Aie z2zmSF-)Sh=NZ-O|GUjKbP0QD1QssROYdsNQaBbYd!o}*+{QH5S5{p?3ho4NEhZo z&%B)yX>&T8H5IG!S-9=N@eA{4A4z(lB98NAgP9Chd(0uX23dm=^lO$~d14O@{l*Tg zgjbdnRqU@{g0mHN@G-$qClbEb|2t@H3XDYkjl`RS8?}G7EDNqscFW_8Cx5aKj^Ckq zrIm9|baPg15WDC}hXGxQuQW&GAHUp8%!*Yx= z7C)c+xa9>(_VCXP@VcD;?X~#AWQ)hUj@9ZzQIvAhUjnx5DzHt5tOrlqV?< z!h>i`?*!C_t1I3Qtp+w-+lOzXE~{R95rE?2CtaAM99vq#BkIj_->;r{vN#*Ezy45i zy$PN6R@QeWp`05U4!=a%4O9%WtEig#IV(Sg>6C(E(3cu4n#bJbW9E=oG=@~)nR<$> zN|nHUf3}mLdWCKI!^*~prbo2lO7vvVCb3-Uwcwa)OM%`S1Q(#8t+V9Hn{n;2Uee?r z^wOzk%9The0oOfa88b>o1_#QVJK1}a!&1X8o$7$P6>6k=okr!G`l*owgUeo)M~vEs3<|#ZfRzX&2G%n5mhEWCrOK56v$O(mu=yrVsn&lSnggMc{N?Z|d%Di6pj6uHdXfMS^!;_snyi2TYy< zH9s2J5F1$`bXRUW<|jN?+*=q_^4d3N_mf80^T*=g^>60T~HQBeohvtKsE;5{U9xz#; zczXOQq6b9Yy%e@6H3vTHlkOmHjE#w*N=qyoB3+K)y2@j-{_Sdc190@_fZ8#eMx`U|Okg zeDtWZH;&f|{g=THrdQiyq9fB&pI@Z#I+RbEBXsWx5xH^^&o=^jt!{aEt-e!0$s%~I z_8KXmQ*>X@g-`!K3)YWNqs>AQ$z#9m@=b(U?1hH*eciNs$Kf-YseAhDOjOCUf!$1d zL??BJ%QCQ-=w7uU_)nq2*x`)BT4Fa1MGHK>L&MwA}#^aCp@C1+_-?gPeIZ!}o~ zq4XB7T1*lqn-?WuKeA8LYY6i~>uuANLR6bE=$d`)_agFi9TGH}(rt>GuzyJogSkIg z2?VkCi$`>OQy0D-MLT zX{}2}BiRoQ-gS8oFn&vysocFx(5^Uw0a&{QU?Mz64MEfKvpez~CxaCR4-4Wn4Feip ztoF<~(WX=r;-GlBZ2JF*1J8f;-hhJcUPn_BdA4>_*Hvou!gsCq#g^2XFsODq>e;?b z)c!F3WjJQCKbkU`H(>K5oy-^1((9Nq@gt_ifu*aDzGaN%c5O|T*W)m~auT$Py=eT^ zFQOSbwGC4-`{whQKGhPM&FPSe>F}rqKm7h(5O~j+pykqFzxWh8ZFHFf6#=5xMzoE? zH}+&m-XJcxqNggS(&!{JO)yaPt#CV~ViBx83`l+Qm-6kO$rlntq(HXj;f)B%qn+$0 z-4wFeRof>Ze?8;_m9^;$xk#{G8jO&Ci2f<+oAdjRN84lT@4cN*RzLsQk9<_aGWm%{ z0)#4!BlX2iignhKeIX}thKnMqe||96LWaf`PSLQw&HoYQ0|$%;~Sl&FO~C{P$HH&ABwoYP>fc z(-hwQaebER(6RL5V)KMo5Q8EI9-38ZD9qKpPaaB%43DWEc}#_L)+uU`Te;#uQF{1n z>gHE|b(d#q!9}k!<2{txq$Oaha^J3D7!inbf18FWK^V>Mik3jptvG_=`-?I%kgWM?4pm?o;;aN=UK7}DNOj25$aR%O;c zKq$=#bqfeF+$L#U&f=T4Obf=mU$9x_EXpjvX35Yqo2V((!r*KO`Q@@rX7>_i+>IiT zn2Z?jd=jXwOoj0Gf8PDa^NZ1EO^0_K2I=W7IGCE)$2POV9VQ!7jO30OWQcl0hwjH^9|m2xr6n zTe32(Xtd7$M0+1xdS!{xZXCv(XfgObjuL9mm;sZQ>d<;#NjJn;xP_?OdaR{Xv-OK# zOErF8UqX6%qljV3{d3m#uUgdbtGTx=_=IQD(~RI)#_j46B@cK$PaC$FS7@|P4( zg3LI2Ttj6Xs2ZPJb`f8fmYFEql_`!8u@-;~FDIiioDc3)ZGL0DS8u_svPur^vT4$4 zvs*q44K{+{Cg`r``;Wy+q;V--zI_KT=$_?7aTIVlnr>gCn&qjW8Z|HZ#>CxS?|qMa z-reM5n5QavyW?B!^oUz+$}gK|d|nW5@3H~n6elgh^=b#AGe7N%Ha{AoApOnrSh?YY zy$?92@^R$rE0rT0cub9}RCEHrvYjSitf-Vioyq}l;yfZj{~GUtBiE`nb3XHv%9_SA zq9V%App9pEQ(7SU#{EI#jz}= zr67UWvQk6j4ghhwq*SNeK?kf-FqHzHA-hgT%Th3Rr1g71TrgOXp*{C|dob7*j2h|3 zke)i@MFM6q3Z-dl@z&C)5!oU;)N?NBp!>16dPGBsAnn(*pVWRoQ6cd}W^SwIe!68|Ut>HO4Hi;K{mZnc|`RW9N z>)0EUWTK?Pgr*LB>0guBWRECLrkEvfy_%+%-DbVR-MzBWziwre-xu%CGaS zRieZGq;pQv4&BMG#yx4URH(VBE-h~~NgYm{D=;+B-7zokfF|%;y>uJxZRz`*MGFm$ z&2V(^skfXgZV=qjoFkXYSx|f^{whnt4!5E_di&>hc084K+-KW9+@=a|25fWuo!r9Z z+^iDcZnBC(7E8w^8J&W=f*(}`)l!7>RR%n2`dn_nU1$>+S{IV~rn?ob*HAh2<5*qQ zA8#Dx#<$tbzS-%A{`z|9>`HrJy*phka<2AmKbrU98=-9U^{0OFBA&=a5xRNW-PMYB@=!B^24)RRKX#)8)$({YYpQnNa z)yzUSE6?5Tvt^~rl$aS`c-H*I#7~cCK?diF3=-4~@rYGG7nHyFH@QDM9+MxqqNgc+hjj zrZJU5N6Uq@uLszs+y@ojW;u%wPWZYDrQ~kER#2@IMILO&`58507G-#qmuiyO$0r{F z@NetNm)H9OSvJ?ZE8<~|?M1of@ZPlK=d@rJiX09H>3TQT$Apo569I#Y#^iSHqXB zqvsQ-_+X8B*4W5Rd&=@Xd;4r-s+6Z0F+S4g?Cbd==xy3k8i zHNO&)Cid*6=%7x9+X@e2UXLoflf$n&x&h$q*il9}vz=!BkJzNBwx_7R$zJr`PvlsE z&K1Be+ezyxW>^JSe1fR9AKeMqo~%m`0gFntUUY8Ctofa&clcn3+7uH(x4}tL&5w!$ z!fBt+2ohSX9%j%|o0SpoZMJgPvbwaCYVupzF3S?3IL?Qgzu~N5)BT(ZrFmLIfA;BR zxzXhfQF;>fI@Yzo_k5Wk6QPlmFtC!=XF|!Vx)P6RyBQ{w3VV4FNY(~69+DH(mOtvP zhnagumW&&+^t20Z;1?{J_CnZdieurUi^a!EW>bPfsn>H$kEQ`ELu{z7p`Y)2?|Z5o z7L8|f{ME%EA58pQov4p>N;f%iPwYfbB$N^3A}-au6<}AQ;;Jk)*;^FGlZH+K0uuE4 z2hPd|sl<0^@}Dx>i=En(PzngAvCdA&_U@el&X4p2(w#4)U^{2_W)XT=ZeK`o7qEp< zRUMBSFXJK4U$JK3xOCX8nrPG4My zdkg^?Yj-Db-4eX_2bW{yYHKFC-hMCXJPM2)JxnLIhqAb*V4*hU!SxP{EOko>U-$bu z9A0EK$g$Q0{s=R-San$ZCQ3TG~8xynYm+S+ha%gl*HX|6?eLL-4iCoDu>5Vo92K2v;-7$Av zFuYacF5U9JRDaZKJe|#Y1H-v~buCaS?Mpo}U({IvE$NDGjXIjMIIwEPj8_&U7 z%jky{Jcb^)CV&@Jipho#^>~y0isj(o{MXL2P?gG|@G(&4lOa|UF zI1^h<`S)*Vrj%n6YQwo6X@?A6V$ ze-;u^u+_yUU`lON_zb0%iXz>VH(2xiR=y(R+o^TYgfA(ffV=E#v*=e^mbm z0e$cy&{zJpR)zUHjOW}W6`A^7y*%w`ThBk-ZpY%U8{Nuy_+E{=%@Guei zuYM;R#{cH_{{lHda?|3XuL$VL@sE}^e61%>v%nas#Qz^phiQZ#AI(@k80!3nwgZrp MR(@M9X%hH<0J4m4^#A|> literal 0 HcmV?d00001 diff --git a/figures/UartSession3.png b/figures/UartSession3.png new file mode 100644 index 0000000000000000000000000000000000000000..a81734f88d1b7363bc41492db4cbeaa2a78e6b89 GIT binary patch literal 5403 zcmaJ_c{r49+b0n+D2ZoM+1G5jkz|yeW(*P$5{27VcvQBOEwX1YGZ^dKleI9GB#|v- zWJaaI5ZSUMN%r}s=X<~Rd;fZmkK;a$>vttsS(?Il;k;~YY%p^( zBO5lheIOef`w%w=D`F>v{>U;tR!Cc8mSr-TD=RClt*vEcW&QpAI2?}2TyAEt!VF3| zj%6v!%w?9hOkpybn{l{u$};O&SXjW}nwMFKW=1ovoJb@#lk!czKDli8d4LC6VkC5*p~P66McS~Z}iNGq{sYE%OIxoE?V#A?)_?M~#3WG_Lb-cs_7L~*RgLn%wAsLyHgpam7RF%x5 z388XJk8Hjr98K7gMZL21uKG^OBW;!?>}igkoY$wW-Ka3u#soKIyu1^US1G&nA#8Wa zzJpgdM5`!v^w=}m6A$EQe8OtzZRsZW5b z$Pr&N&RbDrqw1XBJ)p5(8dul*Q!+~=o7v-FS&BnjnH~n{i0kZ*|I$jm%XriHW9{~l z5AMe%vopFnsU=^etkES8Pd})~0Kz`H75bJ(o$6$=np;1ckQDo0f3CD#;-w&us1aKn=_$8f4+;?9#lX8g-OrMp3XP8;CUspoo@lNss?^_>`}Fta2xqga-bNc|ieC2B z{XSls_pDIh>ePXplI?V^AvU@VYnY)fpT1r6BM!X@!nbK4zi`WQ$*^mWD)StES<$Mj zn$j(nHnKhy02-fTkE_yMJor^AzZF=Q;MFmaBa zM%zu-&G*09#D1cpp~jM#NPc1CrQR4npg$93H?`PKq7|zjCCDzF`*oF{*C3YTUf9Ef z)eiw(pTlAB`dT(NC#Sa~g8Nm`xAjNm&Oh*WI@OY);^%R>iF+gppm3I?%xSE*MYYBG zc}L_DP8pN?Gg6)X%p-TRYJW!ae2h8T{%qn`&lz4UrPu$~q`Qb-bZqURl7|T^jsE6p zutT%XPVs)uii8Pc%_M#w@cbCjxn%XPeulNmG0H>F}N!mL`9l=S%4)NlS>v-L;!CCpSA<_H=K4(V!F&hfC$)F6e|$ZZQ!U%Y8+Kw zSc9yNF(G0Lk7G;~AJBY{2Q z7sOhx(8v!#wN}6(ub^T!>Bb6-vh*S|_;GKUXBW`ug?IUCU5;;Q0~)>YlVO zhSWn0y2vIXmrKI~*ZZ+?0cjiKPep#J!Qybxt@@w~y7#>@ZVI)@Nuzw+*@^>KFWUE~r6O0vcFK`#XHvg%bA_H-)4w|w@u$V%=rO9mf0wKX+~#advwx={%ed`G(59~$*>EYA}Cwx?rGDJQHw7-7tPC=P_K|!5s_gcIdV+_j>af9& zU#mV}`qTv^hy*1Dq=FU{!t~FHy0?${H2Vq8TFI?Jnh2%!QQkM?UyU1x%9CMTH$@^` z>C~^ExL2XS%qXTRLdp5qXlUv-K)e zg0d_BNq_#%f_es6SGt#Ql`3o!rp%e%!80`fBMLDN;QX04=#@OEkteGGgJR)p*{K@t zc;rxf;LJqC<%OLZFm%S3SwgRLK>0Kk9C>F>OX6P)3QBf;G*cBhJ&`u!I$P(!vm+u1 zDO>zPLt`!W%&-~Mw%d2#+OPWy#lPv)CHRV;d|k`zlnF5KCS)O6wdgj#Z{V}C?BYtoF|2tJ!spc>hfdJMK5 zk^+VlJA5K2s@f{TgicHTH7jd(yG1(w zTwH48%U|Qm(PXv=0pyy3w(|1+qjeUc1nc{WOb@(eyx9}|h;CV}JXD z8YKwKC8K`H3ZIX=)P0e|PU7W>1oz4_g`+?Ez zVtXC8r=}-mpibTA9)aIC$F4ZU5q8+ECXGcO00+UnwG-Mz-tCY~@cd=mQ9EyC+TV%L zdslek{bj`)CoW>ykUXFxL0@{4v(7O*@zfuFb3`MRyhRtH1<(XF%pxLPph2O}DM@c< zBpbwA#QXB^(*$Ac_UE7IAMfsuA>r4Fm3dstDu(;2#)mAUow`20xV+JayaaQryG93~ z!J900>SEie;)QHMjdUDWJ1s=^@h5i7Q zvNwE@bA2aIoOe1pDG6+gN^jDFMkikWt&di#@;y*}n8hF95gKaQY)|V6 z8o0zB`BOA~7QG!1eN3-<{Aafhl9rsbXPwSAF7~j>)yFf9$(ZfS{LLoWlfOP?*ZV3P zj40T&(qqo$rOy(w3*`8XqvZ&ZYm~RvhS`OxPP0pA1PAm(FZug9vcsm{pJUFU{!DSz z;cDHZUv)XX0bVRH)ch8ZCix1_N!(2;6MHnXX?m--9J#P_WLP~}W)wMwg|##xUkd9% z^Rt7GH*`)>$vD~EYVZ~+8mx(oQB_C8VcW%arXPTRxciR0^ay+cIcZ`AJD>zsZ2 zGnIjHhkk55P05e;r^$oS|KP+lk`MJgn0NrX+Af^|{_K%bsV7AH>ZSaiFyTCX2Jp*0 z=@F{a5B*58$qoCqvHAp8uYDVintH#sQ%tCNmb`${6$w4+N2};q-6__du7a#_abFBs zTj+A~gd`k398KhPKp-U z9ZiyG9NPeL)~)^pgEOdV(8P`QF2{|Q)B)kNJE6Z4 zP#U)$qcjfZcrcFScpRo^!-U!-om32@e~6l9U?D;VyRa8cbasS>((+U%gYP{gPCZ*b zxMIuZ5k_$fuUED~s2rhfRLhSW?Qf6d0ipcJ9l&ANFYZ+14z8RC0(y z8MY!HYuC~R>17WAI+Z#XHLIS>XCb)x-DqUN>$DT**Kj7Z$#hA{bIhPd4FUtM&MwSWAUk`K`m)2p!qx?GUdy#sh~k& z(UtYT=h3EkEg_1Yj$pis*)6-{2i|{Buq)f#`dph13Ad&_r3-LdR3h7{m%_JxE^!$a zAl>`B2S*l7v!R52e5d%;58XF6`|{#OKIM*X@&o46?0ZVv%ITI$KD0R35g^=(F$jD< zo#_6tbzj<{0BXK~Qy71H3Ycia`#?=u<$5{EiNMjAr*$|4r&TLYyD@u&1D=76>w%ID zP&loF_CEBOQNZLg`TFo_SbiT+;f;>BhKE7B7x~6a*)~Gy?Sqg6ALG5>b1z3e4Da0OfM?`xbNRj_Gbuw<~DK&M|R<>Tq!@ac-R1 zr)i?5G$R}8Y0DJXyT2v2JdE)-!W5o^-6$c4ENqw2AfT)O9+M+oJk#|fsi2J<9tOX? zU5HfSyBE7966RTOSY41~@XZcW|Dp~6y^~qA5HK9)D19tCeWEA$E`2#KOi?yAAyDZO z)~s+t1j2+o|Q{W zTBIVNM*dZ#x)rGjxM#Ze0eN4QH#+A-uqK{Y26{GkcSn7s?2EiZ*MF_X9?k?G4Z}At zp%W?3uRH1^>jMKBoR|Cjti=aE(ae45b!CM4ks44D<1?UF3+}zibXAhe_Q))CLtd;@ zc)HM8sDXMmpyt;Hm=nR?M{CutbT>;C{`<);ydt_ZeCX~r*^7j&_i;?Ze+0Hm4!+vI zx*(Vko16QpA!}6i9n^!YFQ^ikB~(im%VWN2=v}3~Gf1O06e(lBRL7-sSXip`Njq!5 zZ~qZ4lf84Id+?*r?O((Ls!u;azt&RUj(Ez+$q}CkJ^AkGQq#gXoEpg`gMPw!5Nfkh zgYhD6;R##I`<4OJ>B7eu2MFqIm3pW(fOT5#IYyH{-U;6mvOn>Ki?;e|;0cJPthT}Q zV6=&1D9;rh4v~&yxsYg4!{F?Vx7T(Nfy+FLVQ-k6wALt4?Ff?NPl>mr3!Iw(ma9}^ z#TEW8CLDew4ILfuyq%x(E6r8xD{Vp#iN+q-1iW0wg-jlTu5ExQFZ7U;<|_Y{RuB~q zM@A0`-cy5l7$9K0Z%J(;x_5ows0r@3UhBQ>f!PTBeJxk2wx{~Bo!W{EELx!UWM{y2 zlKM+>CAyeTWr>I`M(#l&dJ0=WO=DQ#4KU{Hp40=mtaay=4l3f{-WnJ)NE)<;rFT*1 z=4fWc=;A`ORLXbK;E!E^dQa~~F$Dao#*J{lnD5zX1#g${4Urm!Pfdu1`&`X zTK6K7g|-3u6AP z>eYgnFtO5vG4DJf-q-3S zbjHxBiw;SD-9cpkqj77f;nwk14tP>+OfU6Zrz;*~g0_$%0iiZ<9pYdH^l{fFTHw_eAXSo}-zm+BKEl#;Ek&@X}S{@e^q jonMNd@Qm7`o_KAjV$QxZq4kJ$%gJVLY-vb9*hkj&aXJ^N<|njL$;q-J~k(HZ(37URgQ&dn~rNg zJ2ddxkUu~@kAhwh985|*CpDKbZWetvRwb{Z`_XkJLIlSudBmHva3OJV|+DOD;T0i!wcFBT2+gTABw%=L{7& zAvco(K>IIDvjZoxCN+B>KCJ*X@PdGi0W#faZkq~M`4Kz8Z&)w_Db47BFG5$Ys+N08 zEf|wCC6RYBba|U(PAUC;V2wpHBvs#|EFjy@5q~iyS7@-pVl!$gAm4*{`rIAa?E%$% zNyUREhHNW`i=4E7XgpfS8*%-zb}Y8G72e2@^}jm{_x=o~`gLR;bb-UI*#Ssu+xh+Z z4%hkp_|MbP#7ckswY9BwtOpcR5krUzm!<4e`Txt}`O_LExM4Le009=yNkl)c;K4%P(pF6(36b z#x82{JXEe{_(+A9(?eij(yqp_uqYY|D$*J-~H&_ciI08h9wRjWb8oRBL`x2 z@0F@TP-=a?OZ8asW7lD!xUw7}`X2N3$ z74SG5?I^M=2t^SOJhDOJW|^HY?WL)fD&%IxRmaG zICkLJyv|+Mvf)$;iSw(A(QBi(f%NjCI3F`MF3NIN(3eN!@nQ_8Ezd(Q{PZh0Rfca? zu<2q2c|f&Q&TlUIqv7>*)PJWaj*iMAEg3Ess0chWC<&yt zPI*BmI*+{K!RlJljD1~e`GP6tiIc@lT2D$%C0MEzDqxBVxkrYp*b!mHjG6Jobo`3( zQ{L>vrey;uh>x$|HZMoRX>s<#?&GhG4&Hz7jdup4zM$EN>x(bGx?Z2x7gpppqXtGm z2T5@v>Jm^tt|6^Dw6tdQ2PV(}2qfU5ZE#u&q~)zpSrT;YNC`*^NH!D#YngEtIAlWZ zb5M_qz7)t*l!sZAqbrChTR7&DAVbokgOXgxxB|(t0%ZlzbJPS#SL32q(t9WU{$N~? z;EFUY(%GU+ZzxT(Ih+==t*QxwIN9mNRTBqbAfd=K+g{5vV`>U&sbVQP?VfKM&00_( zp|3dOlpE+xgA^DuR83Hq)HU8>B|fkq)#mSTl3ZNtrgt(N{(Al9<1h6``$s45y*GNj zrg3?BnVcTyVV=9i+#y*zitiznbetB2r0MtHKRSB<{Uvd`oPY7fS8O#XI0>e;pl}i& zt+CK?1XTTMU6BApyf0WJ?X956fg}4Z`APx63ItF@LCTcH35g8%6WLL22d+pfT2bUA zLeCTKCBANI-p<=S+!?QJ`_^uiCAYoIKgDC z9y;mQAynQ6$B|GvAZ}NXXmz&Rw|Ve1o2tJAiesS$2tbWy-)2tc_>Rg9WoMy{seq&s zGndJY6K-{&5-2645SlG#?h=_PMHPY*i7#@|mP4fV7rYQiXJ-N_m2|f#iKB8XHg7zh z-;nmUbYE(bJYX0lhF&E)_O5Y#kEh4rsf$oW!|EA+(l$L39Q8&FLj*4~lG4*_0~9nI zV8c|j)tpu>O-oX?a1uhR0NH~-d?B$01Sr9@=CrCu8`(|*xzK$Ou8#(;28r64dKJ_5%a~2zSv?OB$z}?n%8b<5ZN(|-dXd$ zp<(zuYA&G{BJsuMd6kfeJzSoQlMIDYMaM-{#ZB!>IVi|?d$F=itKDlOF)JP(o)}ap z^W}H$-`(9E>>ZwDH`7jcH0rEwi?t%C_Xw|qjyu&L1!eeYwVod7Nl2B-gM3=Ea21FRQ*cQoTimER* zfD3sLMWLb&2}m5@tz<*q87LR9IikWd0F-j1H{nYF%e8{lk#0Rs1FXt_&(sCT%SD|J3rejUo?c*S>_FntcbR0Jfr>}K)2fKr} zUL4(w2Csq$NVI-GaMB|_9}=pMQbT7mnJ|=yh~Q$K>e$zgtZs-xeIc3ePf%-2-ydKc z{*shH5;V1nXdn~2&TL5-)dHj5k&Vz2K!AjtgpNo;3R57iTZxQ_Obj?C0Ov;u!n=v~ zf(#;*Brz1=lZxar!nF{#APf2XL1axxi=j($D^6dQbT@o^)E&8Gj&sud)hxw;18JOc zplx}Y*Lt+6X=q5-x3v}yoXJqr+ZeCBD)IETr|oR(db6oF8qLP`b^{v-BvWIS+w<-- z;%eo|aT@KM6^Ndz0%lF{3fO>*xfU3hto!rzChc3Mv23%F} zq|k8-+QUVtfIpm)(#!A$C3Oaaw@00>TNLw@Rfaii=4eRvbX?b@ZLigA$n*EKdvrim zq&?ckIFly5ign#%(<)upA=kP`<2FPW>$r{W*wkY?rXfmJCkVVm;0AHeRHu$(gzb25 z4~r78%3z*d4kaFcc5koK?esfqN}cj}Lgks)N;=|$rt%^ADalz$zzJ4T^!L|*AVjMZ z7>C%wN zyGYa7Y%!lPo1FtG-P+c4!O+wiq7L+tXqbhTzA+9Pi6o)-5mnM|>Rbh(tSD$`Y1`8b zSedK5XJfm?{hfxcVT1}}7X-oK-fM<0$j4*lF1657wN(w4tY!8?Pr#z>%E*(3;X8Rq2lj z5>`3OWd2MwfZKI=sHXHb?_t3n7#5}ulbZpJK40mY_8pt7QM8A2YbsVix) zPK_Ypi7#!$W;k5Q8Fj==I2JxV;E&X9!>Ol66X03GRjE=Tq?O;e zko;7~>5Pfen3!+rXvgz)9UbY(cB7#^3V>}*+ZgvWt+{iboHflxo7xq74K8a9T{l`d zj%IHs(Xrq%PeM;IU4&zt7;boKI1}H&N=y%5YRIe^+qZjHcdy&+cb|BuL)?3Jw~LHm zL|}0)eB)piTen2Nusu}!pvB_yICkXwaq?*l! zlaa&I6m3Q;2W}8wz63m6QlVOa}LX$`-(i z-pT`&hZsK#L0P3;s2m4AOT&xl@No3}?L+cpef zX`Yz87~kG*H4Uw$H7G}-p{l~eapIeFvFq@`$atf}=S1aqLQj)%MmQ_ld_b{>cYzO{3BD+FG+M zwgDAk?<{k@C~JfyC`_xdw%!syYIN0N$gk#Wi<~aeQ~Dbin|PD{d6L;)TQHE(4s_%dO{3e5Rv>MOY$Db z_=g~RQi3XXWRbj-6JQvh5Zb_6Xb6RpLs64@D8aO}TmrLFgC^s4~Z1@1i?KpD0sgIP3 z3ft~l`<6}g%0Mdjj(fMqBjVG=;ua4IA_+=2x$^fTz5rz*%E0HFVK%YJbyAcl5jbsy zIQ^8#Dev}x^sfNY6~nsJct<=$9vP2rC!4}mU7VZ@L=>T(n1VyHlRJnI5}7Xvfrv>6 zA)sXiJc%eIr@4Sto~wp3eky0laijSYmy!nFhXB{$68Lr-<;n4CnKoh8t7g;95yPc>ZY+N zjVu~U2jS!^IlPeCLYZZ51U4c9pA143daTAn_OZ}&H6DTnURwYesj7vF86EL}nh@d` z z?OK-g(&OFfu2qB)QX;5Q$K*Wzix+qC@)SXh@!)EbpybB5@R+ahOKltSob-sS6m+T^ zNU0&<@YPQlr+n4}P2PmxpRM`0Yeq+c3t1S&WGtQv5|os-+{i^a3E)EULR7Bz1O_~M zhvMsc3B45a1&S4EPJ>r9zOZ7x8i#e*CXuoo+6rl1nI_*G-`rf{mo88Gy~TxuBo$%s z#A(Ix`e>PK83og6QFQR!AW@stY4Tv?&Xd^++n;=Fn2Lfq9MDKroNWU!@kwV;3Odb- ziD)z^*U?F5L`;RecH0}!BOTz*o!}x0KK1>_92*D}}Xcob0}D_+-Ka#~!iOAb-=#1j|wblIer1SBX-EcQ@5g@=#n9R#NQ z$v>qP1#h~L^jJ30{2`RHo8D+~!~Wb~E*URllbAH9Un0>XBp$X3I~@&r(oqlxo)%hr z$Ru~ZW0uRyryKDiNAzDYq zNFgW^lHwniIDC8apRBi(no{AhN|an+5mqG})fQ?<#0!3KbW&0(C4KMQBSw?BqC}TH zxLHdCLEdn$kQ&7;L@usidfMsMkygYr{t>)Hb{&cfB>L9FyAhCP99I0Y*Vx$A*W+vE zLK?CH>HV{<9i|NCc17QR#o*!~Qk+RuYUR$;18KMC6cEl~%P<1m9Tp>#n>=`db1iLZ zv&(~E#1s0WS{hHfY^z3=ffmL~zV2Ne68yKFiA~%X;g!ibYb0xnsYoT|;W^ zB%G?tNHx`s5D^~YkaCpN>Ig!BVohALwGp9D0y^SDsq#@@$ZJ_|SDFGn6$(!{u18vx zM?Y%}j*@yK+I3`fq~7BiI!hI()A90l3n(QzqmoH;+9+|o7Mj_iIm(~cxErH}b_{0V zl~U7e!U?FQh5-t;6XuX3h3NcTjOz7ToB2=;Q22#!K9rEWb`&_1M|UYn)FT+#DCp5A z;X|4$7RyDe=mW_##KA}v4}dH*e$YTQVdE5P8lg<6ye2%F zPqN7cx&=E-z zr6w)nedsAdQlFQBinOt1xJOf`Qk9ass!LF=Mr1J3@El1Uxo&hswu{d07W2_3C!^lz z=P|xc22W#U1U^MI7LAmf22OvvR?-XdF?41CFQ0?<04Af zd0c6%3KoV^k(|0d3?i2rG>*rIUySBG)ucr@UTKGfXMhukXb-QiPgl2FhH6fYWTqu7 z+t`*g(<&5l=Xt?==n#eN;3+EHMG8CK!S`vpS34WBFRTSkHnHSBppgRaKB-0n&zVkN zb7~Tj6HEl@L5rikZ=<89cAx6)E$l~S7`oPd#a-1Vd-S0eOlavPtOJ0!j}|Ah+0W@h z{qrMkTBE~=Na>`9EZL=y)}V57%EhKR`u%Q$X?5(lVA9E~XB-$c%B3+=GXVJSr0mu@JaBn)U0mo7t>? zd52$$ub0;_(qI`#)Fj%(<>~3|R>>rq8hP`*YjwoL$G~Cd&o>H2%g_=@DGpFOVp!0g zRw!Gw;4COK2LX0rIAkt_oP^4$$BA?J1$}H#bE0AL@u$ei4R(Zg4u%OD}DKZNNo4?%n##W({Q2V?{))f#u}e~Qz4 zOk6PI)FcK!6#YuUF$`ION}4avb`TkuTrajJUwuTD2yUiB&v|eJP4I1?U!Hnp(5K2mwigoXlG_2Dn++z zJye#MCUSVBYwa)=v&C;mV^IPSg$YOsAZ-}wG5yK3w4M(EO!2K4rTDTjLkfzlr>mAT zPQp|VDgA1Bq$kN~>;H@K>1y?Ua=eu-!cAsCL)6niBMn(RGj|@zblL;VbdBLS7kyPq zfmx{;Mx#MKWMRv^PCqpVT3X0Lg*|Oh86NIUr%wlTwN8?JG69K&fBVq3Unv%m(eBGO zQD(Yo1M0XJXgLV$_u2MIu}Q2{AiC*dPFN=NGe41h9Pf|BJpvBE%X z)_qsMo`4BT+|tq{Kdo=yjl#8#7!%8Xw?n>Q*W+u(ZDZ`f!56Mo6T|O|7=)~mVo<5c zMT;`rG(|DINSm>zPq1{-&hH+xyX0Q{{=Ms+lSOZIbAv@XyT1Nh4U(3Brx_)j!$*BA zxY1}f_DY4lp)wp`j22FNJ9qw^bG%jAsZfeadaI-XgwYaBvvRgwLn|8CC1;LCtU(*~ zpuF1N7vDTbZ4#tc=g;p8liH(aaN)@5W!v&IDS-+3O!}g9a&$YFd&n$=yt)!1I+A%< zaQJZaNr+F)uI~boBD3N=083RVZ^E;n4E-IP=7a!gOrHL)6gR@8NS)qM=y^;_>!JHj z#)xi|=>pv9VDUnxbDHlYST^25FRXyTCL*&VHJDTi{u`S z5<{UlQ_|?}3raA3(h)NT#>(2Ft?SZiq-NG=6tu+KgG7n(oXQacyWDxk5CG(Dv$@kT zwm+eEVb3w>dnVEeT8=LWx66#c%xn9WXZuV-CQGUI#DXL;-Qigudw=8L}HQ*HX-QHVjlQGy6>5^0)@tJGB)If3&2;*vIdDZ5n0Ulb$oho{ zioTWMD~j>dMR5%t*qEehwh$`^Y7oSmLZuIctTwzTP7Tiw;!k`+l4HLJm3)M|p7O)(mbe}Fe!xDw)piQb@z@nSEG#!ElX zJBaww&Xg%D-|e%{InQ~{c}JzFN><|xpNVSWP-MbL2GS5QZkb@WvV?gDWLkj4+6osH z)Iffg99MVWKet0D4P-pJ<6u+T_Fa#P^uIHmxyzfrrd(+zBG0t1&GN=o0~@)ro)_-ZIUN z!oWp(fLW720{skB1(*hz3IOD8-XkSBXNQV(=gLU~OgoBpG80wp=AM4{RsTh2Rh?OI z!ScZr0urW$gh$AC>-sRLV7RgiR_@R=D&2=RPoW$Fnk@2VQ_Na!9^{}_6E0meNH0mX zR4xFarc;3cAVvF%Z*$5^i%#qw(+vZ+;P$8Zumj3R(aLc#%(5fpawfbUURaqY9c?Jz z2&9M!cj4mo$`IprF(&MEWPYSH4@_Gd85~%x3}h-sBArgP*g3xJU6GZ%$>Yx%?QnyS zIeMoX?5>r!nX;4Phb1n!7;O+KR1p!%e)V6Nj2?Fii90O7!Aq4jT7XLUr10aq%GC+} zxMUkhbzhkZ!kOG-EQkaRiorlSLns#jj_bU6f0NT@;^=7LUJWi+yT!{>Kx%3L+;sYM zJiFj`U-UZyX%VfK@@cS6T_XAqK8n{+=+<=x!iQ!9IYx#6j^JfRSA9geX`e6E!YB$* z&XSL!-W(VIdR!`|sYdt2mof<|&|Z_-pezG-w(KQ;ctbU;sca3IMqiuB++Y-0Kn2?C z{?TyRwk^w!+glqD3()~UpoAVTN{x43NZtXG33!H11{EDVE*T+l%_f7ABpypYtaS8l zwlNp*g0w3IpGKcIC|$$E+BD%#nMfd!Pt=MiB<@RxYk*GX1P`hzV7Pw|L`+YjKy%#z zPfS=GrKSvV5Owq@0F^`V+Z%&Qq#k$B9P~Qvs*&LCrg}0sK^(rrw3LK{yAMcm?1EBs z0qJRRX z>~x}}4L!|lP z)))J$)6qji%%n@ycC>)_7`U7=>_8TKpmQH`-3_I6z1iA{~fy1;m3`2(Ehd7;JbjV$4+_O6)Z+ z9sv?}+o-PgOt?G4_!dx`00pB2(qJEuXs`mouy0&0!yNermqD$gTh|xSzo z!oQVAdY!8{&4W=|FfD|a7W`qmoGe+%cm3e2fEh+X2qf0ujTr1aJ$WHpT=qcIVpj3%HQ(NIHUldmJ$*fXDBF~__}pHz&xHtP_%=BcOX+R#h^n73Ppo4 z$wFB_i`b}90~_MojkULSvk)s3>ic%pp|H_uB|C3N{7ZQR_6PImy@jn&N+;Dw0Oa9R z%+FBRVz%1Ax`2VSv=&}j_m`|+{@@4kG-%>P%ovpV9mPf{b#nT>qnB&}^w#G*M9fh{ z@?LtEGzxAulj-V1!K6WN@`#zLcgZk?0UxPGwaiTk{_KH-V4%JM8a+ux(%^7QwX0s; zM_nr*stqfM*beAO$|2)i$kcbtupU;Dt759~7?^M;_yPB<`S{|jDJJb-p2MVzqa$v& zfo7mX%g~`>t=l90QYT@N4h^+@n#CW^64a1)45Hk+&S_Y_o@VjT6e&oBmWVQHjH1A2 z4FyR(Ec_qry8(U!ue!U#jDEXt>ty~(JEEE=oVHhNI}&-2$*jF9kKy27nfEV;<*`zy zdLYSz<$J$>qz3zFsxPdC7uHwSw}1SD1G|(?hy5mt0WPDk7_U{>)NWK;ucK;`)haM< zC}l3NbkMhkLkfcTb=MP~_q`c!O+pgzAd@&jxk)d`+&|*sAC1j9CJW?4LmEO^Xl~Z1(L#D z2AWhGUmo3<2>Tc82tS`$TMKWk>>T{ytMPc+PN$hILKUhKhDG0nQk;q}E@O$u4!OyL zNhdvY0g?V+oZd|uddw7wz`-Mh1iS(z%1v)ixk^xClOyxTp!8RP^pig*50R{l4MEo8 zefdTb)npssKxTu;fKUnNu=Nml5K7Q!5>mi|)fi7|qs`at-Qz|TPNMmkyu-R`kY9Vo zU`2iNz#QUubfs{2*uc`fGp_ED#=FO%k8XrAJ>*lr=+^adBA^-<+JTuG@;c-^LeWYq zlxt0ckzAovEZV{kPxZ-Fiyy7mKc$~3L@OuZus+rD{D|#ex9x?8#kL@UEbAIJw$pxa z3QvUV2BqS}{tV~dL+YrAodzTn!}3b9R{rMOEg&k{{xl;I2Bi5&q#@ysD$gAE7Jv0} z?{$eyfg!o)VZ`!mSaa2cH+8##26&oI)?cH(TcNrw;I_Vp>_bIVo8R)=BYuDV^rSjJy0TWA zzH)H7l7+rsGLawM@uUtp!H1 z{1o$!doN{Z3Jl_$4j!5GwRhbhG`lLtuold|2mk^c!W2)3IHlACo21$hd(r#i(ltnb zHL8vuk!ecUlP&i;J?~K$u^d=7Aff?CpWp_;%)A{Jl)wa$LxluK2Mz)6F(4%p1U=g4 zM@LtetJN7m!WNv#6u)%AP#yi?14jPb9eRm|`a29-^gNR$i-qw8T?D*~L zOoE5ddW?kUXg86DriY?XW+;^fBL??5#{yGx?i4c)(s-$ptHQ{;KnljM~iLQs3Y5Fut zEjXfzd0Z7za~?=X#>;!0pk$Rp3eYm}WDUt`g^!#~p{wf&&xdWo7)oCC2uWQs)b)UC z1zO7q-g(??GD@fshKtM2%*^E}hXE-Gd@ewVeVQ-Dq?7&q;^-Zpk!}Hm8XiypSAl^O zaq8Cfp%23#UoJ-z*35r{(J*-{(1LB1C_2IClOyCf+A*sMui3`#N3)emmWj#ps+nv^ zhADj3{B|v!nZUTKZ;Tp@IE0s!meS>wd^*io*LtM;89*w|2&mb2`M%_KxnzYid6Vw|DB|U&OlS4$V~I_~!Wn$>HgOt=WSDa#mObQSrIQLg z5_a0RPpDGYw0YkpfuOK8ZVHNuh1nMZsZcN|{Y`EVRMLm;fG9sGnW5Q8N5jUF=fDMU z`Z;1=6J{mAzYm;Hi$BF5*B(q~lP1WfL%l?>dvt~R-j^-3-#-F0DksyRJ-a&NcXt@~ zqJ0h+n#ZSV1sEQ~0RZv&Ze1UmZTUh14Q(FG4#g1XG%bSDhapY%4^`;9x28iemMn0Z zw3$p-dzX#< znTA%^|L?6d>Y-L!Put<}#)Aj;fwjF|N|K+f((-6MY!n1BZ|3hARZn5{I^O(9Ax;?W z>3IgB^h)z9W3EfQLTWqa4uTMY6M;#V0C@&v3cRmE)kSAR41x5AvBDor|ANasFds25FXw2bQ#?I2ayh)axSO`W?T0jepqL*S-B7usD!{}3@r4}AQcb35v@=T1T%(A+31AH07#zv=Bj5~EBSz<>&neG2J0VTz=iR} zf0F32E-OyL(B<-b-~Z90AAV`?-ecN#v}*^%HrlQLjRxldVr=kpfl+#abi_Q4qqzo% zJ=i;PK;zNo)y1XyNtgQ=tQ50^x~rFJ&hlCyQRpt*fjv;hxThMflgEGt_xWyJN7#{@ zT9^*7ZCE#08CXQi%N*@ zH3L%EC#u{uE4d5NQUa zKl~L5KxZA8dcBhd&&%_}(|%&^Ui19r_c1xX|HJP$_qcB-fzmBd4)GABV3~wBtQ^Qx zDqwsw5b16vaD^Q1&LboBJ7Ht??KjWh+s4D*9~q!|G6w zoOY|bb-#^t3sWOm{u(I6DM`~GTmT{-ggQawv1x=iSY;JQqh0QJ+4f_p+S|54$=+IM zKWHy)38i(MiyfOssZ=hP@}nM`NKWr=<3OPiH7fDF&pp<1U=Vw?n%o>hAl?7o>%OO( zlc*GxK`J4kVnza6GbB=EyvNf-yrw|HYW*vS{uP*h-#czJxc4PE@jSm&4vw8)UQ$0l z;)V>(d#>7p5J?ah)DiseLiiyaNJ9gc`@SITv~Yj$?s{U9CRhh*A{kGJICyq-A&_v& zChlll)yE`|X79D5S(wZc<8ECK0Tg#gL6P%bfHajt6a=!NSa6z8s}pysMmvLpkyk*FLjJm+9>l}=OlUJ1Btpn{;_v*a?%4MEpdu<*@|hn z=(2a*Zk+U5b4`F(Dsl^eZbBX<5tk&x0jhbH(0`B9+-$O0+c*o{Yy*%OeZTn5%QLhc zSBZnbWI&qu*M!s^H_e!BjYA0Z8@)c7u3&NNddsI`7WfEu-tAGM*}(WTjiMAosv&w2 z*?#a;Tau#Oer-5E{~3f`A9>dehfxibu&PN%*6ncZ+n5MY$s5`do4jQ(Lp!`GJ+eMR z#cJ&k9JeBv3ytI5T5a2=skD7y*=0ci5Y_jGM@6`#RW6lE+gtuT?t{rs5b0k=kfZc^ zV|zDupAP4;df7Q_}H0TX6`FbrIDglpu2bB{Os7)2;N-WUj^=YaJ4Kd5kxHhO&N zprsdDU~_Wb?{UjtSVj*er_u@OU?xt+`l^#zvb~k_Ht8cF8MIaVNH4hOG*#hg4|PX~ zJ3FJ51fMt=;ZW^NL?*Z+itM^Wns;=Dc&(Nz*=hFHbzCBJ!>k!n@uiprftnN&;y{92(`s!9$ES`oW2` z#rt+TsR)wB^(C7P%_wP>9xlzVEV?WUwJ8A zicfwsoqWfq+oK!n<%76gv#p&{(w;XsK$`l$!%Io~BUDe8g%?rUpgAuw4pcgr&#L}` z&Z#5*JkrNwmLva6V~hhvLI`NcY(k%2sgXCPb^`TMGsd@U`S-$|)9nArkZJCmt>WiT zpE7nJq{J#M@7NYd-PPjB8;p7>HWpvkZ|+efAt+#xW8Brpa=sIYZ^zlhNEKxU2nTJ) zWTOwN8<4J4&~i5FuF)Bt@R&q|0~>%L&LP*Px#YWbJqWoDQ$ypt!9}KznP;uQnm()p zBvMi+Mgv`AL6z+BZWkForO4NQPuFCmJSLoYVK3u&Z=`D;MjVBa_Y|E>V&dzAys?wE zUi0?!4u85#8!Ek1vkxZk{>Em7y#;Ub3$>-UAC%|_mP(d&P}-5eQ`MyP-8wMwRc*i6 zdxS+sr`lo3bJI2=l`t&qhC)*kf+Chnq7b6YnY#yA@QBeXfdudH#39q=`8ivykIzre zkB@s)FedQb5UE4Yq*(oMDt6N8esJHI^C2}S3TV56)){4#+iU_-vk9XvAk^8_)qtF& z-RCppBmg?P`i>+X)sg|Jy1xcUUMr zXn0oU-svHPiZW+6V8%Gu-3`z&ccal}7u6vBE!BV;TT14`uSMT2zX~VFW6}RG(z-5h z*-QH>xCEKvcWKJT4?bwgsfd^3Z{L|D?BQNqgGHdj$15cdC~Ph4y>eX;dF!pBlrmGj zU3kG{C>g?$q$(JXMk8>p!dhYgK74my9d1`6s~Y{8yH~*)03#11V`_(h>GbsLjp~b& zlj9R_bpYyCz-u!v{Kk{sv+7B^P8VoZsd4p~-i27SnP?8i_S`e(*pHk6<93GFN9csB zo<_PFGyAIp{MGO13r0zti%I)+KpJkPj7l(NQO-9#%nFJ{x33S)ab=qE6iphZxDcJA zeNJa7fYd(pRVuAYC8j+|!*c5+h7)=JvmIMSy~gE@ zvv6Ufp)8Z%Sx!;7lM2WYiVkNsK82kZ8uYWDzyg3sD;c^)0CGT$zgD=mZ0FZDGWOc2 zqFuxQ6!B2f;f6^%39@gEKpv=c_pbG|_}%ppyCiRQG7h&4PCQD8yY*6O%i6Kv6knip zu#++NxRf1<5bcfwOb$BO$)-O3p!+PQT1du1(=&mbrrDE6Wkj_!g?do;fltPH99Qd4-u6ym#1#JFvt4$awt*4vfmV{d zpd)U4(sVWl?ve3@2Imd#*K^om-{}C^&0fqi`1K={-afy(I5Qz8J@@6NH2N6jMqD|z zoyW2PO@y;I2?K)LvdeSjmY!<$RifN?pPZDdq|ELXM)En)ndpNMP4h)=rg2QVidF`p zScdjDE1xgA> z#bw}y^Z9iks+EX&!l}G9k3B>SL-5o&nR&i^l}|jO?Cpje=Gq^0U(ubJsFCCWsT*g! z>!#*Y9i<>>HZaDH33mx&!8yO@bi*SO6U`*_-K9XJV|HO;!9a9_lSeBL8a;1bsw11UOSlk|@ukU~r1foqH2J>C zgR*5OwaW42!P`IH=$5P{yJp?2a*48cOCryEuI2$M|DI#UdIy9ZC+aeZn|p6J=r~iR zfrsca{RjC$1A-Fm1V}~TBS{&MsB#5mVyZ!-ON;FtK-BQQ^xzv%>a>eb;7s>vyAHAb z`PNo$;Tofr-R^EzglY#J0YyKFk^M*R1@+MRrS>$pI^1963Lae$0f~56;hl~^LV-^( z!5XU!;{?TqV?(eez{F3k63n&6nO_a+K2IuPc@>Lr9@HCi ziG=HDQa!3Mrt|aXQ97lrT6F5lLKY2~Kp*9%CT*et)DuY1i+2cD&8fLhJ*^vlfL(@?;y^#q15r?*%!yV|F^(N#jY!%S%6BLXD`8`kNO5Am^5>NDJ~V{V%~E=!US?-Qy(pEd@7ZdgXcWRGq{C?Lt~EK_wt%Qy=1SaM-dG-Ac;*ZA!H7> zakAy|*~`oE?fCZO+v9e;6i(Lc?e%yPoDL>|4#1M|D-0@^9gx{B0nzrsjxv+2Wq4G- zMv7ZZtt@n_zCdVbP{whQ#Zw#i~eD+ zxZi1^z%zpj(>CWopUK@9lXfRSiTz1)&vUtHZhsPBfHeR}&vT?C;v753xGyf-CxY@G za@xEX)W+F4QD-_8jDZzUaff~W%6(Nv`T8*%p+hNJ7zitkZ+CYy_Oi)M{^gM+8@KLS z(+QGmgd(h)taSY#?Gsg;L3gS`2w)|qW-qVQo1iTLi%3%1!mmz)gR=^mT=cpZ z?wU;*2z`Jf7&gIct{MH^m%UMEt&>$%O`Vx1o!#mF{cZ9MgouX%kxGDCS~?to4LaIM%Nif?`U;KAhivXa!?26%mq34WUww8r4Su{4p1>R z`So+bl%gJNit1kNG5ExOvKSB!(=9iH42Xy4x$IDTWw^!ccPl#miJ}Ti+s~&)xU(UK z|5Yn~rcXw_1zO%oc$qf1LCwR7MVOqNeAi?-ZW7TX5`4!heLG%TpIn}d3#TtYq-64y z>MPVr8K9|t)UuXJ*7`xYv?E8U#Qa!ECPbdE@K(mg;|p)RXMSa)9d!n=n6@HPzVt8p zz%=NSi{O%j@j#m5g!2Y;QC zD%ni!AHrCS4hz(4vw?|^f{$vtyo@^h_mdYg_zb$`ddrRXgHmQjQN#2yb{B|gonJ?G3 zHs;%Hfoj6E` zPla@68W_dj(w7YlK_Uc%cD?jz9(}xi9KgT>HRnl&T@=)@5^1FkOJiHqurzF*iGD@o5hX(7d}-NsW| z>hJo&j(l8p*|7X1ML;Uq^L8n-^sVK5zPzRepvs+GzWnjGR##tn0Fyvz zcb7Xh^8%dEc3nNirh4yRjnmY4=fQOuKQU7W%0oI8)gwqGS4h5HbH;!)!J?S;g;3ss zsBDEGWMbA%n_Y4ts-@``q*4sTX{93woPbo(WhO_UtUgoG`0X=W3$9NGSocxpDGKb_W5xy z)?B<86k7fB%3S~IaE_0CAT6Fyq2#z~bqgfdsJkfgy(wf|o{md7#Yxh=e|6e(zE{|5 zz9P$EwchTWAku}5uqn3N^(sKogg{cX0SGDHt}n1P_~|odasIa=tFtqJ1d-4TvW2c* zuC96@877$$7Ml(e2xn+9Is}(0xhajPMuTt&smHBxb6FGa zv={wDnQki7$7-!KAB0Vs+1=f+MsXX|n+UIQ0Hlfki8{!n#Zd>Hu2}^E(j##k)z`m% z^7ZlMTKNmf^nW-zx7b9=D2{8QR;i6lAhm8JX7DysP&7NJMXZL47eo}c)|88s$fDyk zU?owA&0w{#G;Nn+T8c)48qtVpHelCijPcPI9u_MaW3ncunix%d*tF@}`ad7os*gPb zmhO7n{&Mc;JDde=JP_zEpDz4aI>zU7;dCFUUXY|>!Y*tZiDeOroB|ah8O|>=8E$E zJ=x>+cx3d76;J!m*BiCutF8MloUFE-6!_fKL=S^B1+7!G7SQ4@6f)2#7C1C_P&1<3 z=0?1!n}<>!GSUKqLO_C}k}-FM2*Reu8**;)o^f`U4fF>uI_N8_NmT|g8;;7U_Q+mt zKRhKp+A}ELU@*brDO?260V39{_#CKEp&&??GDt_gi3b_s)%8axRl@l(^d)Mw8ivE6 zSEai5q#Y-b$my8Sn(!fZFfnBsVvrc09;JS-kNZzih^ppPR5c6_8z6q9S@1Y7@<#Ii zQNyfZ(~%eQ!i0Nkofnl)jl3_#%c7X(IbaIRPK)TOOMyK}r0T0wD?eQqG z1d-J;PMb7xT_?31;xkRQWj+HWl(gcAYn_^^2qutqGDrv-NNX}6g{$^VT}k zoEd8?!iz&)l*B2rBcGI6L>Z7E-9nI#dK1rMfJB3kR9`^UadljMQcIshqtnzOV8>H1 z`N%^re2IX@Z;A#reJzg~Q{W){V}Wt9q}d}*f_*^6egfaF?#3K9dq%y!7Hc}Xqlp6MF`D9n!KC| z`jp^IiJrB?b6B|}LM)-}&m6M}F6foUq>qXQz1uK`*c*j8gUh&rI2*$AvtxQVB!@en zU$u&rc$_=UyQs3~vhyhAEsO~m_CiTl^2B*NeiRW8Ac?#WEkcjdgQR$4k{nmns#(gig!qB-rVOZUmv?TWlkeFaO*eFM zPrQ0A*Xh)9tc_ld$1h-KAMGn;nDD0aq;gQ^@TWGb44xEk-|U=5TA+9!7-vW8eEZEE zKte#?H+Rj~Gy*(WcWukgjF`vl!GI8{NW_dT#efokBK7r% zJsgA7w{#?w9`zL|YSQar?(`|ctlz-Q0K>o~eKHw;F_9AawN%6iZB^{9z1;1vNl%S%HsztTBx70X^B*uJ<{f`%* zA9Y$C`v~T^F}Y8XL@xw~5rp9bK^A?p*a8nq70qXw+3sIA6_#sBg48|N?R3taTf(mF zd%{pHL=pRDoniweCKzf`JUClFlpd1D(VC{U&DCY+8BbqgF*r?(J8XZBUOM82@PSV{ z>Pc7*SOv5e$0qQ-TCpw|As+Zp-a>HM@# zlD(37CeHJI^x%`VQX1nbBv^B;3~ob{iq&ePR*uNHYw*rNDn%kqqVg*)Mn)P-?WAU} z;y@u?6PiP5Yz7GxeZ+(E+B1dBo0*fdYKpq&5Vmydqi)ed4g)s6!qOQ^Z( zY#i;Shb~F*jC<+ZBX;S*)3~7{y;RN3IGxYNhz@%bDz&8TVB{DT_iGp=s@)y+CLTDS z5tP7@6=96)jz;RMvg^G32j;Loh@H#t1md_vViA!or%nCoA)mAQw8t#^#7#w4;E9Iwl|7-7Uj-(2h2P7KnkyCJlgE2vWqxAJYBW~H zU8mP29VZz*C>5;-Uo`F~0)be-hX6d{+27wRZ|`)~NX&ohqo>q(I@uVZ%c)`Ku*@%n z?pQs9lKu!1!ee(eS>Rx4*6XB71r&`iI@G+e&@R=pnR|ih?LsEWE>J6Xe);~7ixgzcweV8=WMcS+m~=E+xF8J9lIGP5!B$g{rPgdT0TA)i~&yYK%0t^(G$6m2gX1oV=uaNq;Y2MjeXK$nhl|+r(w2o`j!^$=>mZ7lT}I*5(1t zL93i`qE{aflRhRBW=>3cQYB{b;E=fefUhE8q$`ketmUm|hbQvyIouAx&2zI+-kpbR zFitD0Zh$qqcz8f=or;G65iV+v+w}9nKq}x-i?wH8-QV4P{q0h%IO{=>RV=o;qZyb0 zt(Kk3hB~4BkB8P}hwiI0a|cG+kxZdpuiuwxA~px9yPEB8wzW+M0%~#iACUR+kGGH= zfB#YEw+;{yq`oYkvY{V{GLUNPeDM=v^W0D8<>DeHPZoDBZUY77c{BW&MlVy zYIn>Bfx}U6;;}&2XeCV@-~Y9p9faM3gyLrAT4J(FgZGko{Go?p2y3F)5F{BjmmEALoX&F3qP#l^*HRRCK}m@PPJ06IWdYaq=2+Tu8cMb1NmplPetqTTci%0%S(}~5z!zFU zUD!Cw2n1S$Iv3>;G-m@yD0dMgzx1M z7nCr5zWBv?f<%lCDdB;KwAsAjAK{SA|s674vXID85G!ZwM%ozobO(_f=dj>#XEGwJRxs&H7{6R=1(5)UK=D+#b2J zZaGfwHKeVx0=WepvQk}(nE>XK3U$o3H&I4z!Z8)H_zOC^+Xa$Pt6Xa&e@J#ZKYjoG z#Xmo}_~ZHWAN>zf|CUypu9yyY(14wUu2D4t{oBdu84nTaznQgbpj8{*`Kx`4)Ptna zTyoT#c&^5ugoWVR%P_0{To_Ht_UK^Ru9!I=+p{1=;G?G=cp&JB8uUz*V1m(*n5DT(^)fyASy5Mh~4Esx=xX!BmMhYe5iHeD!L&zhcLObP3mZb?{qc=4{u%=*1hOwdrXhLji+AA9^k+XKTYH4Gj<^vUisp^(LMx z@4O6W`i@cvk#7R1++lf{I_d(GuQbd_Fj;)4pu^18#| zy9bFDG%!X+iW~F<2x6q&kwKq`k6W9Db^axXUf6QvK?yqJ7RJoFVIdEho5*iWz}l_l z@pd?d1%E{`b5hVn|769dL}JRU%auqekq6`IQ)VgmdbiYQEL9u8)M&M@)>^3PKL}Hh zWoJl_*RxlSqE=kjItkKfX=ISrC&B4vfe7l>LEKP>kD~CdOe2!HPLB56T>RyikIw(l z`Ij0E^uHnom!(}ZdZ9LN=cgRD(_?^Tuv?yzqug=h=2j=dmH}TN= z!pAIhkb-Q+BL0)=g}w52+03TiVlonew0dg%fuKQSOlgpuUap6SF~x$gc`ST5Oqp~P zphFOS8%!%A6luc1APwx|1bQIF#u@^?J^i}jEcC{a-`;Jw{4bf~)bR;e`FIu8Az z0VlF@SE3U`J~xCNSe7fC&QXG-g%-6+&YPXi?D1?Jqfy5(3tH-e7aFyeBwf5pF?bD_ zaJfQmQSDK|8xMp&L6pc;Od61pWB*~N#j@w(;iVHNph=P(gAgQzBJge(=bYh^)I&%| zy@}^CZ2d&L5J@fOW#Z*h2KY3^O z<`UvMgsFE}pgM4}fCbZr<5ySExt~FTgu_^)&K3wcvn9aFX0y)G5YmloyHl^1a$yE( z{O)sMkSd2ezvYI8&@AdFutbYN8j!ej5EQ0RO*OOMU9=TXoB$eoa?<1JLn;;<<=i6L zw2fz0`|%Ps1=B2pBO;8XDnZY6Q!7<_XTYKVZaXcS<8}tr%8Q2FKGRdG~wXFy|C2 z`NS(eHv)!@_h1C)AZNb>q_gu+KkY~b#vBt1nB>Pn^-ZgFWh|Ns`pjn@dv@m8Yt!aN zmqxLc>shB0c0xOHbrweuC{jS$H+T%&Ly%uMwOIzqIjV&gEjyP>Et2I{3F6GQN?H8Q zl8s6DR%L`f(yCH9~_owljfnQ6G8`nyR+bL zb4t7#*WAJ&T|EI_QLLq99_62%56VGh>#L~j33?+?Bn_f9 zjfU6b03QJ9O`6jh&80?9kh!LTLry(NhN~_|X^tMB#u#V}aJzP6!Vhes|3$;t*cfcx z)AWEy;Ey3E9FiD|ZC2dx{px^*&5;s4J@@|H8)v^f3zAT%)fGO!VqL>CVHKklY5&ZV z&rUw@sMqVWZjsakE- zT1%}`yHow2Me{kFd z3IG<|)>*5=@il4E#_Gn#K#||duO^~Ff57@{eqo;}(#G8C-<+LGXfst5$Bk$oiD5EE zN)@_DA!Cz_7Mux~QmQt|C#E&DX{;tSX(ClSPHK&jNN|V-TG~9?ro-5FWof~pMG<1M zv4|T%1Q!arbtA6a2*vWP#Q(VyQ{CodUP-^NkNM3x_uO;OJy+9>^Nyxn71Qa8HWK(Q z?l)yCpXd3fo?2L#nMoB=iA0pz&NW!^V9V{0sGMxc;dVcX{qPHE7D`Sqc}IZ{SKi%)qdjGx=f$j@io_|vBnu?B-coWA9}49cFrsmo zDVxq63ky*!9O;wqQ*?Do0Hof?Y@aLfAV`jO7F8Ya_}q8+*IN0PQ)N*Rgw`)l3U^-q z>9=2wkW`#%bp(mWn@`6759fjchr5b@*CBT$;45eZVHO-jiJr>E}n z{9DufT^gR)KHD~C2@$-6<72cyfPzHH*J2(&!VPGW^a^Q{W?X4nMc0ZQtqC&+H8PHm zK`DRaT0DCE*~H9DvRs&nMx&@pS(i$ZgtbvnkY~Ulxv^rN7q!pbZm;A8CKOX5NO*tH z%3NN85H=?4;;5+id{4AY7jnAzOw&90sGn zYS`ayHjko-L@Jq>n~Nq=DdnKymN0WZ==DnO1FCf3bqApzZhRs^e;g%(bO6N(X6mPx z^)4bdL5W>Jx$v1nb*RWa5I_T`L6CyM!z5rkCMyVd8ttlpCvxWV>rDCmN0Z%kKnn}h zOX^02y4Fr1NSDZ%;E)5}g~Z*{?@Bx+8@U`Shlgm?9$0%b5?jpL1;P2I-TruWZTd-} zFbzx(k+lOPs~Dmsk}!-Mv-#%PwGnIrd ziO#>KL(krTQf0PClxF>}^HXvst&teDL} zjT)u4Kiq@~UW{$c5Toc~Dz$qeNu^*8#!Vviad?AX_kkODydV?|+JX#{lnXZCI5bXr zi~y;NiCvZ;nV5@ z|EZz1)9vl+u*)Cz>;;UbcAie_Xp#ugEBY%%T4;@;wZbY>2XQYu@h*E5Y^YYCLxB(`7> zH5R>&(!l|L$b8el|}AYg>8j17>=yKmyQm$55~JS~g-bPtfzJi*ILh7iA0cqsx- z2MBOMjZ9W9MgHOqm+P(pL>=ts9sMG0ytk@pH}XyBI^Yk-5sV;i-|J^*VZAFFvhxgt zicpi|wSfO94o1!9-fJI5=kDB@ODfsINxjurYDuk}+Y3bQ(trdX0yRq|m_GOoZZFd$ z^kj3b)=A?80%OMrF##ax5#>NCOk`P+MS#Ksd8>4zUBb|_(rUdz+V z*&d-Dq-ymN9c}XJZXJg{SK>h`*U%^;l2o^S5@JIhAf~ek!n5E5_(-00a@>pDi3~;- zYlzC>T2S|ni{U-bFOrE%S|j6Xltw3fF5tliS;hO<1PPl#;c;Pygp?Ot{I#F~5O$FI z6fVQw>bBvZAD6SKn=u3CPQTKwKGnZ(fA@PD1`m+p?JfVA;g8ac+7I_CkaqiQGqr!` z(MMm577&BkX}q3uHzb67Y#?$_!aa~+NW9*U@3SHNa_4TjF}+q0@9^xy!t%mn$y-D!?Z~#PQi!eU{2!!#SK=AM{=5T& z>64FmC!tD?532a31v@7QELRM_1yFGhug!B75+0Z=vSoM}kT|RO{2H0U7{WD_!5A1D z3H!I$3<}JU`C)9F2w|h04%?VB76^RN0iUjP0BbQYp&3Re@C5&aeC;38(&uTyr0ZK} z-~E0}iu7He>5n%z-&UjfKp6iNQaNk4_xGQ9;=zIvi4;yVjYbYNDiC*YxDjNAtA{dv zXqpD_7Y*TYWaiG{wq3Rwb->vnU4k+J59|hxIN-%}ND<{8C14VHrcgb3DLem;S_Y(K z(u^4?PuF*Mdwzq;d^?m>snUvU0^fziu{NpSm3Th(;(t!kqE@KPMIpyYcdpC{Po}07 zfwgvY#KKkFvr}1)EbAZ-Qk;c+Js-!5FaIInz2qLi+(tZ$2+VoiLo2oc}$P3m_g9`v~#aQ)P+ACGltZ!tmWK#D|3 zV*6kBv{$P=@^RFsX)GDkko9l@ajpf<%WT~kB!sVP)H6o1+7ugLCvp& znLB(k1lKQj(13BI03KAz^c>0%6OqdJkP?~JuFHzbhhZP=2JkF)m3OMl+|`|m86|R3 zMf5UJA_s(;B&ZV{;VvYmQT?vO16h#}HXb!|p)kx;Iu$HVbOESQ8Z<%KafUN4JdVMU zLC!)x1o})oAW`RaER_Fx7A*2e=?4}dB3<$&DZok+CPK79SQqJvY0)^PAlZ&Jwm5t_;vf# z7zub*&>17K2u?wiIm=vC<$S|XBQYgR!+uh;9W9PL1U1vy0j%fTb7Fl?|L3=imYXrgMT+Iqp=7@y&cp-Hc=l zJIf0h-1!0A1@FNChRwj~IX1aua+GQ`vuq*wQ_=zAVD2TG8@iMMCdPlcM<1)SK^^ExRRHq z%i53*4yW8Z#D1DRiSMco;({pQ8UwE~o`ytqdQi095ATj@6vEHG-{s$H&N7eAH@1!3 zAc8bIl1Nx7c*lhJ%=Q#XyJ|y;x{{u)>}mgFgx5xm$px9bi<+*ZHwA-8kdXYKwGomv zv~b)&7%3l8H`RQ0e!jk(Sz2GhUkx7|fT$HNQGu&T#F8iB(GnyyNM74eu;jo{fRxKn z!-U+h%Pu8Sqn;*VC+VV52$IPugdNcq#4hbt2##5m=Am+?D=gyKrZVSKoKQuDFTv8& zB#t5v{jS7=tm)FhWQk=AVfYzi&u?~}@2tfX=rDT@cyc6UrTVy#<;mJvQA7i0WLU++ zWZ}kqcjm??Oqum066)B<{e+37e22;05vMfl+|J2dnh4c4J}DBT+U*OX-R+o=Ha5N@ zOf?|-8Hh-5MD(?SD-UXnhbu_o1Egeje);uGZXK>2^%94c&=8?W8UAiqxFISX#~?wk zMp<(lY%Hzka*Y;L34$sOfP_&7D3Up2gVAAEbS5$)>5vI2KmJuK{S z#O;2b_gGO`t;tNAFNwl*GBLqxB8D*0NMPil5wI~gU}B6q8ymAJ#t8Dakp`LnY>eaav)$D!Yqct$@yKqi1=1qp;qmj*6PMmRtS6Hk}_ zJ`}3zq#yTUwQO-&(4}=*heM$WmZ%yzEnOxSJp_sE`3WD7k74^r0EiHgBF!(R(-%yU zV9K@(pa7gtz{iw7zy0VFG)7mS0vF!mD_6x%8a9zZ($9%h(Z3T>LwkYEJcE>cZKuAF zffMe2fWj#@2g>@5QtLd5TIlz!K$!><<|m*z@Vadh4d1Ry!3+)m5hT(jxXuI#VyY2T zh#(OsQKpq9!6y`=-9_h^%%fNVI8nf?i-;)Mm9j{;kL?hm(~CItyAltj)l5p}Qe*J# zRtAXCEhXU&elo#vDgtN4?_edHSFV=l#Rzq1foT|Xz|j22yR9GAFw_5?N5{>&GU6%_s=yz>IBj?27-2ak&*l8v)|Da)jGBBYbHQVyI?+@ z4m>!LQdI)Vjz6#&L-x?-KeU}sNMlzN#(C95O_V%qv434Cyw@?>&XibMWhg`9v{X~8 zc}_~5u}PqbuNWr;!6HbjFcO~{v=ciBf-;3l9MV+8Y_wu%GZa^DTxL7WR$P=WO6m9A zm&UfxO=wU4yyr0ONPaoze&^hC?~TVtCKDfrp&fMHW9R;hPPTGj-wH(gX(WNNb()Z_ zIdQBMfXObqN7tbf1KlV%?1eFvfXdcSxqt|(mUJHO5pE^N>y9p| zrmoInv&33xOVcZldIRmP*PGt?2#DNJc;oZUK6h-MzVwOPoSm4Mn7Id3C#wMxAOgWC zO+Myxvv5LMXZJH1GvMLxK+ok?r{GO9bE}_FLI6{8?Ot~*5`zI!#OqD?{Xvfo`OTf= zoKUg2@Oz7P8%(GR;ee*EYqwn(xM7}JUB|+&ASN2X$O}j#A<;HRHX_RLhW9?W6(HfJ zl^%o<37l{XMts3=I0WStO+|lI1FsUyX1Y?TECfiEp2YJ3JM^9C%HwGMRJKg`Q;6hrrS2c+#?eYaxMn z2S|OQ1NE~$mK?+j-LqKf4#O3X)>giQ4B3cHL0Iu^r#DF@ND?-H6IZ@e$6v=Jz^e2l zo)2&m4;s*JU&RB#(4a8+r>P(DABEoQ^5MdmhhL#-(&%?|c1Uoh)>unhyr%23)_DBE z7t?#41L!L@Ieq88@^iC$28@o6LFv9w-GTY3dqZ#}5Za`r|6-dHsp9YH!}D|+hzRM3 zkr2v!XX?Q)MkF{Dyy|AmH$+ETjm1Q?3q`z4mButpK?4f3QZ7>IAlR|w@GWaZD1*osv~x&tM?wdi z1!BU}gtVJVrPIZu+*#qAKhnh*1anhUm=Y9oOR)J?-@w|<*f0!^6hpY1{MhdgM}wN8 z=n9e#&Z}W0f?&E<%WZkC2?;LO+gXjkch;piu@84N8iXz5zvLb#BH)>oY|}^v4}#$> zLLH+WJ8Dbb#5eAHiB=D(M9Dn1i-GRa9P}iS%vO3556a?fB!nBWKy(L=R8}UAva-~- zq<5+FBwB}qR;Su(Fj8TvX#@hIqxS*MW5mvOk+3H*)Ow|6;fqF6A}IHp$L`$m%>84O z8qyQ)fl;7ZgtXh)ITVm~cX3IT?6=hJ3GkdRE}o65vfV3f+HcF8A=$7VKP zNFAilGpRx`wV6K52uQ3B<-WO}+Xf;?aDrmvN~~Kzf?-JVPKKAp#-a#gPM%97%HayK z*Lth#eYsW$2XK3#-ihTStct-DS1<}jQ9qJeCL_)7aFjVKq*BGBgUn80vj9l&D@cjl3G&*VnPeb< zOlfT{{%5pHMv%=WZY&LMMVIwx^)$MwY^l18Y%Td)6o_ zN#KNlH#wQO^rU!*0Z+U@i@pSuCP9gYM_tTp^+J8Gml$x+va5Qm|v z;a$hLtruC{&s{@x9hR1s#PVQGv)k9_9U545iy1!mH>i5kHetmg-X^9F3ya(7;sI!+ zPP0$5S#tVum!t|u2j_+2?&(H;n*$T$9bJ%)gnDbByB7)V@LcyWY%U%Lq!Bb(-54Ak z^k|yv8tdR_8$inxre;{i!vcIl!qzXh>)%jhh;paAqC`EYQE1VqCQ7Y|1@4p|9n=G?8$XKOmnBRQbdpC6SJB~9WXQP$Rqng1^dz2IbnV}!X26Ob zwc%}t3Nv28G~ZyK>8*{LYUozitTtx6olrj#e^@ix)hgBT+W}U;hPpZ(uS#r|>~LUL zjX?8reRred-SZ=fM2KsUz=$>_7?F|ym3ab}NuM8V7B};|kMr4|vX3{i`O{Rsm_IsC zfm~rXpU-aWo^K*yI@#Wa7N;ST?e}%P`OWZLQs@Q~67r;Ve{gwB*R-g%VwX`3uXo9I z?vTz>xJN*8)*`6Xub`RN4k26})t6TQ37Ny-QaG`|WC^7UV1m)3Kqx*Ai^cGSOHn?+ zK!^rJfYseDJjtdu+`XexH;7gpQ|qZ^=~Zs&s`Mlt7pwUc4QL9lF`V)iDM3O~ND5Om zmu_gTS!g>=RbOOv9A6j~g(T_+sjjQV)>tQfh88Du^xKm0mgfDIE55na-o&HzH8k7b z``NulZ+b#TB}+jSVE6%xgM&iqG?o1^`*h<6_!1qeSU5l6I0awI<`MLEwlh0thleI3 zj7x##ch=U1=iVHi8)l{iNEnhvQF6dCAsyMG!juC8u7X8#tiNzsiKyLSDDtq43VHrC z`X6)!id_p*iYJy9CKD77-pB|j5fs$}{D~Hi^#K#5UQB4d7$KP^TA(m(edp12d>-a0 zD~v8ca%tu1^GZ+R@hCE;m1L|fT`_tT{_1x`%zL{$?#&vvWO=JW?SJi-F{|}B1|Tc2 zBTZdh?>PPfCzkMA;rrA7dQ(6eY6)Cv9zt1lqtNU3N1(x1kv#p3qBqSn0Xz`GnyhO|6pYY}7Y;8W;K96j zg#;uFPrgMNy1W{4v|3e{wJ-Hq!=r^9Z+D8KM}Qi$&a@HlXN^+iv!=Ms z60ihX0*6`m%};N%ug*6o6CbZW%zZ*pH(?T@<@uJ)o@a~a*{c86bOkfdPV9oNAgm#{ zS-*$8B_y#&!c~U9Yi!(gEiZd?Kw4T32E$>L!v!O60x#YnLk6S>g+n|}GV~vYKAJfw zb+g+xDf{VG zgSKG2h5&BN8nY_G-UwVs>X2-#HwZ`)c2vdts5*`X%#r8Zxy-%!c{C-shX%YKW3kcB zlW&;3mMImWX6nGKi9U*;S$0j2f0{GqK2`lKq(X?4tFgWJ- z)04I^wFFk+gy=cxjffEnVGnLaR3VN^m}P`d`S>6vpP&TUuyt3Z+65rGlJs{gSHUYi ziRY?k58j0)2RIUzm>JI-vaBi2_bwq(;j6c#9?h7&`=vE_N4=q27rNe+Y{HRn(4U}W zvEYR)uD>Z_c`h~_7-~fpdvD%7@ZP(_`!k=+59gkw1*Md*(+dnfd{zAq=CWeqQ_Kpu zWW+scZXq0G5JIfu^eB=O=8Vw|S<)Z0}+>pS(=9f{alK)AG`JL z%<;@r|GRiEw6nbGj@ zLllYFA32Q2Tr8PPM!ch=AK!Q{JQ%DJrYKkzY#w`q)qQr1$%KRzv-OHx%QeL0#$Z!x z)vTH+!-H%S9~`{lUs?}i;seod0nrbHyb*d7{izSuJ5ESsqlgAEHVyA%DZ)-R3cKQuH=o)t}YWs|}h#rT- zDjJwP2AQXV&rbr?7>5n#M6ssi#e2A1tW^P;Uo+@YkEiD34_s~ zu2s>{uq(Sb`f!(}YPgk9caV2>SFOxM#ab;u!R4apO^(vmmx9_Y6kGA6TXD|T>kTb< zL(qKQkq{YCNW|h~B+P`=2uNG#uyeqZU`Q7)8U3Tjq0*Chu)Y+{xqDZkuyu}KvMgUW z9m=je@3@S4y!dsGzrm#$8c;@|E`rb6>N?10yw5^UvOI@}B{bPM+SrQanETD%2RE6^ z&g_5vY9x2KIFVU=a#VsuwY`ylDj2~YUx3U{K=c!zxW@x_nuRaXkqZ0i`=GRam`f)79e87^WVBrCf-P{Tw*iI!f4xfC`q zgUZwK@I=>xL4raNVi2^K;8KY&l?1lp8U-gNPn3M)K4fN>h}s{)ldkU>qVcVbkdWyA z+n96>fShn2o-oTIol=e5mSx7c#1*d7O43S+LyOg%j zPh*!AL+i2kMDNP7qBp9S&cxYAtL_*x+l_W8k?Ej4qzyeuG9Y%2Q{Y z{G2|_6&dr==^Px1226l77f%L8kR~M(7*Up^dUX}e12P|=mbtRz;yw z?B)x>XqYaWK{9nV8q9#gpi^EXIA4;6@CWrJAR)1+5vRoX{XXr$*JoYVv4xWR^!NU9 zM=r+4b#Z3q7UvNh*=1T*j%hx9q17HSUNudB=;A3>O!4oRT2 z{QZ4*bUgCgj5wz+y)>1lVaVmTC7X?imhm;4?f0cMd-OMTCo5F7%m#5z2_oTyd(EkN zfK%c48NB?grXO|btX24sABtX!9Xu}OsQ#%39WFJHjYbd9nBmQ4yI(O&@v$@oopnyO zOZ0db2Z;M%qUp;+f|49GpFV3Y1nxM@J`r1S1O5VdFS{Ni-G4balB>Hvicau{~IYrXa zk(npt)ZEORbh%|&;FNm9^7vnutU$<+=FI9yK$@A6r1sbG3>@ur%$Ju^k~nh@-u3(3 zgAZO>HU~(*OJ~o94XF)hPS0e{ng_lP$;AK(!(k$B8xE`qXT|&O-)lP)LnI> zg<&#&Q|?H3&jZbmJf7moHln=e;CkX(y%?19sPD+ez{e|+iRp@6x(B|kDqXfAb=jHIw%=^F zZ`Xah^(;88qG9I9sA|D1@h%98BBq%QgtjVzI~QEIKn}NZMX`vGv$8(4NTUgySvwz+ z3|DA1n!BbzV1gG8+4GiG?bGqPnQ@vhOSwX-N{}cDX;lY}(7~#JJ1=)bC+*Ueh`t@0 zc+T7Og5CZQAPw1DZtWPl|JjC?7a>T`@BieJW3%wnzDp<4e}ObGFffuH0iw~q$^pU?V!G(~DQcI@glT5<{Nd9WcTV0PN zd#e#_YLc4Tq$X^=PJJKyDD`#mTp=Ot(*UVJK$4`>%fEkaTUuV%c7JsoWD&zLzsfRF zzADyBBeK;Iqas#Pf<_=e2aX-imZMRaBM){T_R9K)lFg>pd#AHoHP+Vcd_)0ir>?3Iop1CPSsv)FZ)iWv2nYo!oXul(EGmBqD zAC&6m3IItud#)Cel(I?7rv)VOna+B5q7g-o^3J9C^ejymt}R_Xnan&g98wpm=dy{g ziA`NTrdTnE?o(o0eCWILKz=rs7ie2Gx0Wp+0g@iBDlwF;7Z|Wi-ZjIN%XhxVt<_n( zLvV^3H#AFIMI9~pjWaQGN}yxE@Fez@Up^%GJ*AW_>r($G)~ z&uiDN)~#Es8Zk*`3P=|BDDhq$Dl1EhF4P^XH`FhwrE67J{+v`KZxz%~UUfW#5Ch~KPQ_C%`cyQFs9<*KRe%=dft5>n}zZ+1hHuyu|$ zsgRI*bv z7hUO~AlZ3_C`xG0?`I=w$4_tdbTi=jE{&pu2#C_B1f=A^K;OW}ACJYyrl#UkeSJx) zx~a+O)zx38UpqCAU}-jSLbN>0_N06I50jCAw0}PXS)A=PfV2$`c(rAPBK)Q@5V1r7 zUyk0coNY6fnYYs+KRSplO0Z5UGiUqtj*`6StB$SxEa%|GtFVZAyh3K^>5mQ|plaHdTQ-aSkylPQ+`XRY(btMglds?GeHaP6*iraD4ow#P|o};M9Ktg4FXNo&X6J=@Bfv zVXCdXq^qjks>0PYg?DGlG9I!5QNk)Lap6S+RSqr_(7>09oDq<&&3>-oK# zd|i@#k}SV3J*UVjzQ8(FB#_FP!4b}0d)xA>UCniK;nb67zWL#YGjl)Sd{LO%2ub?w zo8Ok!Ai4iCeGX3!9ym%UY|uAlX7FHh;NHa`ND4!1S&7OnFciDs@XM!MXDG_iEw_XL z=}_2RT2}%Op|GODR_WS5EKmvNI!y6-^mG3T9{hsn_8KQ3s^tR z&K{r7xDV6A!-$s>iNnVR6R=1)FM<)bq_ng&)B+MRZROj$s=Qvm8S(^#Bq1_%nlh*u zpyCl32j*ZH+rkp*i_+YT^bB6PP!~jVHc3Hz=%-6ZBKSYj8$Jn2Xjzg0DPY3J`6GU* zC>CqirC21Y`VRq8bZ5R>`NJv6_aMmXM6qOE~s>?8ez$GLI zLzs#hg}-ee5zfvF#83DW-3*+(#GXYi!c=_l753P!$1>lr8^UtC?e@L5BMXj44x(NN zl)tL0-qP9>PoTs`O4*Z_C+KAUBI2Z1@*c)s$<9r7FnW3DthNIrp106Z2TUh2F%li@ z`3xk=QT$^tf-H??F^!G&&CmZdJ(+=Y3f0=-FUJ$({XL0eJ!d6pKLn|zqqe32t{ou3 z{c3FYT7ig}QFj*1aE{I%MAv#Si};qPn9Y0OMmgwIW4et0kgqVLl#3p?j?2vy)_CxA zEw3Rbi7?M(S=bFB9g!A4dhRi7dw*_GsKmwa!P8Q68ArdR#l^+txw^UH<%5{QH#9quNj6W!fx zGMOC#BvM)($UsW=#p5SWf)Y550ngaV0-pMQOwLdLF){#3r_zJR6KQ0I`jKos0Z8No zn?y)0wKYtxUQ=IEeNz=&aBs{nB+2Qt%zTxN1RglHvVepNAU>>UmzU9x$D^>#fOp7Y zh6vyQkdvIEvlJg@do_WWX%{7bF9YgQBB?gvLLx@F1=g{ako!o@h|<1gW!B6lxjA>RT|Egyd?l6pO;H z-&3eHLF?5&`D82FO)9mG+bS{&wOwbmHYaSABD=cHYwFdY_fI@oaq7&ge5Cf=S3qdZ zZCIBib~g-mBgK5J5Ak+f~ zB9R~>3KAh6f&m&eDrfzO9vx8rUZ~&^pTRHL*scq)$ta4u-1Ez zyTHx+d^fquM?|}b(D*%zw!-TZP zsGGIE*>{gmuM*PyI;4q-lK_O@D<8+>LYk6&$z&2XYkq$9?djRs@h?9a93D=j69N(i zNoczR9W6U|asgEN)~#D_YHX}-#MDtJBp^jBi<>JVxayoE%akf8DyUx@Do8P3M%|~V z*Sb`Z6jv3n!xfXoW?=%#L70W~Gd}%Tn2K@&bJZv%64IwJwY?pSIC}T7>9-7wu)P8E zf`wXMwpZW1hH7mA6g&c1)GG8Kl0f(wRdzPWB$RQ&T^`7oM+wAG0OUY3vUe^$<`J!S z+qH5o)o|}E2-hFHv)HW_qVcZ$3R|Hlo*S@RhRc0U_!{?SZ3n6^t$DV8tGf<|-KS^xv80A^maPP7e?Alncx3IL3iz2qWaoX_J8WD``R#liL_xaj}rp@lUszY*sj`;f7 zL`s+C?#%6U>~z@0qzfKdi-ccfgd+-m^czIM=oC_QBI6LB$XcWY&{@+*+H^8!<~*_d&`;aEGJrZZ}w zRIMAbP^j>$G5NE?Twl@ySiH3leN^MhFW=0Of(Rk1Rw~hAmbU4GM~9;lPJ=+iLr4shcu0u}aF!^9P5NV`Z?rE1iHs&7 zOYwzxJkHngu?f5)B`CZfN9Jc&2d4=sjUug}bZr0O{VjmBv$MQnS4DaGHLX=Uyzs$8 zp-5JeaFtEwz*V?Tx~yh`Sx?l2*+E+u7hbT%mvM>#A6OPUnW%Q?76;ijj}Xg6r?p8pUe_u3u@d_P^rf%v*OD+^uB z?JbZ`&h){p@PM2O5ys|eIC{DsG<>j$1izM3#|^e{<3zW%JG{5F8WQH_s7<+B7`*_6 zske0-I`7=)cZEIvy1c9y;eni8r6YG|r{iIySJB2rMm!Hul6ta?giQh@J=Lw3@#|sN9WAQkoXeAz>0HkERZxn_JnC3^O)4#$f9cQOrHyJ_M9o~OnCm?OF zsJNZlu6jpRwH0b?|14w)NHRxK19T1O@d`-Xk)k*`J1i?YJFxS)>%xFExfu4+-Ge8H zG0tF=_5nf$%|r(fwxNg`(51sW_Cysm_$d;ey`{W668ql@3F%;OR~K%~SRlq7{tJ@G zf?5582GP8|?EQ_2fwH>DVhOn82gMI5Z z3FoY`W94WR09ICcJr^d%CKgUYluk~Z1Skj+ApsLDQy(aeoSGe(o(3dHljwHHP}+~3 zca;^_lwVWPx&tl7oADD_RbH#hFXALL9IB=&Op6nTkWi~Z#Dt5a(gaZdLtX#_UK@-N zfdDOz?((sQosX$b`pB3D$GC%V_JT-vMiq|aD!Tv4QmlBQAZcKPn?QE;#(E{KPtbyOS zQNup{vJF~uxJ>Ka*9xypjoazW^y0G2um5w-bDr~@2b5JXgd#DCtnU~SFaBk!JF~)%^hUx{3=!ANImX8r zNQ~QMx@%>_iWIzb^u@qBl{4g0ZH5$qlqPT7sgAm7_W}t8bvltEkzXU>aMnTANcGW! zj<_{?Qq4uh?J4rSqbvFCz~@cx>|;z#!-h6*LmOI>1%ir9;|-V{7;B&};9S$&fT#1_ zyAD*(AL~E@kRCcUMz`GxAwda77?rw(y$56PS$G{oOiOco1uhIqLlEIzBk{Ve8IP1Xik+X{pr6rfD33tV zgpdXuA(7iLwPTu*ltW^~BT$w&cvT*%YF3owq=Ysft=YV#W>nRGso9zPLSMyMG@}Nm9dYpII{kKxzAkP~g!_|`OH3VYgH35vAe1lnn$nQt>VWTLiRqwYnOJkH+@0&~fFw+gy;bsd7>m}0cMisWep_Hf>1UMWB9fR) z_ONT$SKoj229!ShboVQUkvYJr)6REYa$o=X>zTv4cJ)+Zb(V4ioakCNkY?i+lb)e;QfnXI(rYT`efd*)3xbzS#vPrIfi%= zTy@iY?@j)P7JDP(t$l;zu^PwOH8E{-=M@p&Rs8dfwuKNX93;C zx;-6_Fj=y;)oNvfFcIK1CW5-~@aj8Y1R<1!A*6c*iH8g6nKw(2KKShe%s?U{y=^Eh zy)L)6w0G~%f+Q0p>JDHcC_VEb&3X+|=Wf8YA6+S)GYK4bOj?k;@YM8Zy+ z#DP!NEaiu)92Gn|sGQoJoEg8i&(2r)pPeUCGdAn`>+iqJvx7VTIbPyfb<-yv>=TCa zTRjiDZXLfy~iKNDoK!TAiI;V!rNKzh!c}ewF(wRvMT#jOKTnvrt5DaTF1`Jvk5UzLg67mWcZ6G zRAAqn;Q4Mx)i;kE^~vH)Mg>&aWz9#So92hO-9G#*(}_I!7pb4~_^fYmtknn!NU_n; zof8w}cQp;Ze}<$PtR!$|)?0|gL4heQRcU@M`?B#_zmtiFNYrtO?}sw+mdS$*qv&Mg zjAi>CmgnQB|)`9fZJ8zaKnH1dQ-+O;tT3A>RnEm_t%8g1S<@W)g-X43_ zvuq)Lk*OIytF-R^MQ)VSon_bGv=|I>$|TZz)OVLPH-CPeC+L|EdcvRY@PFrtf43w5 z(c&n#T8zeYRDXnpEZ%O^%ysSjtB{=DosyE+Bj=>D(Hqd&BL@>-j(FGL_B2e0sjPf)wl{DU$IWGi$W?wdV9%%gtuE*`f>l#60b`rNm_Dk zK~+2xlwbs+BBLuDN;gFB+;HOBvlADj;FADU&RHkrlG#%YolLsQcGpSta^xdw47v>^ zojG3>$#}w^k~N<>-#m|YJd2-S<|&yUM7=whnAiJIGX(kO{f`!5G}45QB&1rds~?-5 zAR^@|%aj!O)Ao6_$8QFHvw}0J93hhLD1j&uSLRH&*Q^Hp2C0UNR3zTseguO{+FRhX z(ScN7AE?!68i!ORjMg-auyATx`_tMDfmoXtt?936`<$|CJT#i!cJ#Sz4XJGtcJhqF zk3AMd`rrt^DWr>mUp^Yikm1{n!w&W^S- z>FlKT=;WnX%VZCbFao*@BZeB&mbbL&t3$n%Q7tlHMUJ7xCX;l!>_w z%kOzMOhO)i$P@p3-owZIWyd_^SwuFsc@~*+(aXJ>1f(6Rc6!82wpb@dT;6P^dQLMf zG?yVHF$b@#;7}^CB5o|A^dF7W-sNXa1Qe6(5tp=0LfSAJC&v#_cdTz$bA9#UVkFzx z*lsXYla7}#^{^Y*v1y>8XY8k@=>TQe`kDbRXZ-a0GL~a?n`(-sE@((~_pLwtSh+d| z+m#?WL!C4)!+1N2Z!RQ6RDz^c?0y%L#I(d(iCk~r0b!a`(cxt=Qn$m3m}DS<32VG_ zeb4HyEc+B)>4Hfd0A$%&I((?fX&=BqvQ#u6Zhy0w5B1J-cENL+C^eH65UbL0_V)VvJ5T9JB(uq` zWY#ILLMh8?miFNs4MaIX;`i8TE%^M)3fvw0)1K3M=|c1)C_;J2Q}vzy^V9k6mxs{{ zwCIF*IQY$u%fR!Z$N%}mTw3M{<|oE}T^t*%(~!?5X8L#e%X z!_XOpES+}+Q@fMnJ2XrGK9;4=$3QY=O{%G$qgD}@7>4DU$*7gUb6tg>^}Z)WHjZcX zf3w5mxhZ%QS4({EnP=LZ=cpGyUu=HSGxL7l6P}ok0V!r?<8}zr2#}_d-BS~}N-=V! zRMuvU(Rhhqz@)YdCEIj@J7D4{D-0dBsyNYXM$U6yF*-1NLe;j0lU*Uf32Siz6On0C zVA;sJ>4DhP&=hDI_pNPOuK;+}<=#6P7T`OCeOsG_iIq%M0|`K8j;B_#Ffs|a0|_G} zNlJT+w=ZIuPz~>AFv;xv?M@KA~wybkOw5TEDWO(Bq<3KA~>Pn_Qs|YS9j&o ziB!TsvJjF@fTDXSnRb+v&iVbCiS>>8hHjfzWSW`r-^3@aQ>wnXDe{o#1i$}tQVc3X z%Gn8K7sMQrU{y1nTMlrXXpO`KBy840f@huI3$s!>0$}O*rPMQ}OC}HZjypjsh z8fM&${S*UICuwG9P2lP~bp&%w&f;A-`dDq6w?nBtN-W1X>BYlLNqb3<5E4e`4;64B zlDUwewBLnvfXqRg>96zL|2kD7?bFinwd*|?t?+k_yK|)^FB`3I+;nbNqAP9OT_K%L zrj_g^bGCe*(}9oh&P&3p)Q(kQYW8LrM zgx{uyjzIr?KDFN+GS121!wE@7MR3wE+wHEBfTZpoY02abuRZwYo;TlfDY@>BRnk5s z;KU>+bJ*)BNhV0_*Y4eg{>oz#j9evwi9frs@>~k62^UgSyJ$VATgze!YCC7 zP7_nh);T&2Lg!pvu!>DKns-!+Whb5Mgt=%FF3oY>^#e~;zcWgT<9EkcBE{tEDhJHS z){cQrJe`ze3U~S_S?TDDn}XZ^jC7ad+CI|ugr8Ne2~6}VaLoocAKX34zna^% zHn*3YogpRL=&YI61p>ebtZ_i~2bka9C4w8Zk2U?g)P$plJ_)R{uz+!0bqNv@QDBq_-h zQct<|+JbR+c{`ho+l91^o(|0#C0QXVnc<3FwYr5hMsk%d4-PXuH^YzPFfh^F≶< z*{pV*{EYOoO6`YeFPx9$NBUX^oqz-(9vvOSom3lA$%!fb&=t~3E3T8$tK`}!D~9lP z5mng{sh{zQgvyXo8gg@fKgb#)IWu7y=XsIv{7Fg9gPX_OSvEzZibt+_n>xMT^&J8J z3;O%|wq0=c(Near^1i?Zb9PL{2J$+b4oVUwXTmp9k~!Y)DaYMONn)hr?sZp53;WzT z|ElSUWQtSkb%KPE;FUv?dD0q8W2foZBKcPH5$w(2W!x{HQ8eP_7P*Sv(71s_p50yq zR04%f5}HmzPlTopp96_>PdzD>!c|+>O7GIp(VRaFRCcOUA4*(aZiK{}B_WkU(o1?2 zV-l2r1f^Z?7&DMmy4x#dVB8Y-+m(?xs>*PAV_7Dgy~{o!rSr3n#W0vwQEgG z5+eahCSf$;#H3XbU4x_1gvf_dSv+EzR73&!@E|qToWNj^C&sC7!WP0}qmKu7ljO(x z`eI~uva1F*b&PZXX}ELiP}jut)=qxtH4g6|yM?OAHf_7Yj?f)R6jW%^@n+@!v;Lr( zFxeH%2w{eiD50Z050*hPo=r77szN+}Bu0^rQx<>6 zVe}4+{^ISfc>HlkNXqYIsz}LBYV2I?D2eElP1`AQ@tmuqtj$J&X%l*uuuOyqz;5iiQ4Fom;1dl2haj zHD#5%70r>=C@Ew`NW)2G%&8<*g+LPY(_~Cu_dXE{VI4~Lkl8st4J7PVAOXbXu(vSi_obOs_GBfZ=y4&wPinbQF@bfzi*pP1xV-$ItX8-3B7kIcALnqwVdt0%;>+LPkJxyqyb42BSR=B+P{bB_3)O(kpRj zPNpqCq(nsGZ!d>bj7TfIohY>*j8P#4&;;Lc(;kE&%E*DGmLJ9PZVz#y#Yufl`BdWQ#=l1>f^BfHKz5Kxk`wXN#d!BL_K?y{-yP}fBiFQmEk)(7mc4SH^L#m7V zoj6&7q>f?}jcE0b#OWwj=+4tcO2t#Z$}}0|BTBope&x<_>fgNtq~6}yI0b;rXpAih z1*C0F8oCA~P2ZRrA_4DW;!UiQs*s!8`N}H}2GXhNOgc^r3SB1j5@!fc>g$t+h?Qh} znFu$*tqR*ax5RRTt=)rzlSW2G-K-Rm`xQR#(n}}F^BSu!^6Jo~u}y)FotI+BoUGUNhU~+0ZELoWk_=eTu4YtukV=VRY9U=x9evi6{S5Z zszRdVNXeNVTEs-^U?6Qxr&3Z;(n_Wask95p95)IQc6i^btPrCCDdnd%EebyqA%D}Y zFsP;%A!&#LpP%iWeLo*3v5WPMjt}aRtJqdC8W>@I*YG&ET}*I*OOOo~ zO3GPgv}Kj8afoRPLikveji*CE^fE#2uo@sXcmp z^(HW3KAz|ECk`;rQ&%LNkC56C5)U9DBq(7wx{TDf{nnE!5(h_0B}lLD2UF3M(Y^e` z_jAAR6C@r&BzxvHmr-#otEDUt81Y!Cq%+iVTu9j2?zCN)unnX_6_(M8F>TRdY~^YD zR?&#JEKS_z?a{6%3ntpUdNEKLd;k4-CO$|b!%hNHCAR@W{`09s!$mtzQb{xMbLV5;Ff>cTzKvb?I18H4t3Kg+eYw4(S z+PFK;{;^d{!6<}=tW{}t38*@!vw17a+oatbvtEj;Z*+!p#eP~KsYt|Up6&hkZsO5+ ztPV)E>w9`SnJP(Y2P}r6H+zxOg#_w<=~y!qF_!VPi59vS>pf z2F7P2@$u;7WXo7CbN9B^K32=ku_hoDIh5H-ucvkC4S2nl>XWZ@&$(dSQ996g(cxOh z!bq50NHE%{yDO!mYs3g8J-K`LYp?MrMI|U<29gf??K&VrvI7U^7T($S4vc`bOG09> zgM&yntW$ceWin|5kyK+!@M>}1K@FgOaMe<8zTrJSGyr%5u1%>f!#9ZYvz z#MK8EQV^1>6CiRsLXr@{XuG5&Mo;R&>8}+lB{AZ$3`x=Gy(P!nnP$6}Stji(GJ5Zg zH-H2q&c5s}rr;pL;r*4;tqvpx*k0a~NYR>tkgA*lE7h@d97ee;YD!n7IaiC0R4z|j zRVJ%YB~7thp}fLB8wnz&8GrolzS!WDqocYFTRVH0DaqJe)YREIGz6fDiSF)JqLoiu zegPj=5)zNneo`ilx5Li`wGYdP2-3Tt70)q_H55+K4`gh6`|5^wYJYPJLk(MU_6 za+5smA&C&rpT)>=b{JxmJ^tt9&LAp5T2_*7CunL)Je{x#g=E%&#F>0nC{QWbNz10) zp^E8TlA4O;>FlaZ&O%M5OD97R4~5joI8(8cv~K74D2T*peSg0u6*4iGdQh8EyCk_? zcN^DldwmT>CF!NuZCu<>vP1b*R+<$6%hixgHbQq~E=bxyd39aO#!1&&81*)oLzGZkaz&;CHx&8 zuN0O<$%V9Qu4oI80vC{E!sIY|W6v7^mXHu6&&QWHX3K=M9Fw>Rk{j{YtQlUDm`G>M zG-GPeX$vUQ4q=GW41D-j&n}zbW$WFFhvS+W6{pK49N}|llrjU$$`}+X>)OuMN%xLn zhENZ1acU10ACgybvtcYzg3P_$SRK8TRC*ax zw56u4Zdpi9-tb?s=?VuDGo8*k?BbS|!#jkCr;NoTV7vg0ZB5Fp`jK%#pp`Sq2mf{j_||i(QBT> zb_PIHe!Ey*2HD&w^!%gOJ0+SI0UEL_@K~%B~smKXH zB@?6f07^jGgR4VH!sIgI*zA+{6e+o!ZUvL8B>uzTV<2&Dx#etC*cM308`2n2NrR~7eDe1wL zyoa#ccZ8GKwX{c15+?i|jJV@N{@|8N#0XZL_cD-VE+#U82O;pD3#o`^&V4er%Onj5 z2}p8w29awZ;zLI=Z}@9S;@UFNk)IIV-O`fxU|yN&<@M_R6YLg?Xl2 znUazZw8KF=&-JEwI!^F42@%%BuYcFsofqQebkXB*=deaqYC3-|H&%nx$n8va=PPS* zD5c=60c?(O$m8(`*WC5!6OTQLnp{MpWWv(R4y1B}AAB4}d`LtN zCx_8)S4!AR_rR%GZ@3jmj*<|RC^b5e3I>uD7mb27k+QO>tX)v{kg^qv=%A#N98qbI zdllX;Hz64{2@!^jVrd#dhpy_p#6Z%Jz5br=tvkDIJC&sV&EMk)$$2U3GIqDmGa);& zO4UJHtTGm{iLMD=EE2rjxoccXMKKYb{%=T974uaM>m($dv;7>B5O?MDDjh<~?08B{ zaKea48#e=Kn0YdcbiCx2OB9$Mdkif-w)?SHcJF?L_xeM}X1A zfU*+&7*PJUF^*V*sHqM}SS&?}0!XCan&G~g#IC=edlPm}CA(7HTglDEs64H6y)dHhHrXp-*+e4CF_|deu~}PPh12>A4MuaV?US+L-1s-xPmkx|5A~hgkQ0igtN&-{+U<-zGQ!L8ScHpbr63HEI2PjUl zga>54wB?x{9Rd+s(swv^&DBXHSXh}gkS1qlw5e7)wesvzk;x6kh$Eyw4$B^)n}KwQ z1L83^mTtUwsYoR@I0mMM&qEJ#dO-T8UIUOoWEgdKx1QFe9NW0S zLq}SB%p5&CX8_d!2#uMvp)?62L5guNWB9xEwYBvZfaJJ5AYnEnLXc@d$ip=ZgbH1+ zJS~IHHplMvcD-J&?bdf|bx;9G89tztMwUl#cT5>_AYoLr@_Fr~;w>@~1+BpkCYTg2 zQA4P}Eq4j21Ocj0Napi*9ppI)QVmq(*kG8wERuzI>MkJR?Ksu6TgkIdmm*UBXh%r~ zQ)5zBtAojbWF*DHhF9M>b;`46Q-rboAgw#rDuUbT_fB1W{(1bb*gUL3$v^^=>>s=y zjHDy2&u~QN8J%bJjGc3ify5ej0|PJ;AjKpY0SQK>X*oM-C>DcLH5;pKZk9LK5fhBI zww~U412Mhagw!+`Id>RINirj%?d|o~)?a%K*2t*5S*vkENtiIhD0{~_=M2QM15HR+ zAC%fDmuyNbibtkBbx8r;Xkdr#kf~=OK#T8^{51rjLCzs=4x;uU&n2lG0gESMwHw0B zB#^W)SU$+2yoLA^D|s;zB;cI-CzVo*Ot6>>&NvdsjVg_%mhR;dB_5h(#U~n3_nvp& zc^!kaHb(JqSTl!^m^lAZMfYEBAb|)-XRO?ctb38pmB-w3&r2iST@Z3ODIg)Sz5E*? zWizo@3{+UGx{0l>qa~t}Fv;b;u?LAI0GgO=KCkG)BqjdQ_qH(p#WieQw=70Nj5k%8j2TiadAO1^Fhek$itJ?rA)qW zmxko&oLHpKHa*$`mQT@Z0FWtSGwDFEm`6sr)FY3qsHewJk|U)CqE@i%F>)kDiNZm(ti%+AJm5dG$kT@=#$)Y55gu~;E#j1(&rat++#p}G;Xo;}&hRlVr zl*T?x2*@}((`Yc>j!?$g$m}pE0mq!HWezZrpzwKM5u1n&X=Fg0l;6pal4I?HFnTEq z3%GrjKb)Vv;?^PY0go;z33Cu#+a)d9~y815r`a0Akz1L-dZ(6 z0uom#>S3zI(D~Pm9CQD*hn{mp7jHtN-*5mSY1da(NcyPpi=-n_KG>Jy?j~6Ss8%lH zsMcRw+p@*xFgpq(E}XCksnG|O^liRxy( zMnGA84NhCKR~wMNfXN%&WJ(r92m_Lx2%gPrl$>ZBroH{>_TC2@lkFT# zaN-*p3N&Oew9m72d;Wbyyo_Ez-K+>{b{PB>wdC(A-hMLUbnDav# zLigW)c~^J$0}q_LurSlwdPX*TeA|OWq*k1rbY$lYAQ~B&mf6KPj4qvx;CM2TP#`iM zjb$r|#OCJuCX~p~NY=JwZ1>WDWI(+EC`rjNWW;IQqXS7Iatt~)s3xO@JF@b4F&CEh z_V@S7Cj5bHZ@Uqc4XXX`tb>F1XV9w!#*bpA=~8|%6%3>U{7RF?h)1zVFvzB5Bz%P= z{cl>YRp{`#@_a{+`o7!VZj0vwPZ%vTv4vPDGUeMOi^B z!ZKQsSfYYe%eC!VO<6Qmsbenez4zYWXmGOaji)&U2|}Fvk_L=r_94?WkO>oQC^YQC zya9jMKQTOXMUn@WJb_Ewd)r0GP~!M2Mg~#ZG97U@$UepaT8f`784$^u9kuRqS7 z3;ZZqjMEe9>uCFHMvjh*0qI7T23T78_wxJ=BwDMKu-zjP()9GPMJp*e5uGqn6)PQ$lA(@fGXb_G2wmk zO+@5&Lnn9&}(++5|e;-Nk|K=EYo2gyD*ikgajn}AGwqj@9_`* z@N6dF4n)F{%y=X+7pqnXOZCldGCV5N*Pb+8p7$D%xHZHiI`$=$dz{vqN&*r74m1Xm z5G@!=a8mBz!u)>!2`rxLE_fKu^Tbnmj}@K>jneB;FYk{=0o!{`dOSrDrN?fWT0$+A z%ORI=CZj?kYkqrHAvYT}S@B#knMzRvr{(RA2F^c-$z*p+>^yaQ%YS6@e1c>%8iA2^ z|K?LvDm@?}F&?Xkgo=bn{tigkr6z00WP*WwU@;m=`_ln$0GI9!&BZFzOEuGZtI<1n zl0F=#3wuqXvJE~Q0J1@C?b({~b~@JtNSEL^){qiLN7q0~yWMVUp2fR-K40?ol|?IF z@c0TjpF1*9i5(mo8XD#p5}F~vjb^-*tT}zk8Li00scsR;?etsYRuo8>ydAf+>R5ao zu5Kx}gtzMuq$VC+`x|%&Nl`~~YGEGCjZ-a-b=fvD&{4eYx|Q3m`r+=|?t9{i`);FN zy6P(aQu;U{`{5B-V9l!Ow_1{pF6v^5)pHKCX0ivzM(JF!UlBr@HeI`3HCF8e9B+q? zBqX)90)c!uuiquG==HkCBbiWuR6It0S0@p#*S2wZ$O$+G(s!>RBynnpNtDFt1*11X zqJ!RuNvrl{!`UOXAQ{1F;f}I_G~PcRnD_bSt)wqiL{1(oU%cJN5>cMG$@H8Xo%u=_Tb6UQlrnBEa1rG_Tq8>%4{YIoGem8N10fFtx{L={(M|kET)^@PKdBZ z>kc^k3`mDPdx`Dqid{WtbUD^D+}FnAgFoJO*=3i}anKrV3^nSr(~CiAa-@;cJ?JD5 z4kgFgvCqyqBb{eFb;-)Dqceh3Qbg)8D+!pSVfY+OdwR|QXh4_0U@%hNkY5bvaoeeI z*l+pW?*9JJcz~!>t#9tuxwdN{;=u8_Fur>2y{+Bvwzls5@C`WGD#EtPJFji6y|(6B zGv+Y*#6S`tT~5;AaI%vJ7ZwVPpXhV@ZGw`{ZHpsUHJ z8J7f!E>Mf?iKRQQu&{(?dw%hJL_O|P;I*&Xy{~^>uUG2j*I#$JUVr_=_g@22 z9rd}?`&(O^biKX^?^&>zIl7~7 zUv9_2iIQwEjSSl;i6Ph)>|Um>B>SM$F#7dJZ;4s9)b~^1_u$7$#mE*k%yYv=aZH{ znD%#Mpa+B-dNn)`c#lypM3I( zuGcGTlOL|_eEPaElQeMYT;S3vSh7;qg+)mJ6_)&d{97{V^TmrvY3lZZk3{13BouNQ zL^5;5VRdz32}T8G4KZ<2`JlyKi-CMPje3|?Y#g3igW;6lj~65+1#>AYpF#rcrM*u_ z&2ftTVgeF_um%znzo$}{0;hYAQl~Iob=`gVJYWHdxT#hzZ@nZ3ihL1dQY+zt@7_}H7O?P&R(Lk@foyi&qNSrfQAS8aJKq_eA?fm?ji{W6< z9q#uA#`^=~{PqH0*(;_@U82cd zJljP-yjWns2}Xr@t`MXYN~dGdta{%N!b{NUBKr>WV{*Hc8$HE?N8|2*)XkN2$*{q)tRov7)IZ|^&gW;m+@vBS%t7-9H4C?S=xJDbH3 z4^gyWW(GJy8ty&vkl}%z-qJ8EO4Bci+i5Toq}~CO+G$7qQ5XT~(u}sZ#v}0e53{4B zKfEX{g#yQi`upeR>L7wpoh)y+whky4wyyBxa_w#d!$5Lju>172>~EA*U(0@y+nN0Q z)3U3yvx+%@7IZU$lDAVxvLQu7v54R6_qst;%u_Pud<8$qd?rXG3k8xs&B3#m^CD{~ zXo@6^rsBy$(T}GN2hy1YUd~iU(JSZ}(?H>uV+C>sDB{6*F%`^on2@xuN;-1Ch`^(p zjA_O#GVOga^-xQy2Rz?&b$#FR{I@?T*`sZBG{Z`F)6%=*{2^9Yl?_WwN+5cAXVkpo z#O`+>!Rwei`-YA_Wb}-Ifg5^z$Hq#fh0D9orACsF1W6%j3`o;sqoYdgw7YdWC~ucv z^e6p(j~gvT7SqS~NBU3fpQ|iaU{u{ypb@0qnxiCi^yO{}Kc-luRL`}wYLv9?A`f(} zOG(DvWk0{c_4yq@aI&=d4nE53P8+?R~|$Q$Nw2he;I>e#LV(`K!Xc(Cnl+OFH5?ke5ed5yw#bA8>lzHT6` zfpCp))<3NnB}G46bwz*#APCu~V42KrRsFk?t)wzIYb$W6lSNu}l$ohX=C zz|faU&PzCNDuF1_ThQ7Z6h~-mu^9LBDGNCDk^IB#qfHu5Z*gcEyRSwre20wk9RjU5-7O3Wi+4&}()~ z+Ozt4=EK#U%!i+S?jkOUkq*pe1)du?8}2TPX-qhhCcWfu1S21HlT~0Temq?gQYX#l z;|!XsNMf?S(!5K6L=&MHECv^0WOo|$TcN30oL?y7w(?XJg%psAMY6n(-$H72C>=pG z;CE{Pq=SRX zABORIh^e=)r>A%F!6qcrA{VFoA1EDo@t(YPGmzk-6ujloL+$--RW{?frO{qT+rNaINk8v>RtKV?U9QRQqKS;sKW!pW4#WenNdMvBYzm- z?z9OtT9Wa8;Aku>+<(@%H+1}5D0Y2iu3F!yP}tTTcUMz(_gdWLSk(2&=bN;Go^`oC zT$klt*iOy$tZOIpx=fJDYI!%}Qdw{`>IbEg3)2ALrtx^O813GEx8{TWizwM^m z97bb#ut*?>?eakd%Lm(-?QM1~Jm3i5c3%d8#~$ z@;F`_f2SJ7gQrQmPZ*Dk_xB%veC5LBx$4I9#?9B)6VDcu=R@NM z5`Q^uL?S|Q3zzH;`@^ZI_+*Gf>YkX*prZ*oCV9U=iF}cQ3Py`Z|e7L?w`XuQmHy4*mE`_~_2=qFp0kLQ9&QV)BRK zOXc|_rUTQ=a338#&4Hw};mZ5X^!%F-x2_quyz87J8jOH6JVx3;W@mf5j3QDhPyK+$ zj^}gqV>~p?tfZWSJ4~PEcyFkG;=;3TUS6(lOw3hd72DlWG+}@O6HuU{OE=;XGmH$L zy820&I0+PYOrRtmhmr(Uy2F7~f)P@pRZxw1Ltb~lI^hIwFktz8H06ABjF>ItkMj*> z_o5#@!C*uUhS^!EhyW{4Vl=Cu;{Y$S!6j6HdFE2O>vE^j}R#g3zwu2$iAahzUR( z#>W}or!Px;N)k9m)5{9|n&;(34Biu%!R7T^9_s|R+j9bKqj30yu!V?l(m^bMr!$Z! ziVP%9z6k&+Y?;ABGC#)pEFNY~@hP++{f5#Zf`n*{jJP$!Q7hZ}FXWePq)Cx0gKJI~STbYk6*>I(L0lk;#Q^ zZmapQCPYdbbU=s`N)R%5cB{La)y*=1_*R%2N)jXy+KWk;3tJE^eo`VT5%?>i(71bi zJnRjha6&lfg^$Hdk(+{DC0WuvmOu~9dWG^VagLNahx1xc3}(Lutp)jC!M zQkiShTuo5U1(@H)Bm>P(qa%nZou=#R^)80pxHdNlpBq?#FjE-P5i}Horh2JHL$-*b zcDIDw#*Dy}Gog@bWg{HT)QzTY>6jGk;INkKBhN#3s1!kUqCclQ>0=m^0G z6A>MlL#O%Ld0N{AN*}11Rvx}hDf#fh>4Vp_w=1O-qywTPMuMbO9Bz5((ALwGsIzjo zAlbRy@F?AH)v=VZY4TEk5PzMc+|bT#lt~KCxV$_w4o+aF(SY~DNaW^;x$7&}FK;Yg zzv;phb5PS7j2s2=0ES*k$@MeXRCbXa#=PZ5sg^hc zQO#Wnjf0C$L?qw^O^6AvnLv!zX+|lAv;!OxRldOK;pgy#xWjaw*oBu{$tA<-usanF zBCZU*RUqweHi!`>Flfn+M&@=Tkl3JDka8={VTuN#hBd#J&ucGAOze<))Q}*}OkOht zqcdzsIJ5^J)Hb9*5+imA8R;?YAOPu-w>vn@as~%!H{i-OLV=Qsq^FFAnZeDJ3VSiK z6r1=2kDufnkMxHo`X?r;^oS-lOfR9PI!I82$-Hv$$_u`Y1`>5|r8-yDS*f6l&FmdD z5CF*G#Hma4_{xSPVL5e<$;2~UAvVQICn6{cT(TG@gqRu(nQTnnPM0dBOdvw;ZMlPK z8nr?DcM8r%1KuEQqkJfHG)nqoZr8}a9T9PIwf2-sBo0PN)JR=_P3fYhs5l4Ot*aE8 z22E@_Sh~U%(jjLE(viJmS++r9PhSZM8L|9T?}!p__`ryGBuK1+GLr8sFi|2-eiy)1QfGvjai?Jl9Zf?>9Oh;x3{p`qufwI87Dj#rDbv%{ zxjz{F0aMdXBN-n&`fe~mX=dh{L0d=$(itNM7J5&6koB*4lI~oZsZ9K)@y!98z5IJr zizgnu6d{o|sDxOI6OwX-*t{A;T=bq(aR#m#zfO6`@5aMt(P=%4z*L!olYxX*>P(%i zDV=iwIVzH=%eSF%sOvW>%gf8Wy>nRNU=V+oX=}6JuclGP z%4|;3kU8wNNlHNCxpO@x)%RN&HI?+cxYY1@OhJ3%eDh??(CFw;yA~_JwF=U(mNhvr z^1ww*P3_SWeP(zp8M3(Yp2T@%QDO#8*u z$*67c{G)kRYDhXd`V2Ea3_EZGc7Vj-;K7+5a&CJJ=^V9#fHVvwIzsYvf}}@CX$b{W zCb?5Af(?cbr=w{B$rDUj9&hmYfcM1l<3rxLex-P}yPK=jQ;^K0+8Ho4+156bRM)m( zTBRRK+hCLh|4>$_4Ia341wkq(DC|M42R-x9vt>bC!2_e;YM~#3c&MIu-S5mM8@JU1 zeKJXQ({6uq=YF31`FY>>4JRn;orFW+gn`kycU&Yc-TI(f6y!*>EeCg*8q9`DAB<`I z1C&5Z3XcSzf=t5++(GCBK{M}{eTdW_S=gOBk00Xj_NEthA3lUWKYF%HEB03xXvm6Y zrM3I?>Glrem3!7sztfNQrp@iAtM_&%tG~AP?ZJZwSE0xav#`*-a24oqbD&Te7~EmRPWJ|Py>+QdhO#>fv8G0vV=k(Cm6!2VUe>K!hm#8g6ocf z5(Y>WLb|ggf0>vhkPMN?V+GO+?5tK+9zXcXxp#i(v)VKMO<)9JE0E0Sz}GH<(UlV? z$X`#MW*8ELPMtA!5sWTk>_jSz0BL{&S3m+5Opn6prYd8@M%B(KLT}LagJ#Rl`*{vb zeG8)z_=}BB8MZ&XE6w%rr_ZL!gE|)K+uHe+H65V?`x}#y^<=j{;b)Q>nc=Ue4>~{J zz0et0OqxR(5eW)e7^DI;y(ZsgZsHTqg7RvOoAHA+PzJUKH)s^%%4lwMGy3E#NWXlI z)hZe(M&%Va3@fAH+gGMRKSqfIy>xWYSIzuTc5gkQxJo(2WsGQJzb3SR z(;OuEyZsFujkkNpdzbj>eI^0YAC~|6_dom<6G+RiyzUY>*p(wwAhzW zd(D*Ys#b+EBxod*$Y@>1b(}1q6W5=h91KXE(PQd(XotNqg6`SVhsNDKd;mS}S&#Ro zk4MdwosG_9`)Ox;8uhH*5|G;O+8u;XtbN-UO*);8oUS78wfRG@t|BWSiF}+czB8zy z$OVdEQ(Q6%VpS_fSDQ^B;vr0ukPllUp}K(PM$R#!vhs13rVrMW^%dn7be!6&=CCC55GI|1h)K!``>f-E#m6=Z`~cz_s5UJ zA;Bc|pqWIh*Jz{)OYzw0I(|8z9KP1-x6S)GdCaWWV-$k*!1~3no^^k*_wq|0fBMh> z=m6542Ty1LPF$;#KiZw_?HG{CckL$OlWABy+HICMtw|2<6Ig&K2`r4}H-GBY8vupA z00aQh6VR9&m!LBWPcP&hI79^kRTnb8(tt(T3f)^cL|^^e*H~rIBNkCW&u(_R>-W|N z+7V|lOFGJ>8)jHkKj?WG0e<4d(hpZQXCR%eT77@}eeLc5hYLXdfD`;s4bm&GaJ}-o zR|JxNwxP79?+KN-TXP+kGfc$ zGhhZyCRdSx9he3n0*V`U9`dw-h8v0-gt&)cm^oQD8`5zCp$2uW6=uF@+kTvlPp%QA zTn0Fj;k~TaBqALWcOXFKKWk;%~8I%6LedM3p z=C}NY)tcD$d*6U~c(-wP{EcU?6LwSxs_tY+BuZQcB&Ld0{Jsm3HrKTiDf{|v_L6H% z9^wvQlIjgTFp|R?(-j?Ou9G-puK*z|qyyN@jk;3?#K+!(O`qA=Yoqg2V92cp9hDla zX+K)qn@+5qjme$8shwGooj(nJ&@SvJ=)PyWPqAr9VUVRzWphxrEvzWQpj274nYT-p zglN?^!|7=d zciRH#1Q`80Of{1#GZ=|U^iWPNFZFs_1Yh%L`45aXm_YNDBmevz96~9e>cHi;fwYWqR>(O3;!ec@fM627&UDRh6OP#7^8A-KH;3!Yp{=vj zK&5=iR%G2ZqLNyEOuZ?QC1r0o4n2#^Nj%5#f+(`>GB9}(cBg|zVBN*&@wPnyBqsFQ z0*MmPWetW~)7MzPx^pLbi)ANPJFs@_o|V{n?s|`QZQJuK&AMnxwg4;xQXaHz*iq)l zX~0mhnM^wUoIkNW$pJ|Qh&VXFYzCy+O%>&eM$({#p~RuPGR6Vi1Sss{XNeUrt~g<+G+AL8w# zOjYgbUPI8S4Zp3rbFwg)~Ur!VaKPU>lH#`lG^{ZgjdYzr1JBx1CV` zp~TR6K;?+qLa{TZ%(u0+){5*XXzUV_n*QLP72)0NYnpG}+r^It=tjNm0tq0?s8up@ z8s%Y`gH<6`AruE2lQN&+8zCMqi-86FqyOgyfWz=(l4TmqSjnndiT*1}D9}SU`6%Aw zb?q^&CF25VF;C)J6b^N!1Vq?U?;R%&g7l9WPZX1u&Yp!sC;$4_zwQ0CX3|m>lm76^ zD|==HLQ{HQIrdGe<8P~&^vM-H=oEROZ9tM1pFEk~>2yX!JWzI@tx5fGT<@~V(T+cZJX%!V|!3Kc(|RNmoD3QC@;W?HbW;j!odk` zrwH@hZU(qjNLPkbcA-{3;A=vcc?^R>eI<=IH0kk&4gwVJH)yiMAO6`qu5ba87MRg- z-t2;6(am4`PNlM#VbTl49m$gMb|)dy{jYsvDLZlOxl9sB|6FIeewk04^m zWSF!hqUmC8snl$^9$sMxUD2kc{GB*l*K(ggBNoZItW=CllxFtNxi@<9eknGG$5-nj1ZK!0I zWr+8SU{k=93tTz0`Zd**QpmWT;^d5)TZdC@6jIZyHMh9llV<0*FAmqtUx-k3`n2^NZdh56>y5-k_{>f-M)3}v$QeS2lp6k(2NH8-sUE2 z->P1c3U}P_cc9fxgpI!l&#h=iGssFo*e@WW6zeBe$Uq*B70r>$I>f*6%Iz|$;Zt=dW9E{ zzw)Q50{cw^5~sNQ5<8-Yv)?>b?Nw}uq|trW-qT4kAgQWKt&U}Kst9eG!krm2giNaK zn~q-th#UYKV=gE$oMVI2paO}hNhm~@X=9_`8HrAhAyyegfeksleq@&u)y|_dB6QdR zSR`R+`*xIsiHA@OVUriMqOf#**R`-X_ITr+n0bb{$N&UySc=Pl6b`(>0OI8QplgSK zQ~*&CcVp*P&Y74^4NLjWSdaamr2qSFf?R2X_S_UHwcbBaS zqyq);v2#*-gkqA%5jnt3r#BpNZY4TP(PEZ(kH_GvJtqBc1*wh}i2~jyY zR2h|k)CyZDfKe$S5ZSHV@w_neilGB>Y_PKVgCY_fBwktqhgS&)50Dbqb2Pc-CUKZR zB*<4LVN@vgxB;1z!;&2E6Pg4iN0VMSm43I-ww zNi!m0&y7i37*L(u2dPL*#o;6pyhaHTa2Tbt&xeKYjxT})MqIRJF{Pv2PUj!o^rE&zgGjUoyZK|n~t+qsVK`(8F6+e*{OaXpgbR?{hbuURAZihA5A5>g& zBw@6F0-L-YRMKPvy*@w!qbo{fIl^&$sNy&7`e&Qy)C8^P-WyUa&Kh_-td|&sTye;8 ze9!d~uaI`AL9)wcJ_p_T?D}-h+&2l6O@EJ(&JTU`F&TbBq zAo#CH38B|>pMk{O`0TvNYP$wHebqv9t#!GDuOp3JS7o&~r1%X;n-xfO%lOnu6mg;P zlp@8kdgqxWIJqq0W9;*Qld&+lrKpK29<}w zvt)YCw~-%6ZwE<0CMu?m`6$#NjeS4G!}?vf>yJY}A@H~;mtk`ZkU$51_|B~G6wsV- z?8cd|8Zbzy{@s-u!1;k((IX?z_;cK(*Ztx8a6NzL0U`;e#lGxfSXuzd%#R3^g{~~! zqZh%$z5ZGl2_@_RNEmzj!6GnXjrpl_)K|^AzFXHnd+W1zza@}3nr8Szvy0-2j0qHq z481I2siMJ&aMaCsF4Uy(C^$eL+e=*>j#DIK3v=8tWmB{pG!D7{~f`m@;;H zeu%V3Y(LBlot>J9k^Gm6}!``mo%0g#qwL^_ns z?&nFjYmiR7vjzzRA(3O=frC7M8m?!U_AdV+xxadzXA8= z+1aCMEW-JZrjB1gu9vrKo7!)GDtkW_iqeB}Bu+tsEHJAseFKtjY^>FNiKD*^r#E&Q z!fj0C0gV(;Q4@(x%`RvrUWl(mFtC^}=1Cd?oallz3VqTNO-I6~j5bqo3nsmz!ieJ8 zOgHEfnK0oa+ed7f9i+ao#MG)Gz#OHSqdWFrA(|5j10xI~ee)QnP^cQjF2SraKM7EV zNf+6_9E^xYo8Lm!t>f@Eb%>|d$x&-fkl{r}TuziD#bQ$og?w^Lhd4t_QoSIE9ej_4 z6^`eJZ`mbMA*JoTr-?;>cE<~oA5ZO}XGQLprf|^nTH8B`ySJ03KbU5hIhE>*yPWl# z+EI)5)<)xvDRp>=ipqy#kw8+UaZ;bsshi><$pYj6W9f!5VUm>9$&fL~iEKFVLLf9f z$}yiWyc;eWFF?*u z1zG?SlT=&rJd{G9LbzjNyoWK8%)+37TfBvigKTH-X}nvu_r7l#3q8vYO#f)w3buFb zaPO8Jc*prpb9sCY&=xPD}NErZfZj7(1e$R5HZR2-UN>?fUU0gr!njMVAIyxMhpibkxDb+d#59~!S$ypzt&duLX=yBeRl0-tsL z^o`8a8mg|5Y>tdz5@!FMNZe|SF#U)McF;&AAvOBo(Mfpp(TmsXJ`#oRYpfl;$MR9g z1C2BV9&2587o3Ws+iP^ynnBz-iW%@hMI47=(kr-5}W8 z0mnzvPBL(9dy#9Gt(3Gj-AWxgSAK|?u7m?31Lc6oYq);w zx!~g@z>+wU+P?3`dQJeT3nX-soVX~)WK?l?Y~}=|zE@D~4U$}ExUM1*Ranx|%v}Yf zeQ$@qn@6P?J~c?S?Z3>s3P=kmEkg5RG9983rrNihouLtzPC1|^q+TC+67?XpV~4a= zuF>iPBx-idda4{0Yx;zV@FW8$r(2M~6P}5=0Z3tbvZK%jB-`C*Qs{no*WcNp(Cj_i zxoq6sw(9^==2@lt2)3W<)|e)Pq8ciR?^^?>|(~Ed8Ghkmy;QX0lkdppR&uC&CgKv8x8N#Vo$0RX!Yo zky%c)`E3(A^TicHxRm0W2X$_59d?G>-kud&_QO;q9#C z8BDUBy`4w9<44nm>sfB`Xli?VPeWpolkDAey&p`8|3&z|-N^O}Hw_rLj9Mp=KlaOKeQ*#8S7vG5%7oAl_6YC+0m4M=!9nthu7 zrio;_6fdo;e7mQb9VbPqjYOKxs?x4NazMy!fKLWOj&Ix4gj_%(F~uEuUKQi@*2V%U zAe=M>QrLws)=gAh*Rwp_9*&O4Gk14{6~}(dZUA#^Kq|;~Q^&NUj zc&#)Z>u3CN(jdcyLjdK1ldGaHAa!}d;}uOrC?IvA9Kj0u$lGaMpynR5PAOy(EyVwQ$(V+T5nLpB4*92ZqlVhb-$!;*fs3l z4}T~H-fgH?!F|{ZGx9%?DAss`9ix`X9PxRjhZsKqQUa4~OWW3j0?7&RZm|nUNDBv$ z0QJYakwy1m@3LP!nNsN)d+*z|{Svf^a%rmtr@S#dKt&jkD2n^CoNUZaRa8g?q60u< zbVMeKd6ZPatjkJgUhWn8C}mBx_Z6_5Y^V`QCnI}8tYR)yV!*g9ZwEdngi&P+A*trm zJ~Z5BAhGMPi9!D}M40*=s;Q#wl*m>%oifuWw<)ZeTElQNgGoBSi!uX9-BWww9>6gT zsp=}VE)qq3_`nIVivWj7&KSq<1b}2To`sRV_~Q@kmKJq5`L(ZF*i(1xd}-J55?+li z^O1ixZCGJEMp0x>rcMYV@7jenj-N)5Y|HjlG{zl{T|i1=Je(UU-KK0y#}G$=0(a>47V2dTad?Aa*c^No0ZuAg8Tb&A z7C@T2IdjAGR5NMO1X$f5n#(-&!wXcy!na68&>=|1$>M>YB&7DUo4l(v|oJzIoDbG=Jca!l15$>4j&@K#>A3wj z2lT<30}<(+GiO)Zzn6p-r@FwXQg>p~^Scs~=L0X-%pBp^91sVqS%gEAg`!k?utD_Wer-uxEwu4zugB`(ouSrM1ijLlS9RSB7O zro(J7bo3?wRBXr6c1mP3&&L^IhDm5GaETK%FmFWc@v&AHx0g9W!vkNB!c7`*cRZdQB!sZkgE4$V{uI08UNTO| zZWBB)FEfB)7hpyH5EL|R^C2IpAk2z{SJjScmseD7khtRlq&iaqA#=$V=OgD5Z)q_v zI;Ok%exZUV02Z3F&m)p}#Chft-<)%R_68(Dr2T2M8-TnWRXg%K_0E$nTWPa9rg3~i zDM8-#z=)Vj9}^UBZsR!hqdH)PZ5x?X@9@kO(6Pc{IEzqQi{g_WXF$B7~ z0jP$O)W|_@VHMok1)cM>r6M1k37}{_d86qxR*qAm8T2N>;{w&}I zobK2mS%pop3h66UWciOZ=|Dl%96yTB3j-uWR))=vvLq>RE?KR?muqi#xazPElyP^* z4>k0T9qd%h%S3Z~p|g{d6Or(Ar%pm9K+^s+%;#&??z5WCheX4S8?sw&jtywFHByH7 zzU-RvH)OckMY|4~4n|sHyNMSNBRoY1Ds^5BQsgBXRY4l#I^$SllnDsw1>j2J#8Xz2 zDUN_|P(TVVjeXPvP?b5j1g*04P;w!jEiz1kIw@WdF`4q4<@b<%00Oplt6ey00Jvf$ zghXAA$2Te0WeLS`#5byfN?pJt7%AN#FPTXynA8i~g?2fYw-Z7&Mv~ybg#CM-gdKd8 zyITxN`)_<5jpkpPOJBSQq$36+nMlM#bv_a(X-jFThE<4kcN3hNK!vwsOO0ylG$X#d z=voYiS51It1C8#6MlwXaX`cL@{`b6tHIqEEgEuaR4YR1wKr9unW96A+m91$r6h40f z+F7-_NbfmxqRAV3WdcZ1s>X#K=4p_pQPn>8g;LN%jPf&Z5A@j5*D~%NC=^V zn^S30m1IHHcffgi5m=L||Xn?o#@=07D2$8SmC7B88l&zDOC8-%O0h^mA%S z3DsUIe2JR;#6?%JG;x^Iql;lP=L(6KM{091ko?gaBy653;qCBuHJ28H5@5%*;CU6q!^=I8S-ZEzM<1xFBLoy zorK&;>n5tFbdpkLucu)hz;y~%am85|GU?ruR8TT%kExg=9(>Ydltk(K0RTsKh^THk zOnossndU~_c@Qj05&EqtA{q5twvRYS$bGy4lME!U zjOFD4Gn{7VKVYPZ=VtBg1ku6jowy`2aa#ast{N(LCy?ewTlK)#hvym&d%L-_J41`& zjKWcUHqs???hY*q1Je1ydULbMvNy`8>W38wh=gjtFp+hc*Dq9G3EfaRPXjr|cbQ3& zY$REv9FxEjRT5l+&+%|Y+H^9LfFCg1=H5rXQDvrkhib@so#1ioF5q_xy8_Osq)1hc58iFv%G!T{&Az2szVb~)q6-1{33iCmu z?w~aVto+MMEN?T{52L6F&6$K%OcIx#N2JB_o$A)}*@i<|>wLIt4#;65aaXqxrGWH4 zb?Op8f!C#rfCP~~a@#~CZP_5nu-RlK)ldO-b66-cB~Cqj4*#1r`P|lv5hdHddP;>+zEcXN0LP4|LiBT%F#`wNeqq7;Bp&&fbA@*>6fggg3BVR~&+(X4lI>bz@LOT{* zI7L1v17cDMLQSnVyP}5Qj7iFpYMhR88KAf?M5G!eo@XG{FfD$?m*0J%w_A9@R91~z zfJGprOD8c``$KB$!Bvv+bwtBm$T2MV0yscOgC-5&ATJb~_)b%y0a=X~=tL1>iO+Ot zM4Sg4X6vykChQ{+hz;S-nVDt4r(xrKVE(F^4Qtlad+Zv09IzI*pKtH6?#fQ zBDnZ~6s3kqtw7i@3JJOBtjB4T`>{&7#G(u)CEUpfBDHiIZU9Ezgnu-a8IUeJboi*1 zG}kHF=go37F)Nw!IgtdCZWWWDl0j$D3^eom5;T|*=`fiNA@t%DiL^*X2AT3Bot9ni z;dx6St*)*&hdoBtGkW9+vSE{X>_n`=jf+WL2<592E09oRQgMaE5-xv@0(vz_s4*j|4+j(;%K#Q)B&^W$A-+dG{&34o!e0DjCi!D!UiLG{tj;#MNZ?VuKT147zoWHwrTYNKQo zgIEpJ*Q7A-TdSq`9<@T-gbxo)xA(q3?KXa3S(mpPdWW>$?%7V z2bHlMBgdre@Mpd%K`MCbea7?UM4%utAVq*Aof4FQ6yXOc+&Pe_A(9dWsY;P0m2Q+F zC)6ZKNRgOwNQRD!B*zI5kQ_ThzL)GY6r8`>&O576 z!(=WAbYZqIV45{7nD1iJxv39Ysb#mT7uu8a7dC)M#}U*h38sTML8s@ry!vK9;_1Q( zAo=e@#S6}EK{XiFU4erMuiKZ-7$SXMrwHlz{3f=UVA`j-n>5w2q}9^It+)At(yS5=}w?`+H90;vFp)D>(Z+kh1CY898jr^P5rOWkv|0M*jS8}gT4 z3QmO6)QR{&F$qm+ibA|P{KJe|MHXc6h#O^AvyNtmsXM-U zFjzh8^8OQ%7DEysojCwf#i`Gqxpe#X8AT;d83QDQXohL`oo+(4p;^GFke+1_WN3ph zC`&-%qCmj^5p4vMTEGI627??L+2%X$Rg52d{BD%n2evCK-ec_+t~FhGWcBv8cb|?%aYz->9<;W$R8dWT_02~H3F>^fk$2&GJhiN zkN{HR5T<~Zth&npKgdz*4z*jj7C7?(7=}F9>h{@LlZe|a@(DO8mDMgAb)G~_TA&dZ zap^@MiAnr26}6-PeI>=5N&BxH^mahQ1yEev%&GIA2c+sO<|}N#OrZp~21pDQQUOBa zIn+>w0RxLAtG+|20!PCP@Ty4#EDvyR@KYPZEQ2NN=8g< znrh;~VzKHEcAkCpeXo1=bZ0w}#v=%Adwy3dS>Opk`TS8tc#m;$`L}=w)wZxei7uZ8 z3gaT#7G>2~4JT?FNImGzU&??47g|(HX%e-SU=zJR`Dd!&c4jvVRgCCTs5Z30s`Xpu zEX0#1Ym}Bz;0sneyTcN14iaU68_RSoUWiUrJE{@l2N#J1M&z*qr()6^q>FgF`)IrC z1JoVcL~4@?NW_&42NHE*(+x2k1NU|bNTuzl4P$EwF%_^dvN3!g%a{YL+N-Ek4eSHV zXLcX$W>)qH0hcsh+Ou}8*qYM(`2N$qoljVnisZ-#)rPiHzhU&5@6a`k7&zhY^@Dam z3*Dr%l@B$UEZoXV@Y5Q>G|YUUmDlsB@1j0}COg8O;5ifRhEI67$BqO=PX3nkxi3>KJ z2CPfwus#_W(UKkD?pC#HBA+qT0lVxB2}yJ@DV-EW6chlEo5e(>qyo;pEDV{nu2Stj zB{JG6*XC^lHw%l^Y1^ANxL^M9w2N@qed-35=O7xo-+%wBNph`e3^0I18oO<~syHa4 zRZ0ss_C4JgK5+Xw`R7BEE0LkM?I@B<6L8TXy=_nvA(?3{U0oE8P=U%Q` zLeD1?<)H4vc-PzO87L+9))7LvIfov6 zPzF3|KYK)#N0EU$781}|zlRo6q+qKc9t2TUyQ5b=jDc!nSW38F=N{c|8P zPaIA0w&C#n>%oX?p-a11b*&(pgLLj2KcUSe2#=`+3p5Z&8jr6k*`VXHh0$&Hh~osI zEA8`Whe3g=%L=Lt46)}Sg{_d_%Dht$icLiu%J4q$Ac(>Mcp`A(JtL#-OMdgEADNhh zFLTC2bvD%7FbzYd5E%ESqNmy9s`UpCVpFw4;_K~A?IhNtor+h!D~0AE9!j?=u%Z=A zH#S8{BPW8))xQS!Shh^5s5EtQ>LjKQ6?4o8e-HZHD?A6`>R?%d2S^4^v2rtM1gq_h zD^*0|!r|5OZb5>ZLimdeCcU@ltq;VwePaCbc|)1ePVg3?WVVc@!^yrg~vV1QvB z@(K~C28oMbr-nrNh`%vuw3z%$`C&H{NS=a~9{_kWi-fyNDv%}(pI=c2-*$A;oULKb zgoSYq+dn*bhwPLPI(brQQeAT}SYdU);)OzdY54||Asub4Ux5Ob7GPSOtDAefQ^!sm z)eb^u)j*Q&7L)V{NU#Vl-Nxrh*(sIP;kX@>G2Csp8Fj-#K5;N9TwiSl{6blRhcRHn zslXw@Ljt2sI{OlOYg$k)^NeRQ!(IS22A~!8$1_`jQVmQaoPtM~AQG2^)S?|dEb{i<-Rm>fuHFEnLk)_< zz1VZ7d6{qqw7-vwW&1NTDhF(cjWKdXf8nV4M+uJ*0C8x;nLb5)1RH&}apei1e%qQknw;(j1_2+7~Lt zid><_!wdXo09zf0(yfbrb!edD#+_0JC|uC;dv;hdAk{D}>psWn4bLw;pc@3zc~(W< z9n>JrhJ?O%h({{Vo#XkSd;la&4Ri6xz$6+05ck^`byD+H;iOHo>G`Rzf(*|A3LS^i zrpwHylaV*bgsKRdKw_8Dc_WN%87NZnN?*o?8iXT$xeRNN9O5;;Og@bVow~=DNCa9v zF6x@)TUngoi+XNXD5VZ;3g|&VWYXAH0bpeqwtTfJN{CYhI^fmRatcEtLC0WTiiy%T z5wQei3Pu$`s149hoCsMi9q*O=EK!vfq#QYC(%agmZ30AQPv@FSTs1;+dUF~9(DSAE zQd38t-h1zUPwAWcUB0t(CJCbDU9*@A`-Ppw45MA4f7Q!t%*vJCijUW7G~TSNTq<~ z@+^0!%?mZskG`j>K_6X9xc~~^6*ypIJAkEfgOqlehVrV3M?G+hsc;L^P6Q?u^Po-; z01nbcwGPlk&yYXygW#l)R%ihcAkC>H zs}$Y>O0q*hEiqihy4AtLf?3%tKQgh%1fFw7rq>|Wo7!P&#GVs_q#5dXbcvFX1o-V6 zS0K`r)eg$;ylBKmi=7TQUC5j4s)ftJ$|5&kH0cSXHj$7fw<%Wcynq<}#0*2UfNwgZ-(;Ht#l z6jfqjTd8`s5(w24a;{8`U-J=!UZnb=Pae@KT*f6_L2dkDk|a)U;8ob52-B|!4 zI}(|`MoHx3B8Z2OZ@=Cc7#kkt=oDodMei=M%O&8}SBl88+ebVbZ7_^hbHM z`4&X#gHBG`!Y)E81Kq~@?)6mWxyW3UCA#C3;x}l~LAkBCrkhta$38Uu_YKhGh7%IKDdE(#!74uSe zCF%ePj9z~bq+jkk#53ol7Yvi?z$6)d+Z64%Zs=U0&Rq#`Vv*8WZ7t&BS8>+|Au9l@oah(3TW5drYyKZBhsUImr#_ zr6bRm;uOh|v^$a3dQs)`SpSm5_{FgBQ7z)aSZS;2riZx-br$9Xe##|~fDl#3Th4T= zVX1@Y&e)JZ7|ofq$R*wM zOnNg1YKfJRB2uq+{*su)b#NCA$%CUB|A8ytGziT>suUogoG}*=apO@>R2$IWpTD6- z(gm>yZ^x7NGhOGAX+dzxae8H5s+8YGI*btC?DnWUDc4>>8yk>m?amsemaK}bN}U|P z77pPi+9{ zBsRTuwJvQmF1Q#wkV-Sm+N0n|@^A$k)JPYmK256|C0GKa~R3)=1g+NU9X+ zFuDE_+>k)3!%_{?G2I~2u?3g}kREl-n8c`}2DGWyvj69?8lr3A|QPSE}aCWgEIWsv01{j2vhxZyp|7315(+6I;ZDV76*s9 zx2t;+fF!wA$D_rXkys=QD|qyTflyUh1tfDU9z@ch9Uz6;9XQKFm_%k2ZcHQ}IuCGd zfPwYZ+~cXTi3DIS1#w3OJs74^o>~P4BqOX~7WGj8^s5lXg>oRwmGYa;SOX+uxk!e6 zfK@<24#O;ZMGon(O~>1JYIO&EU=W#PNK;?1suV6b&~$Aphg$eRe#_cMe?*;X4dMu! ztu@eLcWXB0U0dtJr#?F=uZ<==O-3EPeO~}1VN|2UO$c!pLXe4Tu|A}mfZ_&7$gb-e zyAmYIP#2k`y%<#FKF8~aiBywJ;ixjP>HgR19>rB2E|^2q!ZxnXwEMXux0~p0($@_T zG$-wGj*(E(ma1G6c?-b7MihkZAe;n9Wa_R+gnf|6WfWUPEd?j>35!&xQ=hIxDbhb3 z`(~E`&GgBWX_CZ^#3l$}GpQGZ4J`_&=t z>Q)CL(pw=?ZS&gC(a)Q~Zm#7yG{2mQJ6zknNiW#o)87K8QAv^jkFc!?4i0ec0F~~1&elMPUCDv#@qNVaTsqVSiz=gz z0GWVj5hIzH#KY3yt~%S;dtbj`M@@PvfQUIXBM$pIfZ~^hQH_i)?)TB)`yq*v&{ZA9 zdj3JP142j!Q}0&p+AewEr0h<6W7399BkPOKnHOt4IpsHCj>xHSo&Z(CkZN_1P-_?ntX1BwkDKFV{Z<3g+T)2r)ab!u zJl@8`KXa5fA7&X40n^z7`oWM1;{iLIG#QXMzzj02UjOI;klxJgs16P@OOxS|Fq%_| za8&29grqY9W=48YQcLEq5u;7JRg@dJIOt z;-Gdwdid}Qpd^#as{yJ}s&T5MgKqnFTtHP4oW0GE4Ocz_NC&s#IDzmc;#9KXQiYE0 zb)^A2&R;75K1-2wM}TvIl4OI9VdXObNNP`XnyY1Qjv>k~T*z~90vq$zS8$D(_7sac z+zGju{+CLV)SKnk(NS`FToEA2f~as>)C;K1;`Jg^$WcV0P%F0Zkr1ld@Id=|;Y}zryX0n0J>BowYj%WF1S2jIBcO>yD5(W02N6gA5SW9@BMwz2j6i16G1}p= z8~`NJf8o!6ekl9SPl!ws%6L5k(%A|lD6}sgX8M7L8YXU-+#RPM-njDKHyk}kq}Qvr zs!UmBxdkG9YDT1L60&B{>`6qTZLY$d&NFVRM=?-G_PnY;p}8X#2vw5{RKf)kcjZYN z&}U;b0;ADL3Qq*mp)G5Q!xN=TeG)}eYz|7RHXHS<2`tG0fNEjdLnDHEDucG_q?LHZ z%PQ7@mXK_T5vm_(9~G;%ATCN|B>2dvDnKSw8@LDs@jALAuyj;D-{wLGic5BnDk9Bd zlK#o^cE7^Fh_l(h^P?YqL0sZ<51-}+NFY_&@?vbN;G^4f31*29M;0d!a_t5E34DSLa?w2 zMsO`6f+DyV5W(VFF%H*kC1~lxB74@R2&720_LgGrALRE@GM_~xApy_49t&s8Wx!D~i4zUgbmu3vr;?UGmy5;c#d6_T z)Bw}tL{!ZKoGPosoR>=4`0o!V7ZcJBlOynC(AG~W8P)R`If7Dx%R?Ed|v49cr?bZB2 z#@%x%-I0x)646#o3|4EpOK(TVVGoj&u33@LQZ`qUN(J@(`mMasF6>%Dm5*vlZr9}JtDPu~+bj(Z{NOr&b-cJr4fE9pfS;cZ#sendxN(l`W6;b-$A5FeRV|U+0 z^Is8Ny%!y3o>b@3bgdsCfTNicL;idS3K%7CDJ>3e1+4Tq5P}mi@TxTl1FmoIQ@>%v zA*WNPql6zVWo)KPl~bj(@A61@&#(>C52m|4A>DD2BqwLB=^8fq?%m^UXSG7wU&tCT zN=WYIdh_Ch&0HUWB&Mg$2voehE<2E}LghWu0YFs%lNw@0KXx}z`&F1Q^ z1FpCG=%DXd35_;=I~(C}YTz_+DoaI@(HX9rr!dD2N=nHLaypYyN(qoyKb54EW`T!r zSA7$}Y1vfJHCBnE(1Gy<^wo3gtA=ll3wJieT;c}cfY-H67ZV^67>c8EzoR=xw0JoJ z9AW_hw*Z=gS#vVsswkO-Ts*ufAK-8lAPqBNY3};pKYsq@v)NNfJo3*eayp$q+@`(j zK0K)`<_iO zcE?Zw&%_O^jQl)aKc_4KD%jK&u)umNtf7ZcLTT-)lyWxXeq?qpkm^%RVlYZ~HFF=r zY8iDmm<#Qq9RV&}vgTyYRsmgaR!nOrbWrW+yG5>N2*z0Vk6Pi1Ns)2(nG4e!=i2VE zIt5I$bXFr2IRy7TKtg*j4Fi`v6@(OKJB`B%HLU=pkXDI{$m;ZjKt&*eQ8pm<3sZ%3 zaxw+dr2|NpUcOIXcj&*_?^WabE4vNzd2KT!DndxaR}A=+p55C~4k#Xx?1Y480Mcu^ z1PwbS%czg$ImL-iYZSZU&j$oWElNtY9Q9^D%F}PIZIl7Y$^^8m4dY@p*!XTVl=V9D zuNPjTPc1D7`32^@oTR#l(xU0fk`f~&Ua%#a10k%rO}a#7OeUeAd@V?8swIHs;pHGS z-GVi=)}#(fJul$C)7-5HApO~a$`bYI^qkXO2?Ds-~UA!@aMw zuFA>3xwWjkzPifI%B7>5<=W1}#K1f{G+9|xK|VM!Njz0nQLC`4#K^^5Tv)=uw7t5p zU|(9s#JDjsEM{|RqMw+!ythR|JvTKk5)}|lP)sW=C|+@9YISX8Zf5D<)3Ug9XKfv+B5DYy< zJm>D`o}867OhBZkq8J(#VsdC&W?fifSujL69UmG&NgyMn5V(i5xK_-{aks zl8kb6Z<&^kEKfdJQc5W~E+j`bT5n@sR!~k?PBc|T(ALmlW?yP=YGhkgk&cH*KRKJB zohC0_b$fPjd2)Y-g^G)Y-`v&P&%=j@fFv;}KUqs^d~w;~*^`-+cz%0%cW@##cW!23 zjFXN%QAIOhNri%WetvcfE;B-Nb!%d~#lJN!B6x6U=;huJ89PK`Qqjn?9WQG$ zI9nekMOS@fDWUYp9=&^X=k*URHsThI5a7abH&v;;LD0*S9TN|*W@Dq8eYvlRERY9ahjG``twyI9{m#eC zYHRFhYHLFx{OK)1Ryfg7PFQP7SvDdozyxY$5c>4VQC4-8jbofrQ%8(CWyTit+n{&! zC2C$ioWUSqk5nh*32l6}g=$6p?_JridC1XR?t_EGjEQ}DP-JF@g`{@!&}FFoOY{4o zkE)maqoUFEys5;KbdM0c^NMwNn`^RBe~!hC(rw!POS*kG#3Hh>00B*KNklEyk06Q=N5zGLiijevVNhCmrVdCci$nZr$#=_MGYIFl0J;`UK)Z z&?M?)a^sCRYKKN6G>*`4hz8v_99P3kI7#7XTtY`jM@`cVFboE0R67_H5WAMWbd3Fg z2lc@L+Cg3GfSr2nu7awu;RMg%h-#W}0^&brYRa;zrZ>)JQ>d!S25MV;s;<$oZ;Lk9 zV1U#70FI{*Jn{VVPdxhQqo2O^+H3E8`r1rCvMKL^PESwIPH(&U-cwUq-gQI`sN>^f zbc5r-!al+QH6l^R zMOFifz3Vu_%5|Wj4lIa((paZgVkSO%yU+=H6F>OKa7iuyA$_+^HJt=nW!X^n@7I)g zy*GRC!3RHY>y{Edyj`Pz;M3=yUvDojFBjB)^Fa-sb`9QjIv$^5yY3J@1zZspa0!|& zMwe)Hfdx=#QE-=lxwS+Iv_R~T$8uEQC0vj^H8W!cZ_S1-Qi@~vjN`(8zR746-21fb z%cn%aUpT112}+*}xF|cam*0QC-?aFpKh2 zJUtr^K?}TyYY=%I9VZbIc5dQSxT`b<-6UARr6`zBRvbA@i0V3WLeFNo#f+f1t4GTv zU_ut(AYvT~mZcfi8qNAK$;fr1LYd3Rh+j7>3X~s`4F@{ySA+UHd=&W=L2wuJ3I0RT zUaLmeQ7c^6HuLS)aGAaEs%~LEh`9b(nzzo+FL%2&wO!8_z_ovi{whyPPG7iyPpao$ zyN}nx_|BK_x8D`eG-n@PY$Z*2_MIa#@O!1YkWg!8Tv`31g%viWoi%OTQMoBjTaM&VG3 zS+AURT+mNapBClrO1#((12NFz?#u{>86g@bXttT9glI-<>hqScIXxI=}l~ThT*L=MOF7nz~H2lm{4dA*!Fcd7;cD{eS zv0NT@s;Y6-Jg^n6YPH?(_aC$&J5-m}DpCe2^wC(IR6~-po_1Df$ye9efJOn6Xpw?6 zbfs`eRNNELk|Hi$#jU#b>bzBVv)a0diA3#i`naz7vD`&-J#0V4CNm;HSWLJ;j{f48 zTS;XKhIMcka2YLz!u{rt_YPy~{L;o@JD6x6pk4*{WK72;Tes z=j6m>gR)E`=7a1I4uh@2XozAs42it#MNlLr(PH9t9VwAj7-pKT#EY7aX2Vf1R>U^7 zCR!2^MqGr2h$ux;96Uqn@Ny>cqGR?E`ScZV6=5joBH|MJ!GP~|anWBHuA+~N?s}tb zBCYn7i`{nTsYb{QckAuT%;ihrY9BRRn=b(FA=27BGcXyh?h4rhdxk7<3i0s3aLHM= zA7+tI`TL=e#kmWph-o@APU_rRBq>^ohmMPpFxE2!D@zkc;VKyoNi3#@9t^h(h6&hy|8h(HVA1e`vG5gS8kfOb zFxGa*m}x205s6Lj-kRngPOJK5!}YQ_LBf^KUoPf_+=wbRzNb_Jn{Y)<2+PyLP8P2z z3z%fDWGN9ME_^1?a|K#QTyo_LE`o9$sC;AyM9oW14(T5iN&YT-{bapfeiE;Of=%W! z+Z_{89t_(-Sa-FXoh6351yVi>hp*Nt8m=zD=@rghjED>H(%iM%ZwL#x8sPd=wC3+u z)jN;Do^lsZ2^M(?m^Q>hwr=T(n?#4*bWP@>G`R94gQPTV$x|f8O&Nu&kITJ9UJW*A zU=dNc+*k+fYN(sT*Kt=QbUCLwCuVl4YxE&MBpO;OxwIB8CiqR0*{d96!EjC~GhA3O zG+fe(<^mo-^L5vPc6`QGD5O;nK8~ zQJW-Q>Q!cn?wa;eiz&S2B|>HKL6+r<7V8FK+6u`=;UX+o3H!@N&+Be z=mT_oAzWJ0prgx(gSa$#k(b*m$FoKD?s_Hg`X0RSx$SGM;j(B*cZnC4 z0dtFnJe2`^Ggh+2tY6qo=DLZzK+m)HLlNSx8H>MJxovwuau5yLNyj zbM5bbFVXtya%aorSI!X?ce^dq#Krf^4S2P@B30{ubSv$xC}Qqv^aUKd!L$e@E0<;} z;S#GTa~I5I$mA*`CTl%+yYbTMn6S`M;xV0ak6B@aWjgbsMhJzh>MF%R7qfR)t*v7y zK?+Jld?t?6#4wI%1_T6HsS0h^}vnohpjD*}5Vd1sky1PCH zEKXkQ?#gQiTn2>Ea5DRJZFAT958#>`tqs$B`6~$yBei~Q*ZRomJu$m>a;V~y=NWl= z@$A#Ot0iZ#0ndm5uOc$BKr9rq2#2_Wvmj*|0hi>oJeBR%FX%Ieg@n+7xI`@i^8ooH z0ip4#t%>V;{Ycg^QnD}oT&l34y5VXCf1$P2sx?$_m%?Ee*FBdq)uqIWJQMjX__XAO zs9#kxyj;s&=1#fm2s(DDgjh(5jSfx05TL}$Qw@g|Xob64&k0uyg)wu->@|fH2wlJy z-IZ=~Ij)AtGG6RGBgCG&OW?W@q9&7CR5I0U9ut)eVpotUEHg|wW0)ggE}U*~O1M}! zR7De7RVU4=nVWV*rLsoCwIVLS3bYou<3(DWyVmQ7tDFMYIuC|>h!u~)T}Q{sT}OA> zAb+8I(`XS>ckaq?!CqZ+`Q1ngy^{B^)S`GTvdS{1GH5#dyX7&Jmz`{PcL`VVFSRD& zz^E1_dPFH`$vnTsv^{#tbNl*Br1sh7%S}c9&?vu%wR4{ zhr`3l@MJz1N(=E9|D$Q#Szbp+jd?=5!$7iG9q)$mFoS^_0qJ51FKu8Y~QJ1rw; zWej75)ScmQS`A5y0<+<`gDT8q375k{OLA6T!bk}`2XS#_a1t(u=6%FwR^_)}wNcAU^3u8s-h#Vuh-2ZhR0FuS!Ct?(yGpnV zw^-0|yXE%V0<9DT71(1jqr-~hHPkY)iOXp@F=(bbhI066xTi;8oT<<&HH^LNWxIz$ zDu<<;{a$xc8rPMeb$OY?`ndc+xWar@gcrHHtc*xnZHwISO?j1L9gJ=>V=3gCQ>B>C=3}aYc7gM-WUlyzcC_v*RAHUMZe)7jV&C z@evxMb;z!N!-SI%e;-&2u-JfWJOnNRGe%>flCQK>9(hvOpbfaW@&z)-|6oq77e*VgYfG-^#yNpxVx?ebCDKd!Cj9VES#S#%~=S9JJAZ07v`>Q zyJ{d#5MQNKW3x}VWG~EEcS)zG=C6W_usp$VSPY6XnB(#)Ja)l?$jYC@7!p=WGlTasBg}d&Ism5mu(Aq%+wji)2wO9aeP2^m4%|3W^Enu0ursFbl!mNdMAghY!=E~Oc6EHqKSsza5*U2>MR5H5METD<{G$z7JqFNEuIK7VaRlM>e#z-8|GgY|qg;2Mrk zLkzT0DMxgdBFfcA)pVQ%!^0Q~{a!ykrR6aitt#P)?pipmM_XEFx>~(B2PTTF z(pvdKb*bfYzggkw#o2>~3nH%IuF>!`&>}FGGWmnvgKCN|87@mR2<$o!ghonF(IkZl ziguy|s&6j)qy$(})8wG8JXWH7u$L2NHNgL?I<3Ljd2-noA3Q7vW-nHZOl88QaQON> z%7AV6#06f^dvk&T8Sc=9?RvEYE);t|!qxtMbl0DA&=M{@a3fkJTg(#OUEP}|iiJ)K zxByG?EQ4(ZgN56Xif-a1HyJLXvw%08Iw+^5Sh#;3WjDb zYBZLyG$da3eK;=l9ttyebqn&I4`NhWXtl!OjI@X=E{@5`*jZR4sOUwMpw5Wv)B~Ys z8U-3QE4xT~3`XHl;VduQqIDhQ^%>WDe%4b-N_~f)?oST7xJu1k(<$7AG|_{hy9+m$ zKc%^5t!|wBqn79A#C0-zcb&Nl8^y1Mu+EIvZQ^yzJ)gSNAYUiviTt4<%O0#IDz#9A zhk>|P95GO`7m;}!bZ=3*eay75=dL0tl*rLjDFk9GELZxU@?2j`IOx5;NGtponyMkL zQ0BE@$d?hH>K=Ua&2qUpd1p;Ak*BwWtCG3U zq#Rd@B-gvJ;=1B4BgJmw<{E{EKe@9vPGcshN;1;qmF}Eq-r{)XNnya%QC`~RQ9f^Z zMUaC-AfjIQ^W*M^`K(j++NZo3C6LEQ}u@E)fyNA10tM(CkE9dpL z!-_ip2KL%-*DndzpY#^CU-rM4yBrpt%@!|rm(N?MzaO}_++E5x4h>Z#7N3C+$c##5 zg?OXIMk=(NDP7GT0lb zMN_jPt`%^Zv83%BxVFT#rnfHMe&y}A-#*V-U1*5*`hGEAcY@*Ga7`nvGkFWm^LQ3D zAW!4fcY+~MNwG|r)>~{W{*f1nSTTc~uxqe5C@Iwlhd32sL0wS2sz%7xu6078%Ry=6 z-hbl~8WI=8vOL|Tiae`Ii=AR&z_p>dGE5FB*Ez!BV*Pvo=5yCC z)$r71Ila5f*IkCmC04?f?wv^PEq1!Yl|MlvPSz_goTSs8M8jwaRbP2cqx)PMTYtSJ zNZcIQzmuvXb?2l5m)lF>a7uCdqS{qVHJ0cM*M9xP3bhX50x)dn{d7aLGJDBg*n~^- z7Al9r6%=t@--Gq{?ox3##zJO>{wG^3=@NvN$@!h8w^yVnfs%Rif;?-Dak1(5`S#X1IdD;o-x zj_52LT2lxW#>%Tuj?05#j>{40N{zD;jnTk@z2e}YQ0Tm{kR}U;T^zC(abc9qV7R(U zTx(c^jkItDG9Ws5;;w5a*y{n;Q^Ub<$AaN(Kvueonj9A`wdY+t3rb?8+2lMH5>*^+ zb+J)6k&_7N|3FfKj84-c!2^O!jlBuiQz3Ef`lt6&RT=Zbp_(By zan*P}kvu;3pw`ut!O-2MzDp@QjnlDme5pjq7iKWakhg5nkE#Yk&dO;i(~!z6BYQ|W z;c5zlTdb7Nz3^~UPAz4Ie4am*d}eoa{7a;ZUG*Tvw+B*2)XBM1yV2|L~Ww zsmpL-507whpPZ$u+*Y_MCr=iOhs84vk17ae3r36n?NEtP{o?=JHOVp*%+>02g1e4` zkx~ufS~FbTW1eWxLfon7uamt%5e;zl1FkPbD^&u5B1dFHM>Qgq;g~RSzi5$&# zC)dS`?lOPv?3_5#Bq|=BYRqL5C@|Ep3U{IVYKWM3UW|lBOSoLTo(jIw(QKsE0X{w^ zEs~P6CMP4VIk9pMIW30}>P#`&2iHTrb*7&Zpe!Ex<89s&Jrwf7rGN_yqNrf6*O_Tx zg%hITXLuH9jqXb3qNx}I`=q6fm&f$IT!+%ex)$6V(F%Fux*Fy0S>lr1ToG4YXLwGS zxvOQnm*ZRE#}8Tzbq=a{j5ScxUE*bvCd}XqkyLtLi@%CSDB?Q7AOTahUwxSF0N;y$`X+f)EJbi!xf|fflfOJzPmDa0rxe0@ultve*7v zn*6`M*>0yAR6BQEV8ZAWCX=&V40ZlroM`hZnA#k|!A% zt}$`oQM%?##W_KRa(fl`jU0#hz^l4E3xQ4xkHRKg8!3~gyDT1F!gVsXj!CGrMorcV zC8!>V;l##M4L>DZe_~tMpfqJYqKh7bjBImY0`> z>z}m`PCR?68UPn*RqvO>WL4UHnyV1qmA$AdOd?zM4yh8~ab{_hWnKfTHtaQX{`3rR ziI#Zf8T`1z!jXcZK#5l!mlKP(2^m|z!;4hf++JfKp(}l;E>E*20oM`^7>XB4CBKYsc0dm`7Ei--pD76xU&HCn&(hV`AxZo2TJk3M?q>$lzl zuCMQS_(%NaEvkavkF27*#)fhXZrF2offgqINvn~}Wr<;e)x;rGs!L@O#;HbgD&kV2 z5m5;iH5Y(cluq`N6by&rpq&yfk@cH=Cd{Y_t_vuHUe^|NF94uuEpGUJ2`AYLynd#; z@Z%3jSNFR+)~Kqw@2(q`Z?T7vl|v+4NC$C$e-j&aWr?e}W0kZl8#%eTv$}lE@=I%1 zUI|?I)1GE9oS!d?P+G;S@@{a~10p0^j!RGcH?#~iUr*EYWCLz90Sel99eC;HP}t>0 zcR4UG>t^%F4nfe$q?`!M54_2zFUx63CH-m?L4l}BM193B00mm0WVj}lHnz97H?}u6 zHmVH@_k<+5+dWQNSHh`}-f+t;@2$aHdl45{VFx7QN?L(%gK)9)>YcmrPHI1XcrmBC{3v71OXSC7q+)ActOk7_A10c17#Do zyjWncd*z;6Uhlrcq!1rAJs48>b;}D~!gX57K9UV2hd};3rEGPqo@)t5Y7AKbp*I5;3D$ZUw`?A*Snu7Cp;~8 z5f%>O8m$AcvY{7WjCwT-L-j{ z?rE!>VLD{eq;1&_7|h zwA`g&*q$dW>gbTWYM4A2n$pAmOz|8S4_V1rVHYw5!$t~*!ezkXgo)1Han+eA7j$ct z37_S&1=d3=PkxQ5GuJ7;0)@y3D=4ge5-yfFX%Q4Ps%pDMTv{1MT)$S;H|qd{8*F%N z7=-IKu8ZGfW@zu-sD50PHmkQ+`(MQIvbihb>O@6`9U)Q9~o-rL6lZRMshEl>iGnaV4f+3k<~!wz4Dc)HPb-!fERa$%b5( zV@!1P#xT-i@+w?bal$38_bThV_Vg-Zc}o?g74jMY0B`}CQjI>eX_+AfB7;?4XiygB zDAjiXSD~RAubB(>ilo%K44n^?{b7b|X?(PnWZZ}<9P?g;(xO5fXh()ZU!75wcYPkw zhg*tsa#u#QtW&Ycoo1*Ptn<1&;2Ib%{Phb~aMt5NT=_&qge6>zg`adgt*V+2-unAv z{osk~vkE@DKX(^#i4FpxvXIj_y&TVzrg4`)J;|62TpkO#LvmDI&BnPeJ~1;r9cPk_SD9vb zUm;RM^IVj>tIW*lu{zYD+q79>!?Qy#Jc-<*aAD-YIumG75m&c67<6>KtLc*#twkL_ z!aw6_+J-5_ig3BRShGNraN)kMBCemR-@^n;#8t>~g;03Z-Q{WFu%15?xFFRvD1+s4 zLou`PPdsK&euBjm4QsS~Dd^mJa+pTQF7J~{R%jxD!>5o%G$9hu#Efr+!dKO>EE8PN zl3myV33mqqE~rCZ_F^UVTzUYAxF|D^q9btK7M~YlZR+qMlofe{yU1+N-$!D2QB@I? zR_U+&6{fXC8nLca zmH|C&&^xz^zDu1P8aI_+2p4XyKijGSvO-~pxJawp;Z9nb@A5=rCC9}jgqgcge}d3d zAaUZ4J}+pa{tr0gVuh0`1SWT3-#md^Kkx51+u%iE-hjkJ3lc48j;$&g9tEEd7p!Vr zZH0~6bFSKCt^iBKngM6LXxKE@f}2aJA-$B&tQ0B~sui$uJ&j=Hvmt&{k?ZGxJTc61 z(UM$*Kkm3D60QZSEp*AM)!8F12<{q<%gmIy>weN=a!yAzrWvU zQ>3L5FIib*iDB%#2usY|UZiE?E_zF}B9*3Kh?HP)v(F+G^7*!Mjf+IfNHvShFuH4^ z{*Vxk3DP>uU9e9GnIc-)keegRJ2m18yeFc&mI5wzRnpI$FeAwUvcZC?%4j{=t>OB1 zP;(cvzT0>(5u|Wo)0V)cS!GRu0n&dd*eeZ^$;-10&j;ON_JX`~z-y-A=1RV5wG;^1 z=+qP`GZ>8xSoyO-D(nIeDINA+2JgBil=BrJ#NkZgf<{w~o=OQlH>Y zouR=JE%G`OyU(29Bw{)Q?TqPjW-!bkFQ-KsWCcD^EgbTmpfycKD;5joCBoq@`4~Cx zzed*z$I2*Izo!Hy6Z#jzEL_`3L||vg7JxG8=+IrK4giT)U8>@sOR2__Po5~eaGM81 zz(9#9nu*}_OT?v5r;S*a8E#tS9CVb&OFrsCYM7#-k&?&Cs)qGjU`2t3q(G?51ElD& z)QhR{A##q3CSpG&W9bwq(Q=+=2*csE-C&lYdn<@}@8yZ1a8)CSGK`X$2!tdOPp>nGRYt-q@3$4%inm}<}S@7W@%kQXL* zc^QhB(7N1R=B&mHBq$PbS;v)2-B+qev9zlNH4P;iB7`uO6hglj4hgR}4j)#BzT zYemEMtTY2!?yg#&1@UX8hdxAh-#CMb!Z#smI<2zz8j{GBxE3+dRpMeAzlZ7*X#v}I zDDNJJUKg(W4cEy&uN@aia0es@XMjR5)Vem}`i=Q}b%=Him&9PmzRN~k+y$+~g~U*z zeLU>JaBRHqa$HR|oH&8Ke45M5L|ErvU;kIRike34EnTBINRG9{r5wW-3WVs%%MzCl zyTWV!g$rUveGqys77Jl5(t7f~6_ea`tPfflE~26o^O&)_yU0p;JaK-5V90K;uVA=y zeY?G9eb+{UmDLPm*A?FaRpOeyTC7@`y>Mlp1xqI%cTvhSup=u3uV^meIhAVQ{ zelOsxxK`X_I;d0w z9n}F^j+a4+s|tHPUhtltcnMcO!}Ur#;Swy{aj0sjw9p!Do)+H4oqZRJP~>uiNrmNt z!ETC&Edk>;FK7AkfMHygSQsj69FeZsq)8Fk#yJ$Oad#CevkiGmnAC3#L}0MHwY@#Q zy}h-oFW{}c!9hsm@q|!Vl7UmpfE_npp1ytaFK6e^GeZ+Cl*JN?#&(4mQh@bP^ z=RSAtoh1j3fh)T!s=aEt;4qpCKed83>osr%RN=aTStwl}m;PMRvc3G&b#Ew{dmRkn zty%bsSd?Q>`OKSk7<@IIf|feUT1?2ah)ZaMuAB~-o82W&mBXAp2-m6JG8uzpjm*u~ z(OQ}&`6jMkT~eWtOfN7b2Cvk3>BpaIX|DF=inxjgQ=;*;X#k^n9lhdaxw%1H4V1tV zS3lM0UPMbNcLgZ7UHc<5w7V)jfx8q7XHk=ljIz9Rkv>XkKa%Pxm z)ySR@SMZYLEPVGDvJx)$xp-31uwQ=?r~>YUF3!Ym*?>cJw~!fEs_@3xWJ)zYT)Ko5?@dk) z`7mhc+brQ~6D_<<4cZV_NUak@Tr-?HsW z?&5&hL#(p~T2!HBT;gR=b@@V zmE4W0DH(?E9@JiqEISy&UImwFg}I0ec3m2w|R*8hhC00%jD`W_U6Au2n;hIf=i-&-z-8whH{|dj^pQpUI z#x8T2P^!e&z+8-vkN=T@cs)61hRIrn$+1wGYj;f}8|+HhOOm_jE$BrALmchmWgGKe z*>?5pwOXy4&Dw01ZS!)$Na!Eq1j-hRpmd*tSKD1qqyHZ4!mc9J8Fste=Nc$N0S$$a zr$~q$_a?<(>~KxTmIU)Pqvkyd}~-eJlJhWhr+CVq9t61 zGcFR-gBn3AnB`!|#89|Mi&}gFF1)SF=AzmSnyb}%%^ouSC0w|+hzm<8FFK0Dlb3t3 z(6my&O9fu3hwEm>rH+?HRz!$hsUe6>N7Im49m*q<>3JFnJjAG$@83%1s`a0|vK&A2 zWfcsSYEV}k37HVuT`_thdp%l|HOIMz7jcz#%I?C+SeFfO^@w8fKO^AIr;MxnbY!%* zq(N(8=Rb`oC42R!B^#F&c?WUfD3K5XF6`_g=O^Yzbk`WqtI=7*6BiT_&B{6ac5T5b zSUP;8TC_p5Y%h7sxNwLSw^_JrMqGDR#3c@V>m3@ey|`L6T*^R%s9oeVUZL}C;A$h& zXqv8D|Jb>A$m7U5DHuAD?!O3vf^c?S0Xa94fPuRisiz6guWWtoL+cL|mnF1ZW5IE)Md*R$w! zz2pW+S&bE~)yvo*{$l1Rpm%w8P=vRHt2=%8gl98grxXnRu!f&o3vM`^YLL*FmXdH0 zs3vmUL?g*SMqB|*wZfETNXiTk3=lh@Sm=VrFr#uB?3Wo0jU|um!FAt%p2WXi9_=cy za#|R)s9E&75DUTV;xd9?KnP;IG>*U5T02;g7H~Z{?9ncdpLiV<&uNeqtLqqip_p;Kd=dQZx(qbCtPv=D5 zxUkV&1s0CTGyn{^03{IdGfrD5RUk=-XI)a@)jd5dSS2-d+vWLP)ueyBYZ3;t0v9v_ zu4~sYY+{ACusJKt(im4S0_KqvDMFH1?cb6QR%`Nln8n1j!CKhdnB|A;t+dkQ)BQ1@ zK@}*8d3=h885d~H6%DU1m%&92p^#~ql*o-K zl`~F85)XIrj2=08MKCn3jH&;IQWc?8;@~C?TT6KM=|URJ>GJ}O{?MLvzmJQ#;cd`L z9j^i_*9#%yqUH|>3%a*jf>jj)acp&#ndObU)UCTmCjytfWq0)#b2TqnjkxCGWI4%T z5)Wv^6&2p-CmWYrE-}+ql%6^C;~M-6QQNF#IV4skC;>{!q@2e0anacG^BPPxtt;AD z+vR*@He5(G=5tke??u}sdnp`lm;EG6{X25kx)Ck_v%B0)rnw*yv+%|4sx+PmRPJ~w zGn|^%iM>7KYVo_c6*00*OO8cnu-6tyOH-RxS`6M3yR!ehZcXVLn_o46Qmo3^lf_*Q zhs4D!o*P7FB=(!IbBT2!3gfsp_2`s(HWNa%p`{Cn2Ado4h>!^Jh!9fbE)WYVEkeq| zGpR>Yk|ND4yCBrYqKQO>kXTvR5MqUd*xK;_&i|bGI!gR!?&-NR*SPWX|IT;LoH--* z+KW!Gfpx<+V6j0n@oSJ13nJ1lr4&*8VzAMrWzje4V7nE z=}lys%=Wl6(a?F;q!k_LrN8=mWB)N1$=G#lOvRycoLMRi6~QShmAzj7Ae45IMeKBi z-7X{=(B|8>@81_7E*j$I=D$q!r-yimXXwsLGE8j0exeH`BO7Wix(m=ofS0a889~J_ z!9`fJW+fc01b7)uH1jhg7@F@KEc|`ZBp$dzL<&u82QefjLt1|YCSb@TDtlB*O1-4j zLFx6(pvKqdz*;_w)HYYJP6()}1@I$`8aj$g52kJISTec!?-u`^Pr^ zmB<58A|ao|1zOHrCez>?4uK`1Fs^+rRRk6bydYg{hy8!%(q*X!agn3t%nA*plR}lC zGL5=b7C?#d`4bI;gvHDdtVA;LX)(}p`zxu-AgR56x zy?C`&LlJvZyLzZxJ5wtkDIYp}wsy8wu3fENy?V8l$pBF<=clsy6o~mbz}hwhTJiuT zlMS68Uji-+Y9!$+8xVEOkklNm1n59;l+-w+0U2Dtgn~jq)HH)L3)Nk2T86Y$YBL}e zUXUD3I&)!DN4b6Gi0~!JxSGPUnDot|(dfs=|1}sopenoim#p8#Xb4uZ{|K4J6}$}( zwYS-9wva_7n@5q$t-N(>-@Zvl%C%naWx3qz^>Fl|=;lonwKAynYB%ebchxT+-!VMA z!_VbgD+E`zkjrfwI(O&Jm2vUuV-}?OI&yUvkbxIluM+L0>&HiMVUuDbN^tXxm=W3> zLtx>6i-mDf#9K_&UDqp&i^@}9;;bH_nT(W;6MtC6KcTpzNuX8+{N-Mf_e54Nv@fUW z>vFkK4aULP7;|qD`-wBa^#Ml!tME7$d!H3%es0&ALt~R?9txWt1WI_i!|m7 zM>lbcT^cN?|(_Wy&ZLr^^ z?m}tA!FbUWxJz&`<#6xKP;;qVexXky8($@L5nhK&4aS;F*HtrFDnPA7+@o!m64HQ5 zQub1ub}g?9cZ;}AKpf<1lSXULZv>AiYwfM%6Q{9A+7OO3Rt(L>$2x;+XReN!Qr?kr zS#TwS0K{sT8)a&>hqYSA^V)&e>2%8F`f)!sTp6yUTKTgTX}nTHL#f^VsdzX9rS>XG z@F`hdRp278LG6)XXi$-s4r&N2QVSn|m8B+84h(3J$|!DOO5gufUZml2AF^>auHqPQ zSM&tA4(5bWSvMN-_1VB>4A1*rz!hn@WDsiO(s_zYfMr*#0IoNMLJsDN%1d-!z66t? z8FZjntP`|79C#T7iA1#-1YW!O$U8RdSB8fxx$KVNil6s~H*NA$yARHY|7BJUTM#uD z^sh%6KxtOO@|v{>upnTf!WN9Y=q{IMU?UE76*iP0Wkgg{>IlM6iw(kZ zN222($yKln+xi@|6V@-}l#TD&RYK zD;zOQsyO;x0x539#bOW_{3Y8g$>n+L#1f;s3@&!M)Lq~pU;PiX+O~_va=6BinJ`t| z)hG4XpUu`x1@;e-3-RE0!NmuOacZ}0Gi430#Re8++%;`+5c^^8_+wtJN#uzOsLrD} zeQsz4Amx#17>_aE*tzTG*>p1l9_>e70&LpQY@9(22b=rmEOF6$P{$11HAD;ljv z_*HCB@WVDAt~-C6xT)@2*qY>xj;+%$kRokxpcHJDxFuu zMk4eUb~#HT+FtcBli`k;eZ)qQnH1ayY4vfNYWr1sMx&`N6FM3LyrV_r#i`b|&-H{W zgwkEE?YdAHDs0*^1U-&D-6F#2ErnzCtC?gIs&<=!=XIpo?&4HiYLB`wnbeBQ9zF8v zxffVww3W@JS}nxG9UB&4Y*$#hTgjwVlC+S&uCLV9YYlDLB)I&e^|Q}oMdPF<8YG-5?(ysXk9!~PWi`>y~n{TV?}f6y_1r4my^=cywKp9wP=Q#zD+m zqr1dh3&s-{frZN!hLbbsHmj*T73ON%<#KK#4jcMZx*EAkp>t=ank`qq3&)n;{rdH* z$_wz#{{4&NaT4z|x@(?w7g9pocF|o=Vqagr1Fo~5Isvcm@};~ai+7RGnM&j=MTuED zr^Zc!A}xkOV=k`F3p<0B6Bp~!yU8V!#go}op3+bV(Nl^^WqxRMgqP0JCJXmp&_oWs zz<6F>z*%!uo4+8>@WT~=;|;@LIK)eL0hPnG7LxI&jihCC1!UfCkNr&_fdolL(7`8h zy+-YIxA^+CF&A((fon{*(Kt>>MK9suQzppU#!K@I{ePw!oE@sc5OPEDrf|6iSaA&v z35YWMlpeQ$xS~ss+i@n@9WGjnisC{!7zBw!;80T2Q#`B|i)!?E9z#YyPA*&hWt23H zA!FfZM{5RgaeW59aMR(Uy9_S_>x$rdpOMTWnUO4B)_^~H{N~7IRTJ`1K;pAyPXr)T zELN+=T*d0_W~W|B=NpY-$po<-F}S8ro<2stHUEI@?6AMZN4qRARb=f+Y?5l2Y{SVT zuE{ppfUV4(uC$%E3wKRb(Lz>AO9P>CSOgc#eq7|FZi2MRQ^du-WWQOh-)vTz%4S!F2&Yxa0Wcq`{>EAHfB$ z1voCdIoK}OuX~L~qXI~6*r^O$P&z%ur5ZXwXa8yXDXG;W;SjhSEctK~*1Y~@8(fDA zg0--jzfE3N*oO+cy-$L=@&eF!^hMSYT+%BF+o>okWoOT!uxS6PMI|9mj;v^dOAJW3=XzZBJTykiW;V4(7e~ytt7Lrs0v;^0A z1k1sN#SMl$cEY?KUK?`P3($86i|d3dXPWIuWTn%`(%CN5P4s}**YOWuzxd+wSY8C5 zhict};`|3!)nbHeVOIKD0pN<`FoZWykjdKyTpq_?5)#1%R>S-wKc3;V-<9C93y0Ne z1lxd3Yto(?Kg-?%D<~9)y_go)c_B6zFC^PpVXSPqe=0|~nF7P|xTJWIGp@mgEmx9q zP058N2Saia6%TjW<<4C)G>N+lqpB;oJ{EB>L=+@ zEE-0oUCyQo*>pD3q46x1PrJU*K7GQ;mW8}p_7q;O*eYI##W=< z?_@Fsd}k(`Eo>BYP1g&0W@jT)pnYb(+}Y@K+We)3m4-hwHI25#H-=xOIT&$nbtXl$ zp&4tm&Q6C`I_)-o&dT^oHG-2vxL7jY#k|~Zm*9frE|Y*YF%%KUW=>*e0t}vV8!kLK zf~#b^i&wFM%gVhOk?8p3(y;6RM39Wg!^^UY0=y50eYji@S>75{-P)qN_xB@X9-f;b zgMNIs4G+Q%K02Tfwlc^P{Z8$Qs%GGc@<%AXUpYN-3f&JIuY$1qCdVdbP7e8I=&!NQ z+~f02geE2sZGV`UIq6isJ`wwnhbsf<_)JYlwmPX!I#o!eQ`vS|H?m`nnq@te(zH}6 zo6Qs~R_+k;l*g-0j|b*E)tOSbx>EJ~qj24D+ZAA3d^m3N5-~37a*?7jOpbSS(nQEj zH!NH>O0t_YHni}=F`3*$2(vm7pgqir5IH@#Tr@8pv>4b7H<)hIMJtnnhBHEZ!IW7q znBDHmO3=FfHrmosiS7?&-yhEpUZj!95$7s&lF-5KG@@>!f@V%ScBO_pK~!W9B0CNS z5&Ura3)6up@+kUTg2jArJ{X)2;6+BM#DI6b)$)2vS*jc&=UBm)e4}YP&TE>gC>mU< zs%q_Ih@L7eO~X?~Q{}x^R1G`8!j?8EEyc$g2?4Wj!!lIWFjV>$EnQCO=?!t0eJ#VZ zZz5v^rYGagm2!K(Se$G-iM$OAOQcbq4+dn$H6i1RPayc3a4{{kU}Up6aM>N^8L$&C zS?*$k#Ze_I6goS#TD{l9M}TNiD=LD$jt&HmPT0Yah{*v9eeof4!LEYKx>V8?M}G*` z8It{nCPNW?B4^_OQ-WHpPrhyaO^mD5Ds^Em09&El<42Wne95?!KTZ}2E{F*MCl0b$ zv49#5QUnewGt&(P5?txBed~7BSWK#IFjn+*8rI9uo8fA5rq|H})3af(ndx-;&6DQI zc2YA8y0dpz8kH5Kg?LyKctt#&CJIhIk=4=jffLxck|YBgwp?_r-tG198D87o4g_{z z2;;r5-dPCXEL0xR>8A)HyX|t}0g}y%+bs@!SU~?Dbfib_bd`Uog31_c&YDLmumHK4WZU0(Jt|BLXx^L`-`x%OI`!Io5$h@zSb zAkQba00 z6oO0!#Ia#Prf0y#z6dE7f$aKm`W5b@}N@T>}3Z^{&kCcl#y(z+V9@#syvsi+Qob=z@lTcngo1l;W*F zr$)p=(GWLXaTiut425%6Jq()eckVf3Jy**$=4Yb^yS-|)MYuSGS)V^Ubm(|!TH2#v ze)8Bq$^JPonrFNkXP3-bcv{Ox)$%seA7hZ6zH5c5C z#pNIT2bmKi{3or)WT9`IU7tHHqHXIJ_>^@8X*&7d&+u2DN7Zc_z|7(>mBEcrP|Cuz zICCc+tlkkU7QI_r)qW>5@Q#oBU0k*Vmt-0cyoJpKgiuJtfXht|oitvMN$w$%)@(xW z*K76p%0W0Djm_Uh%^eQ2(EloS?;i7q=nT#r>Ml?K+0Je0_@7U`X*H=lSB zDdI4Mi;hw*FJ`rfRgP%0HNU7D*J57kNvB?^snjP+E1JA~>=7NakF>XXy#R34>)wfG z(bFsrs_p7ZBR=p3y(1Pbu~)#xHI6OQ+65|lP#;J>tCE*u(Vf+=qmCPF{9I8we!V6v9TvYps#G*<~nW{RCK}uJs1l$P*>Ia3ccXYov zI0%h|dc97kvW9|8j0+yFyX9RJywttnU}PTctaS#n4)( z*K3EpfmyHLH%_z|m)Ohgcm;#Q^?0f7A{s^onGMX1ePATQ8Ec%>M?IycQ6500=(uUt zwhuAozeU2}-ku5Z>z3dxn||mVT&j`427eaqq=`s`ZjghIN(f~Wau&6rUaD~mq5b8l zYRt(mFn^3DYH~EZBXi=Si!Qn3(hD!Vk96VP*I#sf;Gtvg0_h`8BY|lxtkx8R6L5o~ zTSi4J1DM660RVO`$DYz4)B|Zl59~EaER#}_i~X{a&u5B7bz-!lk__UnZso5gMq)04 zEy*PDQ}lYFkSlCgipA#iTBls@&sUqoDI%pdrX{FER?kcFLcui?o52GDHUTDSJXSXs z06?O}=wv3qNVqoG9}MPVpk;2qwX=Kpf`uzs2QK-P8YGVJ$+C~;IWJ%_47wzWyoM_` z5)1Uve)jQa?|=O9$NT*gSsPa?xEFA7l@5Y?f~$3q(Qmk6^~M`6y^(YSE6%u%w_T3O z92Met^T53zMC_)e^<=Ks$>#OG zmdj-eZB2Ng1ymbXCkzRJcC&5JbN&bv>ZES37!OZXD|Dfle}p(qc`aO1M|n9Dp)6V= zszJkG42yY@2#uY)$N3!NvM=a5sp-iyn*j{E)@pCnwp$f37jS+2`s)~o&E<1Bjs!Yo zx{Lks>8s8^|MJUmEdgfsodMyp4bsA8m)&y9E%(xeaSI!nOn004><0Fi=30`8<7r@b zsny!st2YQPY&^i#JO&IK_o)*M4N@|{p1@?Kj(Sk2B@#w8b0*rc zMU{c*L=1$WG$83HFKIZ|NokFRGltRc*0YIqQ0qnF{x-gcKbgsE6O2ov)c!dxG_qk~ zT_dL`CcRzT-L+o3>Ot(UR`|}76~Q%Bg2F?v5w3t!FN7x8Tv2$;4TpjYYn)9*C!&PQ z88{go!~Z{1!T8!vy`FpPtst9AaN!y#Ge^R&Dz$6;w%1+@T-RL=VHzf2k+36N{azcq zppA@P=mHP;?8V|QL?tz3T!9zj)gbq%_A}}#P=S)O-WXoDn7BJJ*U=L|+9}nD7U7zW z2e)(4UOkcMX3L_j~SRZ|Ua4X+ejx)nC1Cje2fH5Jn=6yECYeDu*_r#lr0#$;wq+rcHWjYaElz0kH7 z7dBW{O&)B-TUcN`g2=S+kz`z@k&z-wP6x|@$aeSj*Gq?cL~HpIYP$|`30uw}QO8Rs zscL`v{HxBt>VnI_>$=Opi3M{3*O_OY16GKXoqo2^WkAuxz2F|(Nz4{}HHMikoNDZE zB*j<|p(0-K#N08toQBm48}#Po^6N-lc6VwAd!6;2awn4~cX3+_T#$}bTq_pu6ctnH zVk%`fWw1>dsb0aXcNcQ&m8oti?DcDk#QjAdWrnI#wFfSoXGfz=G=U2NX`8M@1;W69Y2093LCP1yGPw4;^>Ku>&atvFLoDj?anP|)_SA9ZWk76EeBhq zJqH&(JJ^#{V>+?AdeM!m4lu0YGwfj4JZ`gLEv=RC=IIEA4^?sD)?vNdH6N;D7EJ<5 zI^}h$BBhH$iWcnDY|4g(O(umZXs4?;a^704U&m0W#t9{CdsgD+B-a$>#VZCRhRzvE zz0fUm(R|?=vKLA+osDpHEL^UvmdmXgPC(3yH^d1ms6oPu-34aAh2hmG(AjMlM7aF^ z{d<~z6v6ip2%%+;g^a70!-X`8W~wIPD(I%!8#^D@Yyj7bz;zCUi9{DX4@S>F)P4Q} z{-sVDot!9a(|gnkZd+7VAH4B_YaXCLDA6!{CY73G9TvzRectSO zBrxT3haY|P>h5~0_qvH8)^38^E|nc2?N*UlIVxts3l5_!5x8`%+-Vo|o!okLs)~>{ zP$U*6lap|lRE!}lOR*5h+Eg?#Ri%qJqh2pmsx9#rgl$i7{cT1lQ?pWyA;DWzmX}89$7)92LAoCK}{IqJ~C#hQcJ$Xy@d zoPTLXQ&&%{dfr6Bv1+CA2!{VhDDlTBGb|t&o{9ea$FJt*Vy~O)<5LyjTI514qyv{` z8H;vz(7}0kO6#R@9}0CqPdK`>-K*7h`a1=bX@Lv00OgdM^GVgI;%hjBnKu{d#vA!f z{LcESu0I$F%T!UJov+msp@-&{*k8OE=5v%5mWYBjUo{drD#8S7 zZ}ocJb+#N67`M_^rmgaOm1zMEh`@Lb@1fWq3 zwC0*ia2F!pW)iv>=?0;43s{TPta0^3-P}Yk7Bybh zY$z+=kmQgTlJFv2?i6gk-IINijw99#tJ zd0a#P{QL^;@jT;+m-Oxu{oV zWv{|{S~5rfBek>0K@lbrpvA#ZYJ3;n@!TB*47&J%J1)NMH^QY*PYgVvbU_>Oma3iC zBf(e;uz<_hG&VQkuLtsZ1GFd}I=mpjLQjEdow(%OM^1OlRl+c{!g0}_2^91{-GSX5XWeddb1n9?UT>7tFB(*);u2IfI8m)~W0f+ruRaf#qh?gLl%f+AdfqeJ5}| z@X|}~+;-bN*gtv4lXn1IF-6iT%_m$T3g zY@+eH^wNtiIrrjId47=Hc4_{RVO(xU8HolVJ01~_bip7eBV>Mf%GF-r5@H@`G7^{q zE>Q`hp~~6W&Q3W_bzkCDXLEJCI4oU7xUc~nTL4C)GtVQjZ-Q%`2mHA@cx>`Ti#Dhk zs>(&=tQ#-kSO{J{fD$9Q(CAps;X&fVNa#wzo=wB57_F&fuSvK=PoA4qgsJhMqBU|D>H1Vd#}1uaS@vKvI}nkOH4;DOt3 zzvtF#?Cg+>i0rU*x{zrSu$mb}qA|FqjTQ>D;2;FUr0PhK1Yady@YW5NUV6zz7hQVM zqi4xAdX|h)Y>v#4XIi{s5ogh3nAj1E@qGXwJ2R9aFK4P@2p<^g{@@@FC5i+El{y>fe#2lUw@4qW7;gUnTj-g@gTPUUuK?6c2){_wRo zK(tP@>c1SEonUG8R#o-xv@_SCWvHV+5TESP-OH;Q_SwtAZMbnY~~0S zWd&S_hlR@JE!$sroOQ8vP(+g@!(Pbr3sh@wHgkv?Tj+El7+!=1iu4o$B2P|qrkkP) zqpm*6jVz2s>-ASWGb(>nUed$DitVmQ(7p5IFfMEa+b%N`(UDd1b=*ao9S=E@VAuez zYv&`^I=H~AvegzC{jg8 zkGe27sHZ1`3t_<{`8B(YLZw%n6sSpThaSxC^^Xs{*^?Fzna_u8KqP29M%kb6s7WfrZg!WHQF3 zA5f_nm)<{s!F!H!e}9CKO-AZZ>V~xpixI4?jR|+rTwefNg~RxO)`{R2Mg2g9z%ZFJ zlqri2dj{7)WT3niltiz~WdJVjCM)iOl&~-ZN@!|BtENT@U-0nE^vOXy_rBp8juj~# zOOYXV3+7}+#j}%lTe^rAkd?~Lq7oJ)lSX15JR*+SRrCZl+;)D1l7@3QsNoXkB6Wk7 zYYrVQ7nzIIA#fGRp+@ICy9?IJNPZOx7i_MIEU_GWi55&r`tn?dih6K5tb9Ev`TJFR zfy+*~N=jAsa=&9Tf}yEL)EI9=w1x>5;Q}uf;aa=MQgB@WF0jHE;fe6~EC?yVAs2Eq zADQ=GGiAbmvUBKBgPGm6aBH&+C&yH`<`U#%0}~7Y>>;gsY;1ZO&v_oK9?(D(et?v~ z)rNU?qValVv98X?RiVXV!H)hvE(9(uc$tBV zVZmKPr{8*)yp>rIplyM1=~W~d7qcp-j8q}EEGuT08+7FKm}$HyB!&W%kbv$;A)Ct1 z?yAN#fg|z)uqUbdtvqtnqa7TESGe&?60bEG*3*P*l_bh#b{AmH(i*qPWJI=A&M716 zKVvjyef?FEkp@D&^wLX1?=Y@I$tG6rN0eE24XUlwcxknW5{7Y%4SKkR;iLvAFfR)X z7FMG9o)QW7@Iw|(RtrWo3@2yi>(w6Z?WZX2;J6T|p25*AQK-SJ_`-z_7XtXO*))L> zT!xMz!`t#pE#NNT^30$RxT*|2`SleoP`zDgiEjI z2|JjkSaJh~Q`58M8;46L`SnHDz2l@gU9i`Jl2`pR-Qxe_r3?}A$H&_jC8Tq@g3jA^TZ$&Qp#W5##t z%Mov={N)uqtpHQ%DNaN*AHg8e*h1lvUXOMdyg&hwMrthyTGV7QFmGOW@aSzoG?R%mabIYpemCj;2JW#^wOgrzhrjJ2v~Bd1RaXmxDag@XNK5as0yb} zA{ng_ux{6Y2FHeiSs)M!0364Pe2a;(0Xs3FQn&D8CBCbLBsFKi1!;gQj4oE6@u9Qy z`S!DCfiFC9%7sQtGWxtu#2P~;!w}joxGURF4uvRnm`C2CBbzv6WXe(~s1yMV8)tnh zD|6y`UUot(X0_Ik%WSILMQ2Ydo0C}feY?} zznV^sgy?LY88>PkYC{F-yqy|Yli6mgF`7*V6WXqh*90zXgls0n{jO|XST3r=+)+{7 zhEdIkDiXhliwrE73$L)DsYJzs6JaWa;5#;7xeAq|s!CtMb9Ug~sUX5agoGb`0(<#S zRu_5LT@Y7%a2H_VAZ>GqvA({{xajr){~Bw#nFkWchN6RaMa`-Hl<0Ulp&|BHh;S(q z)>6>P^aQS9v?tVd=>|U<+?pSl-%3`FiJ%+z2$M`qVwdryz@%kRI2aew7Hex4E`0dv zM_&!1&#fIHacw9youbn{_nf^4RpW@%NB^RT37r$sy1G34U*<$*_}aT7O)h3sE?5{B zP?412kh)+@E0c`E0c3XjVO)iBnGNRwi)pd2q8=p+OODoHWtGwbQn{)<7#!&DALx&5 zMSyFdzPD_QaLsy{!}9W~K!uWuJ@mQu*0HnTuY=8{X=tf;Y3a>taiIVs+du?V7q^kr;H<{(XVC6G?rt9} zt*+m?G`P98wzbuKE74+Hf{}uX?iQuz(M?u+B1kGvmvy?Fk3Ra+qw~4AgpriEFbCI| znjaVHv}42Xe{co*a7@f}gyT4d?_@@dB`X3Yh8g0TAfhtFt-%k!`f5zq`jA>np$2#n zpgtTNVsv+pI7x^y%-Fg<|N83>evul?W~Ujr^5vub!a0{1hZCWkpK00klQOwqq2nZOH2llSvqmY07CHzC+CcbvOQNCff=6&YMA z7%Zo^oZ(eS2P(yDl&J7f_p1~}Vu2R!3u6gZB$XabT6sklS21v@N-GP~kpaBf#eNOB zYh}v5u&}XF`RXR&0;oNRa9zA~>9Z^?Tm#oCfGsUuyH>Td^x?Gx>sp3`g9>~=`D!)F zqV!5l0jd8nHf{ILhTgalbcIqT~Ne#l~0;q15bJEd%;@Dz-t#33Q3Xy zukn|Yk;|bz3N;Lea6$Gm#szCZ{!Hryi{;L4$QfzFKEuJxDu|F+vz!WWVo`^{!I37# z9#@wX$hZoELBHNnj!1rBvLAoJ^vSU|E_i18RXsgRZ!R5N`Q;CQLQN=e?d^YdSbKXr zBoR|gFJD-0CM~~;AaQ!Qa(Ly|;UeT=%Z7`pZJ44IoY8i>ES+x>tv3p#xJ_qnpth?y z*IPKS|6Lm=hbcV-ClN2Uj7|#yVkZh*Td5Iaew4c4VV$&yngugfHO5wIk=n+s0X*^& ze~wscU2SA34G*QO9o72kd~sK!ZzLXcyTV?#88VsN(kGWc_&V^G(QGiCJI$;zNke}D z2l94115;({LU|lpb`fQYHgesCLzJ2NPdD(eX5!`DVg1Wr3GAXkh!Sem0cr43@y}X zEQCu34`~?JDV#7s612Fcv|>JZYk)%tZ79H*V4biYZXkcj!r8SrYIL`ajs&~o7Mt7M zWjq!~V};uA884P1`6gsCfSne?)r#3QTT1tmmSx6a2t}5gc8LJ(%KnhEU zU=vG_B2p}@D9xAMl^;y|{q5yNCHlfhLNR{7{?_TG_3eY*ZA!z#U3y@er4?lt*P)Sm<{_x84b>hNAZoBIWFsTu_bAJMQvzd%L}1x6Kw0<-75(ZFuYSP=~p$?wFHcnLAGN zdgZVesF>;S`4J6Vmde8&K~TE)-@LR@@g?zxZ)U%XnOI&8<;AMiOUdXd@T=P4#B|z zq1)cs-`(B0w7Y{d8EkiFd*@(peHjj0OO9ay&-#-Ey}kN$K*)^ve1 zIWf`HU$!&9+q*=vX0@%MHo4R%d-#rY1Ne#UA0-`yTsOlyM{G|m~ z`QkpGZ)z%S3kKb8_f#3yl<`sWp|PaYF%Vlg;XX@k*D1K^6b!|ACzOz=38Rko(NQ-= z7-+RfHX*OqYqK>W7cWA3Gu)*toKM-^sjC!RC=^t*DX9&CP528XZ-)d|wc}uGIfxc1 ziodW@Ra%NaQ7qmI&>mIQJo4KiffnX4QCeeon2NPwXiYqm+}+*_kZ2FbZH0A z{dW)ccDDBSR+lfNP#Y#^XRWgUbAify0hfQ-A+9qu;;%Z5)%B zW|G56y&k!Eh-f7@4~Q4JYZq*7Qi&ov_V$F<$XC3NktncF^81dR0c8(BKDOL`!hu9Y+o> zdkh@GD@zP_0gFyJ3tA3PC06Kf`~7xs(UZi>%nLDZpQMUHzyGe_`spX6pWu<1{>X(f zS<73~*DmetF|M7R%cPBs4U{{it@Y*Qt*`MZS;e#{wMwTT77v}Wp`40y9TWHQ9`prm zlF1k*YUquwZ4#ybg#`uET6K4~nnMI?g1TL8lV`iNI&W*$gBxh87FxA5fVYCPf{o-i64V81SsaA3~`E27h zd~gLrjR|km-Q{*COcc(873Db^upXy?CDQWwa;U))?M?=ln3qB)ea=7*4n8nbCb}#M1TH<3s&P<_lRcXjaNVTK=R%WD(0wr(Gs_{80TDJb6l|EjPqPP;q68*E9i83 zYu#N??WDwIWV#s1$dhz(vMtykW;DA*Gik z@{V?R%MW5u6y!uN?dl^(fvcdz5rx0LJ)|#x`VzSI*6HcwTBV1mVFL5&^6ENh0T(Bq zE^k0T|NQHv{ryV^>!d4$>l#nG3`@ubtwn)?fHfFD5zn)f*~YKd#)DRi6o?1C(ww^{ z5$p<^%oq=AF$;Gof#HAfN&^=FP<<$-utEY68_Y;sll!xmEI_0RtV%xD$KlGwlDMn>LmW7ihV}Z_hbNj~duuhJ3|cASGs? zFeDssh`=S3U0Pm#x}qc$1xexvP^v0!we&JA>=^FkwYNvY>U`IvKadgBDw;ZF1Z;GA`xK#cd`daG5O<@^WjHf1kkcSMeR- z(rGCyppAB?Nerg487D%0edi@h-{fR8+Sqvfsyqz2&KpH6;d2K$RzT6^#ZbWs^D`~c zxo0Mxd8Y3?3{G_+4yxlCa6qW>I!qlMMq>wc6HUgZCiA(b(+%dKIfL0SWF{F+?{ddW zeu7e{DiaxbMQ`dshw)%%i7P_H1;oh2J%JXuKub7_G?x%2+gzfY{gD!0EC{%w_Pn}L z!i5doe*5hwjFN@3_<2|VSQ3wlBY3EcuJ9gsDemg|<>!@s^tuiXcIW}vI{IEWBqK4c z-D-{D|7zg!AtoldsffGLl2gltdp2X3f?^9r9c!EHD$d=D3FT9{pae(8rPX!2m>3CO z5hE4JMr}7Zk2V0`qeE}M_8MY&`pH|y4&VYU65)!8whNLyMP#^?nJE&S7+HoPYQb{9U*+I< zkTwt^UWv(m{{TJ#mw0>ck)u$JN*|5pFV?z$|NS?ipMC zwa%3x>B`TSH!lDD^FD|2yW88q1+Dd3Q=PO9xKJ5-LBZe@ws4 zV8fm(rJYj|A^cJD7H$3k!bRrl_uKWGM|q7{M2{GGQ=_*hq7H}KcD%7oS*tdu;)b`Ex!2i5;1ccw7_bRCp65?51?pH&wA3yH?Lh?-#R$h zMJD6&%9YDkV6d;*X^acBzWeT@Yg1Mz6rff10gKXv6Aeb1W`l1}?8a*wg?=b-j`Zn#fTmE}eA3Hw|QxMS?8-^PT8ZTiX}i70gtOy|3uNbwn6_=yw>8*#6; zZZvBxhQ^6@(4o%;6KqAU!hy?%jt&DhOkQBab-dODc3kInkSj4t{us=~;??#idF6aN zBp@iB$uwez5XsI{q-Q>Q<^@h!F)ljlr{%1Nen5Y9DSkcbcaV-9p9+?EQXWoZ%%)}* zVv+K4F^2G(R1zRw-C3dPaA$=o!A(8;f`7cGv_CNuYK-+o z?MBpS7Gn%-XeU}(aTVorQ{d@6ek*eB^q7Cd%|96Kk@E~W3Rl@Qv0scAlnN6*dd&w zaF-C_isTWlyKZdl&ydh#!_F6a86AIAAlViE6SPCwVHB#wRYBpqD z=+p(QMhI13li6r%49CsFUS{Sbff^w*A+157dk(RfMS@#A%M zb+vW*CDC!Rp>aIqbhVkr0RhC|4Fem7Xg$+a+t9RFSL>^7Y^)uv9mQ+*78mLLQZ0Bp zVtf0E#m1VN#l_l|6ZC_+#g@i8e0&#Ib)&Vo!o}i=cUxNCP4u06^z=xFbEJEu+x+aA zv(G(u-B1fo@7y(B&2NAk#9lguB`kqkR+FSimT` zbb(`yq+>0}v)Jtu6XOU{LZLut{QP9JJ!*G2TIv#g@jxPYBF||<4=NKMa97A>I&T|o zM17t}ymBlWoq(T$eHhw#5BgkqM)Hj9y!YXU@4N2-9A_TJ)%CPpf9Cr8pzE*42?ai% zx&92_;2)jg^Rpw5po{6vM37WJnCEzizj8qdfeDj3hrjSa;q5wNgOTL=9OA%JF zyRje42H+;YaF!pJC>E}}|E`zoivUB5Wz_Wk@>1ZkgH=Vlqa?4wmoBchi&VUZen$sZ zWUkpF41rHwU|itEf~&BT2;cTPMDBt@p#{VnMw2XaS5IA+k?J3UWRwj-$+s4l8qNAG@;1YCFAamV90KZO&{ zXYP0se;M5NELrYs57ys3CG3ULY@B0Qy*O|#itwPK3QIji9zudbR$rK z#cf<-$Gf|6sfcbtH)6$-YYGV$7Qq`#+3V|(FUdbbJ?0}7aM@J_#ae4Vhq4o!eWbEHd9VL zNEIUoAE9s}CL!_b%dZXkFj0ThmvJ9(|m6`p|MxL=T{4W`|;+G8+l z2Ko@Xa_ zI1=o$J?`Kl2RK}qVxYtZ<3w*H58QwY0t84@iw`MwB0@O$%<|vQzsXo2W&>cihzkaV z4LfiZR#EIXh=u<>c+y{tLG<)`2drFHfsg~qj^gry{6dxL8hsVUdSH3&s%tQiaViBR z1+LxwZIM0v6|_X40e7ul+_|t4*gzm(@<^8@Y++O!Ubq^M;lz@^WjU^Q>yfXR4@uPj zYLoIueMnp3;RX&U?iQkih>b~`j27QYdZnnKr2&7Kb|=P>(c|4$79ThRGdyx;5#R3I zeNWscS|7AO*jQOu^3|Pp-wjp**yF6{pL*)4XJ2^X`R8AFhcxuU(6eXYRN{5dL@+p- zO;$ zy5%O&x~XsgxOfW3nEvQVJ9VGo+&7V-I(l^#4{R(eE}H5efM^!%6bG65s%xibmxb0z z`+x)?pRu0Raym5=Krh*9u?AoVWJrnGgwNz1r!Tl0F#&UGz*H$Z*Y@Q5%IuR)$w|0^ z+P3!FHRCp4i(8U>NVp?mg-JTL@c6im;B-)yBpg8e5jJ*p0VFaG9R}oGI~r^)kKBDX ztn|nusKfrG>+E70siHUzg)T~41X*3h^?Pk`QD_AP(G@7#DOlJgRfZ|EokAP86zn3h zSV;?Gk+1M+1ZgzJk6=+_G%A8IF@D5oqS5%^oAF5#qlqRaKKkJQoI9nW@pR_SOn28+ ze*Vur_uMo0YOQF=HQvRSy8wnM<&9{;7}nJztS%NAD~tZvd20T|{{ZY;(FY>c2|s;L zm#8>x6IH=&eGl{@kWZUUz;(RF&b)->BvxB>V%_U)A$+~fwZPcadu#jf&@fiY{kOiF z4#9#6Uud@`7#H5;=({9z!;MEtJSeF-Iy_WqvhqMrx0YIVi53pMoN3{m*e7n<*m`3t z2aHZ8mBU4${4T0-Vw8lMAlH>@XmA48)TC=JmD8F~nHc(XxhC-YmND|>0a)~cCeKYs zvV5tWRHH7r`|?;+YCuL^C2BN&ZP;rVbWYH4N^W$?*N$?c2Lb1F64sh8D7}s$E zCM4D(a0y~fC$0f37)uUVT4L#@GXfMJhjB3|;{$^;OfxRhSLID?wB%Rlrs1KoLMv#& zY~>ck$cUJ$F&F@?>ckVbA$y1TP(+AyGA+i%w4g3@aCozdh#^&o3?W03x@1Nr!tS$; z4#g!e?={}s&~OiekfO>mE5eC@XCZ${^}60ZJZJ8s-(mBPs$Ds7pzXk6%#K zR2MqKRJ}%18l$7gKseM(uWh}$V`XZl6`cM&tszR(W;FJQdxye{xN&7#giG*2do|-? zNuuY1Hdi`UnAK@dAmE8c3oZbWv8$T@!mnm%S8z&RuK&3x{QI> zSy$s&x3sz0?`n`;7i1ap9V$>lT~pKdMz54*V8U#bDSCN722D{}MXTY>Dx~k63-VM_ z)w=hRK}XW3V$Fn6QkTz>{RrB^&zU+bx`S!j40Sx3?H94Y`~Q>q7FM9>+LyNg?bgdrr39(Mv_dx)dnYc zL2NS0YwV-2(PAo0RG3V_#s5#QAIA^7>LfGE!8ju{M$GPGaP*K<7kkb#_`OVPrpXSG z*7A|F!le@w8TR!J58rUxE-g~Rb4!<050r(h)z#Jt3ntHOp^Bm*nt!e^BOv9mUdX%! zR>*Ilh2?R<-hGc!yx;6Tkcmot_o~W-6SN>I>bn-4cnjOt$XVT#6JU~Eq9Z$K=!&}u zhs(*9awe%7)9G{i8tPniJ_HmElpKe-XWdA@y78S4o>1U&Mf#E{y0C;-4$=8 ze(Q{!7gQXdLnc^pXQc(t1%rWL@T^AKY7WL?eSKfP{>?YvJn_vP8Jm(R;C%$3tp#I4 zHnCDHc0U~`tqhq828=lU*fmfFmYct7&s`7Ml|@a z0oCj*-;5fDm(tzi*IgTj7i=9%b9ig(N4Dn5P^*W@2aP&8MEQ2sk6N z!=2S0q%YtL**7vS;RN|t_#{~P;NXz3hEdUt<<1iVmrlCM*NY7g-*gmzm9;{*m0NAt zJBMP!W8REz(-F)ct%NfKqyr;zTc2^{v6XxL5J^5HG$z8NEx^IWkWg;+1aR^JBJ?(j zM;cV&Cihza%$+4g)kPu^Hv|;{69xoC5jVbMRN@&(>mrW1ag92hKM}|y0AHaN49FSg zVnTUlYOlWoxcJD63$U13T=OG0XeWWqz_A9@vjuC5&&YqUOXRS zQsQljQmk2LJA{kPYOSe7-%~|qbW)@)Av6jU^Kk|rwQN8|$a0~)=)o+H^f9ibI}BXL zMEh{!#-kJ!c5+FaZY~2ZZ@I4Pp^U|wu@>KP1%|-ueOqA^>mu32$kw*rStE!7SU7`; z$?#y9auBR5Y8{Z6P|tsjQ`|yl9FIUrWbFQCM zq-t6R0&qZMd-hTAc=PF3VQ;a$9VcUn30!Ox&SQ}LlMQs%3Cbh`RLegLcb&P z%DSFd+Ep`|FeQG;FRpFmV14ub_wR4*wpX-UP16hA#EH@nDFlegq|4QXz`_R*vvmR! zKrtka?HMN1t%KO$2blsi<=baxNC!*>o&!ob+sCcXC--lnzgoH4*9B_hPs*)VKZ%fm zv;$CidzH3pVPrGsm>B75XXmw@ot+PMKKMX#+#_GEH8xx(cS~cgxsj2Mt540$v<$U3 z)hHRA-juz-3z8Bn))&Nv)z>N$MU}wi1u1dlfDyndJH?5&+>dVT7FL&tJVc;z7#C<6 zpP3vlIxN@p5w5;NxN!6CFv?01Wmu5a04~cBPIFb1St=}gdFEL06}MrE(i|+zgfdH* z*LtNGQ+4$rS6it?fQ$(RX)uGwZv%Qk%Y( zSzK#xXQ#OJ@b^D{{>@fqQ3|WEX(i~SahFqRR1{aehDirm>1JHqpv@nnIcz>u_+iE! zI4BWFJ(n*MF);aZ?vLINqZ{K<^lH93cRi1}c*Mmm4|-db+i!mh6_j~(;s0a5$6xEW zH~0AcJpnBk*xU?C(nmfF&|YfRg8rUhkER7Z0gvP#>%K5>+ZEpkQ=_`^R=Rj2Nf>U^ zSW~{bh;a?%aOHV%hSzR~AH2V&3b^cc;5t)NlN)x?$w9oq3#UOX56lcdNVpF5T^wrM zSd2k3)Mc|CDaaHQb1vCz(~lPG)n#Vy6}K(zE@k=<9<_(`t1|7G0;z3n3r?|}#P;PE z-*0SW5Kk>eCoYdoOgJ1ahoneSL;aW{yQE7&!$rXb+FZyXlD%C(CX)0{x7*FtM>yS} z<(u`1e#!?TFd_*u#7c0?;tK{gdtC<^&nZ$T_9XFYeRBVH_`<&!m#{Onb}P1Lygep1dw_|p zmuXLBOF82bHSHi|w2X@^U1LXdQENwJ*kr#2nT@KGw;YC*n=Ij$Ps0oD z?OTvo-$r<={Q(6PYskXJTwJBrr7CJry^vO=v%xWx#Zqe5!SeD^lPinD>8oqNSK!29 z`zYfQfXE|`cHx`72mu1RKi&A6LdH>Hbe-$h&e8Pbb%^FyFjgHuy`sqEx(D!H6YE=(jF>#}Z$#m#hls3~f! zBTQt33=jN*Jz%k;bNf&=3s>kPK#UK7aN(#Un*~ka+8Z?t>YHmNZE4p^9V;tZmwf8{ z)#uNjTA6({?+4#{_0`*F;^Iev?fiP0fy*PS{*}gY;Cgfi3$pAZsr9V;(a0*oj=ep< z17YF0nHGd~C+vzGy$V5w*z|@6U;swlSG%duY%945xR?|JV@M3ju=L6FfVZ{=xWMfG ze>y`Zh6`ijkGRRF3{P76_;p^wbwyrX*e|mD=rQXZA!`Lz^03)<%~rT$6cCi_NQqHO z9!msmJcf;=b`_~|7pW%tthbY4to1;cdN8QV<#eSc9OMjBF4zNQNO7DcC1Hx*-Dp_D zsDQ;4Ne&-wkf(Lh7a$@A!;yXA0)4{q(P&GIw*U%{vv}r5rkQ=IqvKJiOQU#xPQ{Gm zU8hc+>ezo9oDS^m=i~NxytXzTN56HpdF7>^pcY5eKZkQ?Wc%u%2f{ku+pMX#YW^9C z25bl+XDFDfQKFJq4v`va*&R~e5TQmjw(~?FpD)E=T6uqHOi7{uBZjd43_2&^%Bu?p zjIVhU3Zv0>=mGp?P*iWjUT7|c7YxhK{p2@5a6|Y~L4l^%IaczA5MJL^C=D6o;Hz{rhTpAUkI_`nNuUH( z5JN-6=tv7(IBIja4o@Q+(xS7su>5L@6d3yMc-KJGl$y|zgxrY-tg7~;R}PLt#zuh{_*`E-`7=CTBpE^^H0E* zbfSE*dZ3Hc#gkLBSzIXp#~CM|3(E4raCP}W&IzqK-0rH#+QHzX3Lve9HDp+>H;oJJ9l+!D_{|-_&He1xfvJ_y6%n#0+hDDYiDQM zptXH|=PvxYy)jSoSWlqA759&wZl1x-x~lJz>2M}dg2l@Tu(p7U?H($JGI3-mP!Sem z=q0%2XtBVMc^E>)6Azn3kim^%d0_c*@>Rff{Z-gdEq%u&m)w@2=@X{)#3jWQR*37E zjueXZNXAl)G;d`^=()<9DsJ>vc+2n5(>fM$?OR;TEM-n?VJlxLHfZ?5_VD5z?|;>& zVB&<6yR5P0$vx)<=LMjn1R`f?$sjKy69qUa=U!uKa*wJrofFPvDn(x;ozA_N=$qtV zgVWWRb~+$bLYbU(rn0HQspSR7Wc_&k?AL4`yrAAZx{VGe@&}n+uP@1 z^_(sAE0leYpPMAfY3J|08^5wGvb)gMYuh6ut-O5if~G)MR0uU0#{#_Vx825J{2fn7 znP{7_cH09YXLyal3%IO=s~WC|VX+xK7q{NLA?rZ2h7KZ)!%mPnp=DA=4yx@4)#=`e z?Ip2@#uK#Q4HiZ@dgCjYpfs0LeXpgcqQq9F7U&gal~06dx2T({tJU2yi@p`K_63(d zT(T_Pm}u9di@+5IEwUJ5dGuY#7K)iJYVZSgD)RF3wf)_2cRWC1TqFu8s$P<0iA>>_ z-snN*#{*OUWBG6E@70vaulEJ8O*!}h@)Fbu?X0${gUH-1u% z6`ejY(`(JeI<3u7qMB{hN8u=e>!#x3B6v#uw#A^HSc`2fX0~?ITf0zKco4AokalSY z3pEC1K?>-S@Vc7=Cd+ucV6$O13zsJ{d=YvNrxA}5QBsJO0$AXHD?RX?sz|D);6lr@ zA{VIQiEsg!q^Wq6lR=Gw4>6%EcU(O}qhp*S$m_OJBJfn}`BUfPaq5EnIGf^ePr%7)@=AxQRROfZ}c;9T^N|g7N z#uKKJrz;Pfp*LTnW>iuOT9`PbL*Pmz?gy?MEliD7u^m6zi-xzP7TRK7=`!A>;LeAy zsR)(aSXy+nycnS$7A5@T6LV2X*^%NS#kSJo3QHk&tj8vFgzJVIZ`!@hdH< zY;3)=v4K=PYNOV1Zfwvwy@r)IViOQn%Hhj8oGHgk4g`pbcAQICS^H4fxyy2FmyQp#b#XjT%pBrZTpUxi|*YLk)GfI(24T@bbJ(`h2a zAzK2RsERs4cBZQL{HJyU8i6_?s7EFo*j(NHBmA;>QT)AggDyn_8Ru6X5@Zx8I1SFrQl9cV*O zAmBG&*-zaTzjz|5LY>g+(UwmW)nJiB};aJRP3 z@T^oVaAEU#pyGLCv@}pYK}*;lF;%Xakm5D^CIXgsejqdeP|y@G8m6TSGN68Pxif&!?k2wRDU zik~1%c+YAp-C1x6_JS)regae58R_xk9u%BZ9q+>W!bq?MVDnY!=D_hlU>vwW%jt69 zgZ%EMdhO-Gaf<#L+!0DA`P`hG@HNQN9_l^OyEiOMIFi?0cXR#CD)nQ@RMM%EN0gJ! zRO(ViO(v5Q4e3eX(k7}llVLlrtwLtv*x4Cr*5=28Dk=9mtxBDN!IM&T?VUBGE-{OY54k~r z0x-0trpk5dyC5KTcie&+2`T|%6kI@7(;y{y@fFXB^)^Cu98NGjG>zx!>GU*CvbA)2 zeI4sqql+vlT%MAS*E}DEiQVQwdqL(6IExpK8Qd(@v6dcoGnYFdBlo^W6cVfaa zBQUJ;YN62w1&9 z3(`j-*^ksyP}lf)Jv`gWwVUTyU2Jhh>A_^OTfJol|AN7Kt@&z9gMAG`xiYowk-H!< z*^kIjva6H$M|+S5_AifNdzNp6JqKJ5pfZDC(dd}Br);KGd+$6}S)`LQ6kbo@B1vJc zkZz6Go%nziJ^~jTMqtDIB)(u+2CX&Ff~_xJb;J_GA|;fkG#6kEWxX7nqGi$2;^>i* zP)3iI(w46_Oi3P#>Y2s%kO`4QdVMf4ja{mA`E1JLkM(#&{awzuW59*Gde0_B-Av0# z+&a%X99@(++V|nd8u`;r0U|^OT+_*vB&Cw|3(2Vz!VL}*JCiQzo*)A}Vnr=k)xw<$ zIx(jPT;W0VaLMI)#37LgXuZHguk3993QZrQTLJ{Un82{m;L{qM8^_#Mvv!VZdsTTn zK;ioilM>AccDE>Cq_FX^0MfH z#nua4*2<#wO2+lmcNfFH1Ds)TNAB>;i!c6T7!B;pq3OhTd?(sJh}1L#%P9{~Xv%s4i04z!I_ZCF2 zgZDe#u>vt2(89nI4O${bAv{>6Kr7WfjzZ75S!Z?>0S1K{oIHZQ2p4)LNxCk%Ff}>3 z01OMs3(~yP1yak-6uU#`l@!;fQiJJKIHhnTPv?{ba{GVUd)0u1@wC0AC4GUWfQzoF zX<4tbmcUSP41OW`Ku{lF{4R?NUs2CRczz=$bVqPL z#`V{sq3JatH~Q1*oa%@d+@SzhXff92!0z(swRC_&fI*F}M>EC6aE=9LlSQwt&PeN) zFxL87)RW;NZ`6!k9;HobLP_-m2$-h>w!)?8ILM63FRUm>yxpA_JswYU*H{4Qc)#6mAGiB+^|&uuoAd%b ziB->y#1rrJ3S4Asl|_q%m8OCr7$KM@l|X7257Y9iq#@kE=#GAcaIyUakQ{^nD{gQ> zBP@?Ckti%e^a$2?1v*-^x738iO3Q#tSzia@H4K82>&cj@ z-$Ox#bHamU&7?fua@oA(!5B0zF@l9VOd&gom&-Ma+Ot`w%jqLrz%)w}A(8!ALg!Fq zW=Zxyv{9kO5|q+4qHqfy!|5vX8y z-kM{Dkgg5e*O`C|ln&#fpZaIShI%Q+l`xP33mt?DH?aKG5E`N4CXq0kY)D>UqahfG zS&E|wFpgwOFJf0%gzakzv6Z3;L%WQ!xVVU2^A?k_#pJ@ext~Ko)J0s`8GT6#$ZCVq zldGj!w=XOy3&+R~#mU=BCuTGiv{ZZ!SLY`@Pa3SeTV&)kMC=?`epbZ|=PIU&9C({FJ%= z<&j5kmgeG*B6*=HVCT&L>+OEsP^f9@@H8{Kk$bC0t;370Q;T}0M;Rk94SYvsW zu^J1CY^bR&sQ?%p6}r_1Uuda0goSR{ewG#`LwU5S$VZsBTB6vjO<2ar}4yt0p6AMm+AntuP83+6HCsPXI4VQBvsi@cUe( zm{1Ji8Ze@e-_SB6vGU2#fG{CAYSEOGftC%lfGcDw6Kgg=aQL>wqIk-e-hWgVGAj(b=(38uFVGIUf_}B9-`;ZVRTtXMv}`@Rc;nEC zc6c6I_ni7I4heya2DnH_^cEGZAr2_O1{aKrL-1+fB2leT)J_o}K5WB`iy8fJ@x{c6 z?_nY*LRX${8AfMB&t4lZEMHchOFU9XNA1kyL;ZN-<3Ge!% zrE@(NOC=W<``HKtmBsxLf2Obt%Q^qIv=C$Cw8vL4Rea#lx#7K7pJr&Vn z26uDR2bB>R5WzvUpQm412|P(TsMqjW-CkYgPy;9K01M`6QT$5NbnUpk_hb`UP1B1< zs?2)-5r_-PAqwBg309#YTqn_YRRdQVya-oXlc9w>(5Q= zI@X3>0j)r9uN)nh6+ecs{N@LrPom*&49*a~Fv1ar52P5EkH)j?{HWK0)<&~5TF&B2 z%KOqUAfvdyzn|SlV)5(QWiP>)4P@Qr0b)*|rUh$6#Syr=H7G+aK^>*WW)sS2A8J z_i(4hCmZ7eE!LJBxNxCx13ed|V*&A?f_jwPBk^LDQA^bD$i{shOG?8hC~~|qx4b3t z+&+}`g7Am9yY^915YC*j14O^Y4g90=>K*=xdq!P6og?HApX3hCu(+R$TG^;eqMTuq zF7;o3f>?nT8+eJFI-KEp0=Ou5M7VH4wvTYZ1TPK-1uf?E-QQ%Lc~Dzl6~|NB5`;nv zEg;YaD3q-R6JczLN}GatG(y@;kk=$H?@3apk5CcQ5HTjC21vpfEH2cFYhBQB+{QZ8 zspGDr<2o)g>NxKEKknm<>-atAz9cT^tqJY?em_3H@44rmd+xp8=Cp<(tpC=PF&tef zF|Dty#p;v1?h1IBsnME*k;D#T0{~>Y2C$F*(Zj9Ob{)F=f}>T)ZBW~FH+b50eI+pL zLyBAKn#5ErzhmPX!!kNwMpfqKm75g78?0~x28`F=BwRvkxB!b&^SCi9{6WZ$e!{T{ z7e4W7kQhKdxYECw?oaM+Zie^&d}06b=H@cfVu4Y_fqqv77l#gIT9tWR1czobl#w#D zJGV(!WnR}V`PSj%mjcr8oP&o8PhWpqeTVMXE#pStxZHMe^95X6RLWbyl{M5Q;tL#4 zVZ$mk1}^r1^k+q(z*K96kx{0IlMf^`YRxsYQ65l%S4$(JcV2YRjdjec?mn0w$gfVK zrJKJyKlMPWyO)hdvynnNjlNG^@H8r}Tn=}i%dZDzmru$|zKx9y!D>U)&u-&Fq|Raa zl`!Hvt_lQ#$GMxGSGav59?LKwTL!rU!bL#`2ZR(tB5_|fLd&yGFaQHGaDKQ8O(7G{ zce*_U3N{WOD)S?aJK+-`OQ4KDN+Af(CNgfmrFB)m6nrTAy0Hc2#K%AWM&x(j_}=$I zU0W2vW6g6Rt&+y(&~|0bCs|!a+r_~KB12fYi(Kh#m1Tt&){-GAxI+PnA7Y6%;&r3} zxEj!Q@v26RclE;$j@IcqDGcLX@S?@Z+C|gRnqvdfgJ7m9TC=*90LUa{VDpz3p`g2psM|c40Q=HM}7VqT9~T)1El1uBCF5D8%+GKb-vjDJ+tAd8U0f5Qu2 zV`LaIk-QQ{pjRTm)$kDn@~|)8_1BG2GJ|O$)+hmc;T+no?EHV?60rtw32DKM6ydkQ ziz7oLZf9`+S>3@maSoK%9z6nBFyI0(lew1f_ldV?>6ze#=J;aFxNGq5d?>iZ!$3GL%77v zEA(V4z`(*G=^YqdGWr>{cO*Um3>9wtMDl%^u{^F|`YIk}JGgU4h)XzSUhK(t4JH;7 zs`Q50`$1Ja(Vu>{M59_Lm|BOhxL7I>K)qDJH_}%erMk82PV2?z>3;vAg`qKM%L`;) zj4To=CO3ETWM%-rNMb~|7#8Eo2wr4@7bh+_GUSLIZ(pV+tF;7-4EM_ZCv>ZAID-A4 z%p@*^8jU8n!nV7Ux|`HRxaRZCO_T1oUjTeW0g_2u~hF1XqVTX!@NF@sj5-5Tsm@5?)th1>eLk0 z`!dbP-G{3%cmWq!NERceF1?US1a((nF5uIH!FVbz*`))0GO1LOPq5n}s$ae$iUMyI zk*Gk2ifeB1)lHmK=}Fqcleq1ZnEDU)i5!9Lr*C0Yhs_0~%Q#UczmM+l<0p^l{+Jbp zLl^)8+V5FfBXX{|67b>w{o2-6OcU-q-F|n)sj4vwH&|9iOvv}kO3vLiwKy<`H8FN* zas7q%p%WcRRTVS#)}7HJ7CA~dLm^DZlj??j0Uu8EIs@%Pm_>lz(6Q=sD2zBczjz24 zdB8EU8Zn%FPEc2EsHjU{yf6=0Fo z!E`-Xw=i#N4Z07vwfKQ6GTXV9h_|fSHZOEO{J;miE*}-gT^bI}U=`zZaS0(j#!$+} zUXkMU&9G>v6 zC`{Nd-uerK@o8BV+h<)lYfj zA2{yroPGPZKKt449)D%~$#Z^1787E9>o(*!rrK}^4S)5~A} z^0!>_+Nf1#T*f%p)lw5r2l+uRj)vnytU(|4flNgAn53tSrfrjor!%QOY*AAE2^E^y zRc&!KriScVf>sT%T}X>feMYf;;A4zIA~NK;lX#~_k%IZJGA&`h{{*S)vTf958R~Pk zwtiRaw0Ppg$>HHZUk4BS`s9-Jqfi))N1(G}(30?$gN*KX4 zVs;i5GolJ=ys)?!nAu!YT_bRr4plW))z#M49d2sw4AxB6P2QcVL-NpcsHSGHss+Uq zok?>fS{z9gfeZGYR}-%5p~OXmQzWm-AaW(Iad{c2uD~e8ejr@oCU8yTfuGnkIgKSm z3rbU7o0R<-)jz6u)PxotRISbGinf->?c`J?+oW29&bWewjteQfXEQvr@4h*R1#V$RUbulh7m$%_Rab++kPi(}?o=&($4hh*kGOjNn z|IQ;NrTAhB-+Y=e!T3(nVn}@FygObS&4@LQAk?6xWDg_MC>aJNanvC~?Ch*HPr93d zt2m`+Xw?N9aFMw0-%^PdIYSEMJEy_xfEz9JrG(%J-M&o?6*QZ@ z*)|f_GJYkKlhoj(+N?&R>PnZX+wFFuwx{Y)-Jv=pR!y^(re@uolID}SxNOh0Xu+W0 z9?$8f#P5OWt$+E;-+%E>;QH(PpZ)|(Mndeq!1?j{MPonH#bb3oA59uBwOf1OL`EMe z*7x;!p4aX_aUXaY*O5NJsw{Hz?4@U8W(2-U>arUpdJGJg>#)Ej+OB(B<|-3J!U(=? zcszo|xF9MRR{>ChP~e)vAB8LY?=}k;Q!-c`=jRK6s{y!7hj1Qlyj#HqL$wnU^khx$ zLCIfSn95}^mYeQ3)Fp7mV%MLC{|2wMw3zDBPyS@MLV7Gu(-0#|>3}*`2XJ4&CGMO1 zer;5yvHd}t+=W3HPfE>?Ye~gEt~#;H>bNC!vhHY2)ybphPS@$x6IMNEYI4ejOiR~@ zv#QE}NSB@Qu1^O0*#}C>KR+V>{xARhtE6c(Abi;eS2fTsu+o3rwZiCQo5PV8x@t1PPx7 zMTS)|hQ&8{LD66_Cg9?Scs&7eRoAe(Os2-_NjK%>dB+qtUod14anwk9rs&pzD`6|C z>rI5~`X%qBi-DG|F4wwGj7)y)`#(XwGqd8zkbNNs7(fNbMKL|m+NTCA-A{FeDVwZF zNlA{XAvJDMP1<-~T~R#=dA8~}?cM$M6USeMZIgyD%+$PMmlNYH*rP3(@Mp$li>)iY zUnC#+i@*Q)@8AFFpZ@e$;MzdjwH`n$5SUwB{WAUjzg0CqU_$AI!-pCuBbUMI64zx< zV0~%Wdwp1~Zaw}@+Nbu^+2bAFkz8q28!7^RHVn8%#K!TO;m=FYY)v&tgsHWkQW2Js{1sE=J zh8f_p+fj=7U%0NjF0HRSX1W4Pz{Pg|zUVIB1~6nbcq|{<0yLC99b7q}fCKH<0nHE( zDt1ZMB-xaZn&SRqR9B0d8r2dW4Sl3UMCoWfa^lFq@u|h3sk4ucIsB7t=;XPlOwy!9 zQYBqcEK-XuZxXH_eVuW^l#MfYZg1pd_Z$|PS)FUWkL`lrb!uhcP|BjJ%H?8wWClHs zXP2Z!#I9+-odaOXpPs*K=Te2QQB<$5CDBGXxepRKkVZ z$;C*uP#4Aujcv*C4nQ!27DwBy0u(<=TZ%GadJ1J#HV`ezY`|=8sHtr<)Kv?)ffN3I zGD)Z^HrWPsx!Z!NL;`h#|J8P_dvA$^4P|;NK?cM{#~zht5HOKC+$6C*<~%LhF8IR> zYEwF)tyrWcE$CNMik}7>qi%c3Kii~6y5&T5Q|rBj>W0O!`AlYLZrG(;EsDdcyXMxc zUd8FrusB=t;Nb6n|Iv?r^v|#V@?YQo{-1vO^S|akiM3flM%9AF=xNI_NVFDXh*b?r zF6URgzL@G=TGA=m`_?CarsnGm{&ZI^ZffmSJ5IOPR5zY$h|W2mk&EXpmrc-m&eBp$ zmnE-DnAhu#HHx+iH>j(ArzWtF0u#6@DZwdD;JR526I5hsd`Rcys|*X0n2d)g^ER)iX zE;A>{ON56g$w2>$1;;&QtcTZc*E}9QVKwR2LU2S|%c+@_!eGbjxZKeJ_lWH`)vC$1 zIkmN0wo>t~gpn>PYg1L6lFaorkk;3~{^MW%^j{xWKmOAnzaQg_cd&?Im8uoJPTxFS z#^lsQdwbo8n#-y3u7r8W>J{xaxxu|Zv%WS|Ny%l}0#>zqxMi^IaCP&7ewti9Un^xm0QV;4luHM;k)M2E$k>vmM7t#}qa2$8d7pPUjl5AYQ!Ew>AnGOlXi5`!#BchWsDWx(RlquKu;Q_SnB2`A>Q0@pu&W#C%Be!V3~>Y|j! zYku%2U)dLux)8`iLJv2QwIy2nd;t=r4EH&kIK9cW97GHW#7&z=(wg&1x(UE6T`5)4 zYMZj%?TS7Xp49prNyH*v+1{MdY`RIcs;DMyxuC`Ezks^H>rdZTwU2-5r@-}zccSsjG^&7SY)q5UQa%sL~Bs=`6|j{UdB3zz6vgf z*WvIS>-i5{N4J1$u{_m(iU=SICt~1KTFpkl5~KhH`=G8Tg8l7=?IxRBpjB3T+;f{8{ z#X4m5W0WS~^f;Uz?1AS^SY})?t9Qkd@;Dq{efQVD_tT$#@0UOQ67qY|OOij}aJf2Nt{?_bkjA*fkT@}4M#exw0ast2G+d0YuT%0~&=RNMh0BMw z%jYeb%Q3)7C|FMqMe%4I~d~aLSCH%%O;kyG5KbUkpPX;D^yER+l5 ztxa!}gOdKBrrAHMs;LoW#ntJMWyeaJrgf>B?NCmc(LRnyb@pN0E;wTo5lT$(I;;A#JfUl;litpd}h5b?roIkJk8nSZI6c5|;j5ceJ=d(>}?E zI<-nJ{`S?|V0LYpV?x6?9|d3d5Nj(u21XtPGY0f|wWkY3o2E%tt-Dk6`mIHOhe?~X z&MgFFJ<%PO){qN&_z?Ty#o>%Bu2Cr-l0qSyKNeF6*Vq3DTtEKPpMLxy(0b>y6x3MU zl3ns;->BBFc-LL>LBO}WafaAbXo;L5?m|Rs>#pZY`bd{QXW-fpu8^ULKSH?lJ}=@7 zTrS|cS5g=5jVHIVCn*U9JY^_sz=k-3nlf&q=nIA=KtVn90F*MgTHv$|g#*^SBNV&fu{*p67Y@P?W1*SYerzVR{rKHK`qAfq{FNW01;Yhez-5FJ zXNV2WQWjq@tpBt-j0^@40?akOD)D>887|SWp0^=o_?zQC7P=fhKT3@C)lZ4Mkl^yS=H2F>(t)cj5``~}#`ESwH43Wyv4zh1^R7EavF=1pdMF#T0E?dJs^Sa6HEk=F ze73Kn$OV zU%l{wr+^A4KJ1J)2z8ZkIk6WjW|}$2L2bo}PfpsKH8bEgKd8&*2TiGHS3Ft_wqWR) zR(vnDAkWp@?^hjU3(r3Pocv8x-X1KHl&2!R#B+Iu1ml=)o_#rvXD=Rj=PU zY%=l`FmAP`5U(Tj5T7_gC-vZY+GsxAKs}YG8 zu5t`7h%LcNqz~LRx|o-@!;UR6=eXyMq>~tD4)ct*99WT7(O>6$LFk zBlv_#?G{@7jnp+Y@0K297tA>-GE7AaDSagA^I2^PT86=wTv~#>NLm6I6JvhVO}3?F z1yuu@4Y)9-kD7VX7Il+vV)Tm?28>+L2B)~ZiM657S0JMegc7qBD;Z{#TIYCwycnOB zSS-JREt^POdjQ4)D<5zDq|BRe`Pq~?uOlq^OQ!fMMoU594wEIBPMPmIzu_n7d2u4+ znie=sOX2nfFyN_b4IRfy#nz|K0~1~hbf?ZWWRCzBBJ9c#Bj1oXoH`C+@zqKWk=-Ed zzqCc2V$p^fu?E576YW+Pom!B9W;S|9_L1fT9SNV{DG9W*xD-l?`*aLu=dH=!d_X zX=nw-q1l6eR%oljW~89#hx8-N)=Vb9|hI?(z)_6m6L-Ql{MTOXtA)SiMLs$To!2dVh zW5DC!f_Hhuq=DH!8;}VRYnpf%L=}fSy-a_ z&=3^9)O8t0FvuIPeqvuu^KfDv=sVD8{E3{6d z)T({)Y{TNm36~KY9%Ei0b^>OA!lTl7;f3SBvbu!A@EOjpesvKqJwOFq_(Kz0%_y!x zt5AX!DFeIl%Bj29!+u~D>-yhlY^&9q>P)N2=3;ITtETDth>6v8nR2`7O%WOjf$>51 zsH)h7n&rH1|H1QL^pR8$xM)^6x^DdlD=4cmy-(u0Li(Z+=l;>@{WaTu0e^zdY$Os6 zr)I~ZP6s^UoYy-vWcWjNhbd(1wx4V~dGe|!FrYFF6Sc(4-Zh?v-rW*a{82SeUhg0w zVXCLpzb3Wx<1@ToOIVVfz!gv1-9ddVVUGo)0M_pZ#TMz|#vUS@u;!IlKm3C)e|gB! zJ2CtG^8?R+{tI7tnvGX+!a=y`KHqRI`+{@4OGByS#TtVRv>vY*4`v;~375x=%D`$l ze?iO;$*)yDd0@Y?3wJ&^vBbFsh7%pEe?-c4_SjD*)u6g zqcX4j)_i3H4jn$iVRa3mV+t~zB1yp&R$@b81PrnQET9spj``J0eJwdd7;r@v&~~A>#m*3S=Zce}4B|D8-9yJL zSUc**D4L? zcEOAD7}L{_H~0Gw^th($VMQ(YwRp(lN2?W0;Fg*wL{qa9mR_2igJ0I1BjFH%2P8Hg zQUV@rZpNLY0hgpULdBwJv)=kd7}d>_Cp=KHemqu`Fg|rA6+hMoOJQ9i53(juUetYKd}4OqeONDML5q~dxJH6HJ3|)NWfoV7RoS+^u>M3^QMR@ciXF-# z>wh3)`BoY&>3=$&S8U<5f2EMp!nT&MD%qV9^XKRL0E>Bv-Oxad z(d{&x%@D5Dg()sVTSfNJaEGl6@Pll+Aa}bu!5KsON-S9T-;YaQe9IEV)ag_ z%UoSTHI6%U79n*xZ(uio-iepIqyu|k&Mq{y=!Fc0QOpatn!5xp9ulf7Qo|RK!U+Ie zK%>6{Fk1p?zm=*~T(hOb;!qP23`oN+fEA83k{-^~q$h#yF-HGWvP|oZ;>t!-nfXXZ zw{^m5@s4#{ymOX0ZoF_|i8E(MJZzG5r^(-(Nveu}nIR#@*b}@^+f{t36wua-ff+gEpSai2t)~2GC~NT}A77CaUVt3&28NGOnVYs@ z-}n)GA{*{6L`T#1*#y&~fG0dL1$FfzCd5)c~%sM*Y2=r z{C^0hp3oM$IFV0`X-X`nsxBI!cVI8`6>^*ZeQBDpN-QlvN>r)M;>Cbj|>f$ls z3<(yK`fp@C6lPRLQjQR~nm@5>u_$Ta>bHI0@tP0!nB1f; ze1i4c6X`-rP?P15qUrdZ<&#n(0u%j-=r{uTF$YA2Py;C+kYdB)fwOUW%dhAP?Glbl zi*56K%{z<>7vlVWR0Lb&2uwWKdLBDcd%Y+F2qCQG?shQljk$6muY*5(iYt~m2U_?d*SC=AgX24X z<9y%N(CG(5C2vSAS6`Xgkl8$S3b;x`|9~Y*W^l2=GfqKaLxs38f(4wk4i=CHRM+P&3aw^@w&|FY*d-r zN%&_aEvk$=A4JHwPZ5pIVv0TVWt~HIgS}u`UMso_1szKBiZT$LPgxj)d}{_z){Hh94Z8D9H<4Y(Fp&zZ`_(=Z;JCtN(1 z3~3>}K#VA|Pr~RG-(oE_)M+rJ0Ukt#k*r`fu*t>QYLwR0Bd<$(5)D{FB%vm{Ezh@D zXe%)!=XVy|vZ7^(6T?DD)W}GuPh>SJv^dJ(I~yL`Go5MMbUKYz!3MkebXz|y_o@u~ zGjWJ7`=IXB@sBJ#(CgxoE=zh_m8q`AY(|HRtS~!~oyc|o))-ikIbdaVjdeB$gFL~h zrnaf=uvy9+ee~$)PRyIs!pK|XHrVcn2c!lw1hcnCACcIP{rTa>1~%^Bh;0jlL){L= z_BZxs01L{3EpPKeJVk$?k9eTD>K&#RjxP94+QQGsfU9;f16+?PGwOJW|5iA|#q1)! zU>t_F{?iy(EpDu~6GB}AR}JC9+roHrO+(EjcSt#xfh$2qxUg0Ei*^thqMy95YDy|_ z7%+j%tv7e(ula;CWFtrwEA#4_LBwveaoA3?>ul50XtS`1d|@<&O~}o?_~-5%j?Sj$ z#Gutln!yBk!XSV+^`na)=YkPb7%pEM~!qZ?~tRdjJe}A>F@9i&Q zVSy7J{BoL_uhR_;$5+pq#2nR1UW3Oarxq5trrKadXBky#V6qg+bIXMn0>%k>IK^CzG65e~gTjcGfomIA z#rA13gAFBVWHiE0{bO7`JRS%dlb!dVl=?iE}x?s#GO1ul#-U{$Qrgc;rBdYmxf5GftcS&c1cZB0XMGF%|{ z!`_g>coCR64`Hcfwz~_H^X|!}P6WPFnT$|ZuFG9OfP9VCwx;Mh77K+u9_%5B&F?(n z(dl$}e?L6ZWCJd;ezs{m+2WcuipW_?sdsyNl%0lqjZSCPMEB9Lg~OKWDeHu=NOWa9 z8yzd_>A4dPV>@RbJ$l8tSWMR(MeWbpRRhwK zJp&hAS1Y{A>U!v%-1Wz`7&2KSSEYXKAh?I%M446&M*PV7bEE8a#V2lH7p51QpUHnFn5FRopu7zsCRm1WU z3HhiKuT5^y0w0byA_>NofV%EJP(M!gk!PG;z#t{f|L^Q<8foer9BkA5ZIcN*oZRRN z_RWALY>5OdKhE1yr`hQB0&Y|g3RF123m5(tV6A8?VKt@J^_=o&>-_%gJ%4YnG@Gq< zO8(P=mM9k)ALqbgj360i41J9Sr|PtN`QqZhgF}vqs*m)po_w@eR|S^d5DKCXHiQ#o zP`8CgBz>_bWS7W>*}9v>g92t(r)=9v$)k5VT>gy>zZ{n|c>}nvZcwZ2_4uKthLcdj zXqX?>Qe|~PT1M+NJKInVTsvDS;zj-^LSupv%Gh;8EEYubk$cYB2yn##tP{9kBf2iB zU4wc~A4S;E5)az?^Sa`fsUr+X5)Jhiz$urq`#~+Gq-~%?Uhw8L)JAQWq9W(ixf=D? z_4GU=t7H4~NbUTr8cpT9RX1j=(?Ay;<6{^mjbf>Gh6PO_iD?}Ju67DLEdKnKKXrKO zLG_};d{}+K$w!Z#+2e5NvbLmr($CJ&K=#DIb(vcvTwLZclYh87qI+HIOKGeF2QJ(8km8HY4W72avIx>x0o2{EL0?Y)8v|OadG-W5D;|^49(n%w|3MO!}w&0k1$2;aGx{<)3gXJ~b?X)JM)`@P?97d#& zf)LxJ&;BJf? zHFS_S(ERT4TD9JQ9zeQ~_Zd9T_Yy5`xG3W*B9HmT!>c7+1FNfuITjbP*@Xch;s%WF zMxM7!sRLDoLV!gETx3xTjaBTRU;}CT)rGn# zs0*%eq-kW3akV68a)33Ou#M(M?Ycb;QAzM~q%NDJEB&c-aC$Qc0y0Lkc#S;pqMBXO zS9-KRmTn%{cCH|w0R>qMXXqep&7yBHHsQ#G z=}T9iVwv;jExqknJf^*-we`@KzO-78WUx1|fnPL<>s1H`85`aB4HPN%3+`ThcFBm= zI#AKvNK9Dxvy{6)ysiS*GVNyoeO-0P!66^CMf$pU{{H=oZ!=;HapGpA4Hp|vmT_Tk zGkPx2>V~37LqIDJB@1;CD*%I`nPOcLGpdyTZ(Ow#r4eG+2e%e;S23*rzSIyx( zq@_q|s6|u#5r5sOQxEF()uXzzZ9zFYn=wZRYsR@#fo1i_K&ZnA`75V`Oe zMTUu5Wv5MT;QdUUtZVU@KIGK3oBQIXW`QnU|Tu(+}=+;k1`|=63`$YPrKjax*{`K@ zNZzhVaoV2&Cgx6369!xtl$ZzIGwTHBnxZCkxBc>ToDj)r3n>h|FuI|d|k z9hOos$ixb%ar}!FtR%0UtR9`O3m=P^%|rK&Ojzz6di13SheB4LEbkt;lx?C@F+mFv z#^!F5YiYf_?TsSIT@OiMtTdyd@UkZFQaHjph428%oCE9pzvKS(7oC35OJDl3mp%W5 zr`Z#-(Ua-noG>?L%_g*2=pOI9{+?*Aj;*e~{sfc5S+3Qn;OeQNhKo+5?vZsX!_b+gRRxd~MsGQ?z6k&DD#d3@@GX&Gq?p)gK2Y@DfJz zxUNW>d!dc1*I+2tokPHiP$K%G7rpIm_s+M!=wSFg3Yxw$EfZZdhn zSsZA$ATFqD%enge4n)z&L9NWro7?I-I|rM%?P``K;4%3~wxSi)(s2D)eSCl|l; z@h$|0F*#-nDIUfpOa%42J}_ zb6x0nq2d#~P)G=3lB&f4GL%-2_SCAF+>%liJ)&w6Rh`f!=L(ASyE(>Sb(IW(d%n9Q6O{$8=WG>O?!UOIQ-*A7~Zg<8)9;dB4pH%byh$b&+ zTF~-9b2_`nInM+Eb$8%dve*jM+-6{FP?t9}m6-7~RHzayly2V5{tGnc}Pifz2= z;4vJWVdxTDyCbQmq3Rur-1ViR7rEy}xGF}IU#|tMx;)~=j%)3o`Pr|3{f>9MC;LqTk6HGA*%i$KM?61^{@zJ_oif!KrT zE1=bYh=XGd;R*TP1XT4+XvD^yX7DN$vV|!8Akj*vpsfNdJKkulHgvRgA#a+jqpTr| zYXrE|c#AuMWFaJigElfw-}wHEUdo(=q0Ff?KOA&!a;pVckQcc_h>V!o&~d}v7Dww7 zimYhaj&Ld`H9I{aYd3j7Frmn>GI$a*^1HYk-du~{zoNMmHI9|Of{JU~7#=N?5-)pu z9BzE|tJEOD;cYw{>wr8r26a8xC*+li4ujXNN+55j3%Il;-9K0~%?$=V^XuRK_NAZs z*$;m3`Fl zvIaJi7u3a|K#Bx*gfxP8a)#z61oAXN99IgoxZz5LQ{B}MR8@I^pfr_TI6N7gbmQ-U z7N?;I7j1@ozo#86M|zVVgJmZ<29^H6eRCU1T((!h28lV;jR%f4@rg7EVoT z!i%IR-Q@Qe45`aXqbJ;uvBl;b!n`qW$m3XdU`)VzVDkn%%S4E60~dYZ>@Ed{dvAR! zkph5?XD=18S;0kbAe|{X9K*v<*G?E4;1Mpv58?*0><+a{XnEyMZ*J%vKl|BlU-#(k zSULI2@BPA;@2x(cU@@<9UY-?ZHXnIF-DEa;P!PDfaNIDAcJY&Y%g`3QVTl&;>Zyi1 ztR-A9(Qa|mg-^g00j`>CAp!%hXoMTCHOMO*>A2C+jS8k&40mlU)VhOiH~B)*^8zk7 z!%^P;lVFj76qV`X$;kGx=?vBzBwc~j0jQC>h?UrXb@WOM{iB=hxD7-c|w$_Nru9d0DtScB8RZQeHa1yYJxIhdA07e(N6lq{6 z#SL63v$<_DwZ+r<)70Mzhu2^bSk!{8wvjXJMei`RV48AsH@SkVxh0B06AT= z7{kIHy)P!l-wbfU;So^$4|$QgDB7T0A&fXdTsZJTZPzT}sx8n20fD%Zma@UrJU3 zL1x3a=KDa0_Y@M};4;d1;SbH`x<+oh1_lgVKvN1i*zj?2f#X9K-4VjY$cPp>!z0KY ziYALN9TB)d&bb9#BdI6?LgH0Ou`6VGCC28)#%4RlS7tFppJ}Oryl7oR5o_qcWpa+& zNnQ5vs6A}MYdAd$Sm7?}A&*|!Uq)mIPe(aj&hV031TEGR3yh+VW!^S}6gYEX8f)&3 zQZ1m1V9g=&qbks_C=0A;tD>%8F4v`~KHd{$T|v?bb14lkC};_(;p@lGp_U5-7>F!q ztVq;Gv%XAW5)Jj5*C(fQx(rOh9hRYyym){`)*Odiz5sziI{uaS&oeGI))v$?)Q653 zPIF^bwNVKrrsL5mU{&&k7`{BhW`u@@wz%;k!>Dn|5t6=|IsvP*)|oZ8wYgI?e3F78 z!XQ#~t`%vAS<6diu`q)(ldQ^{+|8Y(q@gUU!4?zhyn?}WIu{Q%2cxHKI~ zD$2G=l9o}RAqJS?5~p$Sx5Q|fn=w9NAh}F#5tI3su}>~g33J$22$Y4VbybR?1TLf- zwz0$jt*Z+p%eOb4(9CiiWRLeS<7*Eme)9N5T zqroqO7qb$CZz)Jvtx39HzWd=1e-wfI=RW$G=ifi^y7Mn0UswTF2{o`WnR906d(BN0jKQS(t zn9#ty=n0-Bc_FjW16Z)yQY93RpwKnD(AL%F-U2J4#a@ubg^%`Gu4YE5#xu{l+p3Z+ z?vcqRjx~h3x^j9Dmcr;st|ceyGL`nF(f-*L%;u1=_Y7u4?sGObe2~kJN*BugE_g-U zFR-#$V03H}ueYL$bO!435->(K=K(1g?qyipbm71V&=kYaPx)ii7U6P=tio6VOc=?msptK$g_bKYo z>p%SZb6+mmmv};+G4Kywn42s{z|I}6`uKl4!(;p+h9?ax`9Z-8;rtO=Nrg=KLT;|0 zE8N&dc))9Rs;#YUzJR!$+@PREH+*5I=-J(ks)?=WeEx9IExO4t8ctSCU6L~aTsGTE zDiX)IzaGV?h_Oq5T0wqFjPs+PY@5;SiVZ`Tco!Wq-n9^zZkD&qfUuTfn<$!nF_N$V zRa()^z$IKD6t)3QUZJk-XMq)hKLO_n3Nk7qC40A@b(MF8LR}})8O#C5?z|Y-ZnZ4M zcQ4L$6|aY84q`JH_rEAVExfJ>Se0m=$Ud7Z11@ETCFQOKyVH!l@P*Gm-FNqOLoXSd z6LGvChCmTF8lgPb_=1nHGZegxFrMNITxD7WtWs|cT%3erVyDo29U)0ED^A5Tuff_I zZs1x#W6RA|1`jwy(1J)jptUmVj>oIIOq1ERsU!#TjH?;A;%)J0x-E_=qUn?^L#rL6 z5^g<=bZVDNB{r)eUESPVUWWTZY7Yxw@Fa<) zC0sN|;SAUXfX}t<5Su`3Z{xmv2cgC7JCBu^3zIHAF6}ZZF#KA-wx8Fg<$dKg?CNb= zgkvB31#R47g<-$YTSV>ptxM~-*00}s-ugN=U08bBCEqnr5x5xDHdO&!X5VR)b6iA; zcy+V+@L_Ya`7V!3!WkMyFV7z$mxur#p~g9}42m!jUf^DCz>LTqoLF7_U|eSfFfOD) z+|I=K!sijxg?1kXt}J$k&92d+i{Z6Gf#dl!P2`~@6OC9p-3u9WQsAN}9=H%0n&Z(R z%vKyk);i}d%HbAFY|W&arOC;bg4A!jGEG?yrsXkj?W{zD>-U$-IGIvkbq@p9}Q5=#4UK>a>&&XjZ zl=FI*nHLt?-hO;@ZF_xV{ga-V{?N0ZZIoyLAL0lyyyHLzOVGM@%eQo$3O8;oF~CxM zcx_42JBEhfrhT+t4RAREr|~d&(H29%g?X@NPaZN;H`!n%Xz>ST1*??SfEM2HtgT^n zRdegrL+(&CTsRq*uyI5hhY49*7un&FO_l@FO0ZG7QOuYt7TcIs()Fm}&mV#u3 zWU7F<@)(#Lkxa51!Cr_ZQjlCDss*vz(XU?Dv!+LEn6sm7u3rL!O4crJF))1#{S$S8Qz2yUI$dl>D z_SY^hm-c##E=QB&p?4F~yM1N@gTa5!<<#|c?|LqWa?xA2w!uaiv-&@qQFE#aQodZ5 z%85k!L%1#ZG)eZxbHk-ocmr%c?sq+(D?LSR)7g`ajpb0oV1pLS&>2p)`qI>7G3%8V zC?abxGp-up4Cx#pk2u2m>K+6w7_lN^BUiX;la0$g!7Wh;7K>HD#Yw0U4&;HWP2h4T z3*mUMD}@nCDW2O+r7{v4!oigYX7)7|wed(n?d!1CEoW~~vhwPGW9~HQ6vbZ)` zT~81yJPY>Z&O1e;BX+&m+W@_d?Q1vpf$q*#Fd$C2z~fc7uX;n)KtHz8I)h4SjMdM0 zW;_S6(6l3Vhj>AZn@zzHeF^IYkLE_y^;@^@2r6etQ#fH|ejGKrlw59?oS$bMs!>ya=mQWh#_AdaX#nn)JA7?;RF4UWi^)u8z`dN7r0iC<_j z#RpT(wp|%D>vq7i`>`Q4LVSCdq_{)c{MUZ+qPPFnf55us%%Yuwcvcp!%`3}S0E+^< z+wW#Xj010CoJs_E8=O)Sc9s4Bug$e*mu$2wFDf%ewx2wS`dg+bM zq?XgE@$p=c6-etU142VaPjSPe;`KbKnr;`hRy*dpb^0muq|*nG-i*WJWdkpu0WoV z6BX1!Ow986=ag$y>zUI!W8s8`g+aH$_r*`1b!NM>Ki(9)bkVnNf8Jno?AEPo!ncvQ z*!~CD`l$N$2YnPQUOaqgc%6ZkgeU27msj-Q9S-(~q^*3Pug`nzIHQ6=UFQZ&q^xqF zaimh8fs4V3t`|=5fzC)=m?PPM00R!uSW^gA4iAOedQEM-*FUVQ3cUWjDau|L7W73A zRNLl@g9tSyAuS`4m(-TLoJOXC(iOl412tOAq?F_(YIb!dg^Bg{s8+KTos5>oT6SE@ z1v@367#JMl&1H0M8431of0eO8IA0(JRT;jK-YX_{_}tqLIy^I|iTB260*o!-omm_8 zhP?l#>-=KcuA(?z@efAlKeU~Q3g{CcYUTj8DG%qVd_!IrmrV-_zf}LgP$7e9t}i+;i_u zQ8&#hVjCOv;$)!Qm17Q9IG%USELCQgmMUUw!kjO4P_1{YtT` zwz%8c`pl%kX>X=sMH7j^uv(wbOv}pP?Bky7c zak&JXRRP1fS;ij8Gj?p_DhWeTT{as$T3Sr{Jcd{Q@sH1;E=ZY#e2BmhUr53TdA;z` zg%@5B4cTUUK<>uCW}X!%kzpu58A$fbADPU@D7cjw?1jBps2WXQn0n#R3mX?EXS~)p zgU0cp(eY4fe7Uk+srcv@jMs?ZhboMpj5F{*&Ih)~g-hkWxoZx*#d2Mva|fOot=YIR zSsO22O++FJOL0-bVHrzZg}SbS6}(vb|1@n^gO%jm6`0LQ93gJetPF2DT}EBNBF>=M z;;y*t&X?CKwA?sEXRoWhyTyiuwbwT36DclIvn$4Rt#}q|I74`;w61Nv&h3s#iG0zB zN-3Dfe)eF{ISag3;R(nr)uM$T&wHq{QCuD-e60*W&c86?BixSw7btS{s%`CMsY z7%Ni(xo%aqmny_btt-!r7rotHXLoB${`!1+$RdLS7m|tA6^`r4`mw)~Ba^N)Xqk$E zM8Y3#m>nG|uH3c88Q%5IT@q>>ly0)YWt)i0yx{>mc;G4^nphs8nfVO#x?qJVFJ)z< z#UU5>1o0rOTzEp40Nb~lE($&|1h(Syps%{9Yf?(&+h4i<6?ev)Ogp-wSztjR~I~%_vbMW)LcL}5BCKd^t-Bk+&Dot9_an2_K;teJ1 z!mWubjJkvuz);t%ryY$jzCj2$4QfOfl(3mtzR^V%TtcbIih~kEfy71CMPlPuryO3K=#Q7h+Pj8t+|-;$pqL$y&{Zd)C+LMdx*WjHk27hYnJe?DEG z{_N@-)1~;?#r3tW#q1r{e6~34Hn;-GLPT(_@9u`<-~w2K7`W=10J9@Q6KudNa^Rht zB#SFUA>eujT)+}jL~8m@;=yLp5M1_nvYmqh6(?7XE$BR(msg=(vvwJuMvf6tLD zlwMu<%o9_fvgA@Uv^P^o#@LQwM7v(0Q%v%xq!%2i*iHirq||uaF)v0y%aT%_V2<| zV*a+TzWeIeoXn3rq(x9EtJ1|(LyJ8m;o|Y6#^E(CyvD5b(W8%!@-#Y>U7X|hC<%)T zE}6VVzG7j0t|2Z{Xj98qRay;N=u39v4df2qc9XVV;9~XUXC2ydVM+-|%|r(8us?x; z%0N?bF?zzi_0QO_O&c$_8&q!hHQvr{)TQEr7PuB9asz@$OJQMz8gKCg8(u)W;h2;+qoF$z~$afoSL54Fp@SLN#6=P9fdhU>mZhEp>mxs7mOKN1O~ z#?t<36g&0a`xMSfS~ngT^UF8GfrT69-`)n==k4t?#hU;8S6_Ygou#wbx9eZANF?-G zURL|ok5npkDqh!!0kO%lZw^{ZAQ}&((#MYu^o@#TOHi|M<>JiY93!zLxYmwA%N}0V zDu8Of@V8I{Pbl}?+B+Y8bn`oy;(E%_Oh6ekynv(^t>Q&h#t1ZECbU{bV3ZO{>%}R% zF`Ee9$q(Guv~{+NGc+w1<^>m80g*^cZAJTCwW79N#PQeXU&*-J)AO#ntGwa^mr4s? zSQc22!sKS^*Ax)IpvkE*r~W~V=vYfRSTP;{kI;(kzr_@>#Z#BSrL8#M1m#evu&g{O zT2o-Uk{7sY)yXTmGJ*YYcq0&>XIyf7J|1>i@u^vR>w%X}cXJa9PEb|qT&T7PBm1eXTi?NpL~Skx4L$46d4&xNt@)4Tm3BAmAzsuuVe?eGMx~ zQzXTK@l(S~YAs{6`K`%dIgrZDQ`vbXxUN1}2nTYhh~To~YSey4@RNaohQ7E(B$(cL z@4`rqdVuKXA!>2%pBG#k^Yg5a5uT6Y4MkrsZSRd%LMiH9Q|z5SDnoFi@XF7(x#k|7 zOR_+iv{`+l+3c}EG#yiQty*ac!|o7VqwDLBRwU4nV{_&r&Tyfc2mlDs_L*u0+D?V!NM=E1HfvCZ*yDbqO3$l>~)HBEwBl7czp5 zYKt2am6@7{J+8{b->&qtySXPju|+zT>C02`Dc4ogla6ZSsj&&xHru%*0aqa2b0pLm z=^aRQb`B^jG}ds6bk9DmoPn^WUI!V`jU&+V$|}=Z7}fM@WhQeq-pw&(~VU zN`;~L7UCk1uLcv*MAaX^H_M0*fqeXmVD#=Q71zF%_75CiJiB}L>~1(bUNJQ`dZMnu zOy;khWXvB{b@A1MOS`)bb-{*LBLzjQ$n}7-0$D>{W_|ygHIApFObZ4r3|8i_k%=#C zU2LJ-rK}9Dm6;h(h2-c-_4I@QCZS=ify?d8gUg|~+RMX4exf9F1Sw;e7Zxt}n|NMe zsS(cCqcNq2+(ce8v96#yxteTqu7ZXI8dDzJfj2Zv^+-9}w~=|bQfv}|0a4nQS_y8M}p-zZD!eoUl+ zMUGx&)Fr$Qn{G0^jA@`@RE60Ayn$w>yAtJJs}W(tL}#`aG&iT4yR+@7cEN=|+$*wj zdTru}tR~l)>deVyY_Q|Ftk%Wh?2=G}^|GY(N-*|cbrknJcFvwi9(fsFctjjwla#h< z%sDUlaGiWw%RxsjCq{;&L60kxOvUP_o{j|>KDjr2b9ybBZ7a65yFxoVQ}QMB@U{3S z273I3FlC2>slmdLaKzw3T^G7iwc;KnWwEJX_5Rrny2-H=^OmgHq`JaGc*l!Ngn{W6 zKZ_Zbvy*L;0rIXDx^F_twT9sw*w(51oh2? z$5~f2n{Y9-E^}3f$K}uLl&qK`>w{ukSbsb(tGOp#tc^R^x%uh+Day=__uaYJlQ~`p zEv%P9JC~+l(wPbrCdPU@B7=p<0OATq3L(LTg(cLO?5{bBnaV6XdMrN#pyv*4&*nng zvm+0Ki!K|uyZ`{#qY@2{Uh#pr!U{)<$zj&$=v?H!(Y|AI{0>;8J1|&A@~&~3v}0$l zd1iF^&$+M553ycP>vT2h9~jViUD`8?^Msu|DZB1I`yti1(smuRaouwR176CCLm6Ss z2TXC1lZV$`40Scsg*9kt2I@v92C#N$WlIZo0hHv=ORiP&7`e`|?ATbx*jS;5iHxg> z>ty`I>z0?3+LCm_Q7o<)alv6z!wB7-a6!tQ9=|NzVP!@0o{eR9OJ{=(U-$^WDoNFE znYeR0RnBi;-g|r_x|SW7URdmLxAwSJFYH_j7#oNTMj$i*ssWP;_Xird=&cQfa?wC@ zPa`?a>~BoyN!zs)@^(q|Zdube`GLg{R%LGFSZZiG?!B*LbZw~HRd!d#i-AN%aV;<0 zdc_bndS&aCC`xBh!fQDwt7-%?nWF~|T-R~ufLXPR*^^qQapJAF&Z?cm8PZL*Yu4-` z6Xn%})I`N$>^k^h*Zs{QDlHCS=ayN?lOnFt7O-kH>I;qOIsn;@-V-N|_a1#~_nDsF ze3#cTnRj%%omB^n-QINGQQXo5g$M}{(6WsY6d@=^l4%$btt|fdMAwy ziG^gb91JZaCsP&IaIx5*x_G^REIXYz;_vL4?4Q}WbOe~B0U3xepxM4Rz;CH zdeS4?f!BuaGTnqFHfwIA>nYXP*ysD-59ZrY%c?VTFZ*`D5W&$6Q18?T){()*OVt9`OIop2KxGTP;Ig3HSe%BV}DbwTuJCz(itmmrMN zAqWPiTS`BE@kGyJ&~v}k$y3a`xnJ!rMU&BtFWFffOiX>gv45fH*j*f}UUx*g3Yk;j zqA_P5jRkNGMmh!wtJUrqVzYk+iR$3OG+h{b_l*yKSv;FL z|KiKL@Ok&%CuBa}$q2`ZlOnP+;F7|q6Nm3!cQkWQ7`U*%bFz0-!)~Jrirz5kC)t#X zlb113m4t*h;tZkXo`#me)i6reC|-kDw&O8bZ0+Oo4?OVfM>n*0xhALE!R7AqiltrM zbutPP5!%l15oxqMy5kdXP1iVycILj|1SffILU{2RovIMSbfWV>DtAr;ClEFEmzHo-h2L= zpH5SU{-l)fGJ*1w6KBp0oWuq=2F{%19jzGe?z;OXhq}UM8K@ly{!Lw?s|GMqhIl<_ zVE{9*jJh;RR9wz!r{K~8-ZdK+hv=)69lI(`$@Rxq9K7e~amSU@-P4IgSHhb}G;pmk z5N441fA@F^CjpmMg%RXC%pf)|_^R!ok^#(Vd-< z_??5jot>#78{;i(zH}+9Au^7z7w^~UhZhDa6Gn5q_ufV|(cR{Xu}DcnS-Rpo?;gYs zJ-7j`3$uiVW`(ZGC}%}rf$LxPXDL)=NvfNct@zqtpa?E_u`OP8Hrn?Nb6h_@f8)1b z!3$uY00>y>1mUIRbc?9Nhfg~W*w)lnvu~`R%mcj8n5YXV&{DJS#vK9;yGAN5+-n*b znn(lNw~=ju>+BdtxY5B%4M&(SL>zBV?!w$@hhut#bOi)39s8G!cKapVSlBe`Qj}a) zvYBQbiB#rIjNpHa!q&h@99Q6`ahu9UzvrMTFlrl->% z8HiGiQWac#Ni|_N_H@2MI z^*^}&l5FbsM&0>9A`^A&+tu=#-it)@Vw2P_9M17*I%PJ*_3MFF*FOL8NqQF9WWPs*}7Z+1LB(+Jb!8|VJ zf8~A2;B;oNmM9jj=!KDu3;y(D)!t~ToQnI?o6lW3q|PubtGbSbJ886pSKLnpl1#)C zTm{!-a%;tRI0evn6au_>X!C4MeZUA}156U)U4u>Qtt?oU{M;#zi%hvU&e zCLgqd;9^pk>2w7~`>eItD~l)2+-$Ar z{CsAiG_e)Rh5hc(=-^;>3kSE`@l5?5XHVovPoccI@tiorLEz9g?j#%}aflm)U_?A5 z;H({zhQCRDQ;xO@MCO=!;RW2GI>SS$=-F^3Aa49gOENW}G zGKo?nM8zf48av~eLC7X9F(a~eqc9wz+ELByZo!Jfrp411c6O}e`LUxc`v3BW9UZOh zOvS4vTwUbkz3`&#a=1(C@kBvf!GsY^XrK{gXROIsEall>p&onq=}M@xfDKw%DfXPB%!wEQrnHRBGh zOFN&E-1H5Gx2F^rrxG*!w<5I{6jw?nCR8LNQL!pZ<2ICkimPG-W^h^F?s#r_dB3JOL1we5jjb341FCwd_Zy8SwnkGA#go* zK)3am<_bolFb;0IaRz~*;%Zv^E$x3w%&oXwt>A)?v1X*PRn8u1Ie(t8kjufF+FGt{ zo0O?2d2d47uXMMoYr>=Dpz!iQ$tQ^nV2Ic*C!(=(e>Zu$=?P~nIK1-R4@W|tD60YJ zj=KzdRz0~wVz4`yaP?W?OeRMLH&1kLhp42VsY(xRZ|p zrS;N+XHzC<>xAuY7S)4@i}H}0n4h~H+qrX z@9#6lQ)M}BRgAo<%T_&_iEqb)$z^edFDb5MJYU#Ne|FW06Q6we?T2qYe}WDd2MU81 z#*7qkhTLvEe2)h5iVHIeV*rI^uH}(cGc+7Dyub!Ma6#*Cq1D6%EoLt?J>p_ZyN#>K ztI_isJ4_&dUXa~BLm6*;5E;qQG>|J6<6kP3N#L7z);d2@0@N!!t4V z6U;9D_kBsQ*f0n6ou`C~?28Out#qj9z#-<}mx23OEZ@1FbkR6%hLz-RbsU7&-wLdGW*HQdB?Oj<;gJ}$)D=<}uk!l4wHs>yX+W00 zy;9pQ8y8Xhf`o-@HaNxA@eB(!WIK9T^#Ax%&bH~s%E^Ljk3gPK!>5%sLJNs)!i)56 zW6_4P*^S00VSY@8q?3$|E%f_N0n6tb@r{h6@NZfLtHnes2iH!&a_hzIJbx2;3b41u zQxYavhqU&KfyqHFGrS<%er^*QVy3||@`^Vtptxp2 zeLRQDgM02sUWX)2Blh6Kvs@muac$`6qA|wtQPAxC-d_7F&%S(1-<<>J)~Qju_ukXz z@(wp;yt?wF_|45+@!?tC+eX_LkN46M9XNC5^*7)A`pb9U{Y*$<(25WtN~{4c{NZij zf|e2!KAf~z#(2_|{WX^XEQ9M>yN0)ktHr)cb7u1bSGQ(0)CKCX#c7hgBzT!V+1UZE z-rn_g7r5wo+3Iqlt}aqgGYmdAdRRzHXC7I_LbW$a4DOUW7Ts7Ah&x4OB#%yx!$->bNv_}^3`DjM$p z6Ca8RafNznS}_|LBZF+`M>t5~6r4o8S2f+U`_f~(ZvoYfsH>x|vp;%hf3LDKdec0; z{UnvshwixV_)8bQXbZz)6Fnq1xw@LJYU;clOVx;;k?1@>BuOY)R|9gB*KJgX#DU}2 z9Np^~ji)Hp*jpZtNAHwMCrz~7iV95ssZ~=qD1-bVrtjpPSK!G7l_=M?t}=F&0hW7EbB453AW~<@iTXl%Ub0e+ zucE>mXZqFlvQoii8ZV6u`8mZUzRvW#FtFRM(pHNUht#nhW%v-0<4aP;!5M~i8z==F z_a?8N=xu3w{;F6PxOgyoYFGb}{zt$CgjdwYkm6!yj9YpSyfN|S3X~L+91K-z)jE&& z-qqA|G}fnoV>~-U=?g(T|*?7?xci zUvkB!cl%$DL+5l*1Nmu%h3vK~qO|BOE3Qn-C9FgaF10KkctD}WCOgK3jCrXXQrYIq zE3}9VkrNpraf&`yKat_|4E+Umi!*a+i$db&;9Wdtrq#rNM03!ydwD>JN6 z#v2~gfp|c&aj9jQL(1zi8F^;Qb+;9_WpUL@tkHk&Zp9^x_9KZkFfI&8aNVZ3ka$A` zBb=mIm$X^Dgci6uFYFo@TwVA>T`pS~sH;<6tk9}wh@*K@nhMN_(X0}UM7_hY-YZ(| zT)bM>LpNS1tECC912^e}G)|_8GottEy_-fy?}XOUWtYX1cS1|=MLNm?yHT8B%YEP) zNd`j;Uw!w1E3FL8xUT_)X!XLs5b`VrBa1l_@ zQgR9mqY_R4)8LRXqZ9;!3fZgCKlJ2__iNLHFfB70t%g@;KBoxD7NnJ z?CJAroT&~`wvOW+lwMOSj}EMuqy;kW@RnVVTytmNWi_L|Yi_*^HKvk#Z|U#9dYq|h zl#w%gjo~GMA+*NA{wueiSu^@%(O4I-M&rS z1S)Xp_#%#GR5L^{Bk6Z>gqX16L_k4Gb&sR~xly5On;TvanQ^QyQo^LGp%F^p3|R=- z_JIG=L+reJA-Fn^PE1JgVHa_xA$8zUWu`%;oG@d6Vq#Zfm)u)Fdd|If?Hnf5@CvSY zDtXD>E3t_oFd6ByZDQA6FYfv&=HPA&hl2rbbDcc#K_v2eNO5UYsOE*r>3jtSDl5Tt zzQ{?)1IysDr}|f5c3GNRGEfLy$Oa}4J=nlV1bTOiG`>(ND~@cV6(bkXMP821_`TjE zWw}Z#gKIa|rOr^QNOhD7jINduTVg$T2n`B=Z>Q+(s5-+b5kVx(cy;l^Vug3GZeSN} zR+l;0F~@}ucF^1HWRVh?MhK#<_dIsrRTIZGG06Lw#rVt<9|ctF;TFbprP^Ejvg;~7 z2rQ-xpd(x#4e{a3}987P^mO;&c+Mz>84KXM1o&{!9;u^F-QB*rz{ z&7u`Si4DuABai}@@q`*^80%smA&}1w_-D6^YY5*(nR&>?Au22>g{K_D24(~z8xpu| zTDiqO9VH~qRTh^s`{W?^PJF&-6mPhz?B9XmuKL|~DJ~sQ1S>Y>01|eQw^@$hqJUOT z?x2AC@aXM#OnK$%R5SN=DMqsE^k5=G+<20zn27@{a(s_SlDaI#MTP$U)tKIvSlWZIfY5ZL(k=IZgSE(qArK>R=f&u*t2P z44{^U4EC{=4z#uLL!~qPbQ>CTCvz${Z`(K6ed$y@HM8a1{G73Pit5cMi$@R02iQhr zR{edf0=I38$F+$$=@`6$TVdtfb~+tx;=EZCVdmk%{fFsQ9B!RF7CSVQl@3R~wSPtx zWpVt?I@Ps3(&Rt7pZot;V_fdi=pyY^C>e|_L_)yA8v-rDq8i9_k>xN5E+_w_)|HuA z%`Kb88Ms0w&@i|#Gia$iY{=l^wFHE~(j0G@jmtoCB%S8b!KY=mH8i`q&|NGg@`c5= zFRU-Q8(g$PQ&WQ_Ev8=q9$Hpr;I>mQn?*tmD!k>a#BJBEQfLe-p~G?^1MK?@J=B)x zZ<8DjIONUJbMx~HMrNi*SP8IHe_~)@3~;J}fwr-{yiHrkCN{^ocszvXg;rVf*65-? z6bgTE`qal?t*^fo4x*6w!3-}>YQaThXw%|g9HA|@S%F4_3tKQ#Pv}U^OK}+$=VDrd zt66B3{IB2jINQOgk&24Z5cBV|MoD4Ku1ut%D|nR*m+X#BT_w)0SVm!PD#(=*Id`6C zPl1fkG+ha7+{15I={^W;k&Oa9%&K&&4R~N$ezT{sm64f|fw7qpu{6Hzt;^LZEYY}p ze!w&P^suWS&y9VWB{Yqvfhd1CneVFeirT4l*yDB=xbrp_xHmJIG}q7PE0Lj!V#fSP z={0xE({v3<7OJD9{)UGyu9=+QKYk}88Yb(n8J~|a=F*wmRf%N-`A%q6H0^l(oYSYT zBrr7k_2bg3^sGsC2z+P9I7gH+6? zw6~+;Wm6CJZT2hkMo;FnRWIhJ#!Ww&aaxbuGk@{XoNM~__uM)k8=u6u=Eo0p4Jobc zuGmn=ct2`tn!1Rkpq@PgFWJtVIgO&>&v}4}ve@)#!~z zeLEU!5!E&Zm;%WFw25&v*LWo#K@jA*Re<$P4rO)K)kAmA-#&C_P5u1*<@3GsLn}io z!}ZSne~!+JhsYJ)d!(r;))Rz}v{#X(*XqhoYzv1%`tKy25!snlZFQC2{5QO4v4xal zUBvKJ+Is1L69a3bH>83MOh!t|ZoFy)S4j<3yrvjR?MirYVX8X5qq$;vFdna-O6F-d z*#w5Do|{r7C0;^}DPbhQ{NOUc%!wafuudd=2`2LubAr3#GOFIuB$(LPMcA5#R_xtD zzds<-ehmdim=5>e8ha==gqEY)X<%f|k$vEyPYyi=*xFNew^R0%JwY3yKy$)FtxIqF zvxI~UsTl4Kg+dy>M^1dqe3RYbun9J5Beo+nfA5Y;PmT=>Tu$lkX0pHwOy{HL$L1rH0+#vW*L6Op4i`{zpq+WiUCaL8CHkvL0B-&S)q%t{nCr z9UZG|n@+LX+DuK&vG#VIh}ZPH4waDN1=ke@m)H(eMtwT~mF0)xBdm!uvz!7Km&CH* zw>&b&{8l(VgUbM`^(`+hF9!o!{{=3&g%p%u=0tcgm5kzUvZ9Fy`clb}fpWDVc5op7 z^z_)a%6z*dX(!#G2&Ci5=u9#S#@hHX`gtpN8oN?58#5U8VMET4c5BygZ{a;n`_E~; z^wPD@*S~|;*I%!$E`&~=d@HQHhz`x&6Lk-4R9$0T(owd9dAqF8&2CgBGK0AC6qhGU zi^|;$H^pR3OZU7%8Ao0SoUC23BP!Xv_vQEZYl_#kbxU5J3{5V~>JV*LYzj3uS3n3u zN^DA})0UM0h~oJhnVc$K-Y`uI{6?a+O4tTd1sEbtPCpM z9m~?kc}FDRadacbzV(wyP5JI zaXgfZd3mqkfdhnsWhFhJv65DfCAiqbewQmzAQ$5as|ZfmR?_cxc@nd8bIg}#Sq0@8 zS=LS~{XUO?$J5=|h|>uN#I_o&x#_tyYS?V$0$0VSPgq==yK<*xK_#Nav|(#j!Oo?F zMfq9@jUpZ^ptKsgi;DQDCPI!C3#W197?JbDZe;MSp!&O4hMUegXY!8Plb@`A_2rk@ z6z>ONM8~V!AL6&n?sl>F&`s8wPy{YyH(Q`GIYVHHU6I?sDLUyV!^^QVhn50sk+w^4 zsactWvO^U+@N?d3X8k`n8qLck3uX6_WsjBR?9Rs*dWiyAr#D<=EhiF`Bql5?$2P7+ z*m5QOay7oq@(^c$YcvsdEmLSJP9)!|$j(0O@#q@1goe4BOfVSMmTS&xV1>uMOG`^d zouSp>VhU(n!zCj(H@-3oQ|r zWv?OWj2zDsT0Fqz&c^T_TQ({K%k9?Wlg+H%r`AQNf%KL?q>vD+7`>?4yTdmcWew3M z+=UWoXct)(E1N7kG(|POFOesF_Njb$@#yw~)ujPkhD!u^3d>_+IW(&fB`|n$FI9 z?zts@<*8G@zG@GZm}u?XIjd>ipd8O%SO`RDw=geW!wZQgRNuULj}sYc?UM!>$W((u zOL@t89=I}6R@sINt1HfP11hV5QD~Jsai0Ms)Pxrs=w!u7Un98UzQd)vYHCbb4ZBbq z-ko!9js%9rx;*KmADa=cSd>&}h;prciwV(~W%&~aeFdBFhqIPmQ(XG(3k1a#2?&|W zD#1l;*lloKz*Pj8B|}yq%_%KXKY}Z=tyC)2`G6S^5}Zqi>E`aFdd9aZ=OXmH z`WE^~C>KoRm2DwOy!P7}ZeoK{kBm6LO8d&-qJNv*R>}}~-{4HKb#P%Jxv+W) zTEP%9eJ>|zSek1Bc?2wH5)Gp&fVr6^+2C@Ly9Qad;o{xAnho*BfZ_s)6EH~DAkf$t!p1^C3V=;^%gQWx8`++Qs|e%T77<^GC2ocs z==3UQ(3jC6;0gs$gtMer0M>epXmwM2!d7fuIP7hwf48<+5s9t0hW zeNIN+q2)%vlAH#XMfnrBoK9Dzv92sG<`vqyg?k_lE~c9Gm7qZnavh#=Z_svMkRPUJ{A~^4OGE3=|ggT_cK%-GYpNaXFq!%;ryH zT$0e>1NmxQp#T6R#iq{i9Lpb&Z#^6!)B{&7mL)-Wxz!-?LLH2(hDf==RX4Z2PHEMZ zZ@0>I{31l>(&tAMT_9m~^Q64Ob90ffY2}`MHo_MQE5Vh&wh&mIIJ@dS{oAQMEA6G9 zO`Kdf`_9~%lP4F>)INO2b3174NZruB;K}aL&*@oy%E8MB2}ezX;Cn; zH55_ng2TSWNLqsP&5?8xT<{{&*tae6f%dQ>OWW%B7y>BKVuM_@0u6JKpja28@Abpl z>e|HFvrQ9^o&E9KA5Z-zI(6#Q8JDYJ(!~UOKmYOJx9?ndw)gnum$O*Jf!^NE-oD{j zs&8TatM#>ax0B=*o%(nY!xCwopp`nry;ZabUqA)7`$c&To!V5$MZ)r&Ty}5WakC)RWFuX zV>mu=0RUQKv*HimmoQ`dPQ}Hc?#f_9!F<%cy(&^GxcIiVUm4&Us%x0zmq39?cw6^A#4n%#oYazn^$KJf+$KSsJ(BI#D^V6Sy{rb}%Uwnq1ky*E6 z2V{Z<9vvOmKS1Gq(>_v{pB2psu2XDh{Gp4&7iDlo_#5p2F=PUHfyKUUn{kF1l$w-d zU5+z^mOR*$m`qTfS0khf-G)`JEPm2lQj$}0l+oiepkhZ)uolWAEQhkI=BcNM2iuR7 zJW_K+AsN-n`EYD_vW--IR3eS#q9S4k(Xut>4_6}zI$TdDe51>Y@kN)*kCfP`D|Jxb zmBuLSbdvW;(1-WhT2!UDOkHew+FFhTxV|&6#j4{;fnh)~-xITI~L!h7E0ll=bowJy+n^4z_PF2@ zUJ46F^t(iEU}dBDJsWQP8}r(F9=I~zP)7|#N5Pe&uCRrPYmNvlqhp07lX91&_^Gnp zX7qR_iswKeKOCEk(n&6!hE3G72@fb+)Cyx;$}4eBjzk&p#Nr~|WuK3Z7r5GT!dwMc zFyC6r+|RQ--F&$sk0%)b7qBFt+nJtQ;wi$Gww8np0#e;hjWvk&c$UOqFtpv83y4L^ z)%QV#cx#TUh<9Gt!)t!`v$G;DoK-cQop|)siB~sjf*P!U$U4`UHmfj>7f>MJP{0-` zq)=9x(S}BX(J~E7Q-TB|&Tth(+0bN^U=(S&go&bpWK)Q7%;*O%L_ZKoh{g*+hc`4) zB3~qg7z4pe^n->>!?GBSzvnq`E9$>}FMZo?+TZ@?Jm)!=cLUvg;R3LD0PDidFk`@m z+?2bsp_5hV`;qi9k%TEwgX!=!K}LsNmQC)yYjwjWV1dg>MuN(0WUE_}hd~vmh3u)Y z;;9eZh4L%Q95yt7Y#jstla0}7+$sJZyDU~?3PU7$dAZYCH<4jZ4WR~qLz_`o3NC{c z%)$hQG?CAr4|j9c)ON)cO)$%}X(O3Vz0x#6rNq**oKlcrsFK4@XbCFG!}n6>sN{!_ z(*l=sSZV1Q#=FMX*GHkt55^~@oa7HxcTqfoOL;x^k<-*G6ScIwC=OdEY5~&EqN9t8 z6Sqz*KyFm?9#a}ZoY%*BmWHH!5~ zw}5dM5>`AdSZuh<;6jNumjR{vr9+GzoPq1mA+jT9uIvhny9TT7 z&$=ppDnWXKtA6@&972p$`|AUJiw20V0;`N!jYpCw2pZMNq z30&~vQ(U!hb(Qc1DGZOASxBdp*5t;<-I!@&v;RrqbZK93?Jqva{=WT}TH%Ag1QrgH zGe$u^{ldfzD+5Xj;WAf$92c~zq|M<2S*cDKN~|>rE(@3?+FnG3suBZCrL$X24k|&4 zO<|#t8k_J^SQGSN72ArDgC~+x+dv1_qP~igs!@TfqobP6Nt>IDQwk|4(IAl^?lQGh za+i=9n>v5~Dhk6U=}!)KXW49~kz6pItvL-OlMOXT0lvdon#^cM(zLyHSW80AN{?`A zaFvuATEj5XOOn6n>UvCCKzxfB#B_P`51_QPZ`dsTQ&Pg8C-&-`o|CmXPV~2PJH(C6 z&L^K(SnPjNZS~ULCH9;1^Kw{xcVTY_H*)yN>v#p zpu;XFILj&t1s9vRYoH@U-Bm4te0J^$5Kwg~E)6tDUv%Z2Khu34W!uu4!e_z|YkKs` zyfD0Iu4Jb`$0(egRcLVWs~TKp4k;M zhSdRKHSERU;@dG*=MCjY-|6hE$7Ex!acIHoa7hWgOuAj0$p>=r+H;~3RHptiyzJIk zwFIpL?kclbDJ?Ws4=jfRT;eWRsc_SOWUOV(rNcu{WjY)cp(x$N4An^L8qB8okbS7F zD|0$Mm9h{K4rb;OvL~NOy7COMhzzxF%gk&$)s>Rc)ivDJ)zX%wDX79LQ?kzRfBDgC zeUHi;BngJeax=0f`>Nq9wK?YOBGR}vJg8}?+%B3@(tmOz0lp-un$crjSJS70{I7j9 z_|ZqRepEO{7FoVe#rNWCvn3@yUO1OC8P&>*HHuHvb=LH|q$0yq06RR-QKsWrgX4i^(uL_3t&DgG zpD>s5QeuhGYLGbKF6imt_^}ai(J!p-qS%v=!l=kPmRYb2Fkq=_P8HMj9}C4I=>qPjMkU8u0YU3kUSM@(c`;LMh0 z*R_QYjXjig_%B!o3tBXcI z?ERp)EtPNO@U_!N8>&xuLooSgdXdEfEKCS1&aey(ebiF2vH2MGPfc zT>tb;CZs7|){a+P3Jh8X*QAXqDp|cnVF*&3RmM%9EmR1E7OR{Zk*p^_GUAAh#$@&K ziF%sJh7WZT2X{smGx(~jCEC#L{w9L>a7wD1fo(iIO>V|Pc?h3q@8)4HBfk%7K2cvO zU181Vr+S*J=olHEdhX1d4^d&!a(Zo+qE97>@aADZ#+>~~iaO$V#1fxxyzwRxNa07n z%nB^8Fq?Yw{2R|nk?1V>NV4TuX3j}Oh^;mjAAWG+*1H#0w=eHszP!4+J)t2XUIXFw zpZoj!;1XI(9FaL$5MY&?{Zw6s*m#ub01|XmWPrin; z#Zv?+Ln~DyjX17K%#{x=<%PQ-B+P(jNiP*ys>fWgf)*1bV-<+RE#1i?(ucy!Q$TD8 zElA-fDFM&4apYAsFG8Fnca`8Wy+5T)tE+!(tHI#3 zw!Xf8d1-&?4+WKArTc|Tm-c_(>}-fiR44`F4YAuRBt(p639fk_aw!O6PM zIH-&)ciDv&MB*8FI=T`C;X!YKtH#D%7m|S`w}S_hfHF}DguL5f2N$pdh_;83j!HJQ;-U}B6Rz!*I$!$#dzRV|v{)nD8Qv+=s+e(g?g zruEs^3_OMwYC6dkHmIEDV7{h|G-J<~K@-4E%3dN3Ln~k`<`lwwzP*qz16T_QEiNpq zu0d;SeQj-hH7;mvZEdZstt}aUp*5qW?bYoIl$2yZK-5pAb$i2jMTFSn_KJ*aOX9*^ zw37dEmjF{#vO9z@Af7!-D`roi)k!NF)+F z9g9JWkx<~mT0)DXwdb`*afRf8s+&-_2?GjIC>UoUpY_wT$6|`hbHB$`piNF!frf)E z^0sn9=3Ei8$;a@I*OFgJ8d@@mHDGXIMyH7Mu0$FKajk+2Ha~7bERJhyjSIU5R~#1C z6d>i~;>r)3n+?pNp)ds6Sah_L!wpv$T=T#JS0ZDW-~})Z5D#0+e_HOcCwh{3naY_3 zEXyD}fGc?{KYQ`1x3J<%v*c2A@f&3Nj1nTbvb30C!OoT5xpF2jlbt$l1;)khm2a z=ipWQ>@tkDEnZYz+u+*$@y8$6x7N50zBS;<1rRPRZ7VJCApu5EqTa$?qW*?x?50U* z-Bh8tO67rYmyF)!Y0+YXmaL@#EM)~P9?C{>rKjtO-KxC~B%pvLv>aok47rn`<*sv5 z`VkVUyVPHH$36GFbA2Q>Sv=y%N=~}++R51Ih}^iHAZVxp$ZaM*P!?L|5zPJ~41lgW`#XqujcQa89THrr=w*a8V^~?IMA(wY|CytsTg4LGc)G{V25b6YJ~S zi;I5cw+as z3k!LcZFeEC}7M4Y;c;cSBBTrFHoh){wlZq~xWRZNC&wo1SguL}6C`0mTMzJ1mkSD;|% zs-fvVZxC@KuG+$2puF&W6RR&+xa?2$c>IG8-A}AYmp*^b%3X8I+gn?!t8rZW`|De~ zU;niO3@D=AE%^QQ*Um-wP@};s!H+9DI~vP3Y{oJLDYBWVT~?$q zJ=5*+^=UY9y8A-rX1nMi~6SsjXNz_?4=U4lth1qGeGn7cT3oR<56 z##k34T7TUm+`x<=FXsN!o@#wSj^IuY8LW?CrZ49!WfA!V3 zywF080WsmP^yB^%ucW{iU2$BMs<^q%IZm~Li`j><(bJXH5qo6dbY-_h7~FuZbu^YA3gxE{ zP}Iq?8DrfwV<$rlhp%DkxgaWwovkkj*^<&F=!kM{B9t^!5DrC(aF?#m6^P#HEx=a6 zcCSuet#!Iw9^!ou*;0n2>yUV#pApqg1TS4<-|6+17ZO5^th#=y%ZFMjna6<6cjTw~(|nf4`c{Uw10+xF#)xJ&4m=mKIpTLu@V(!%i1 z^|jsI-!7<#N<()F?qE=uP->i(JW;;Ii%n!` zDX!!Z$HBsoW-_BNCRnME1=sDBWyOwLTkq*8gH|k-KUy*BIGr!SyG%)r4a8#AMbV0s zF})A!ap_y)b*xloC%L-5S+M4!8yQ?Tr zJKCr!_W5k?o~(|7YjBs)%5WbltSj&C@uzx~mOsr`=ge#Ir90F8hSs>VJ&+!4apzEb zJ+8#sbAlN&$z{{QKqKp91gOI@)13bLQ@7SOs+hXGx4H(H3qPp4DE$aE{`%m9-*zwJ z9pSYOuD^c!;F~S0^5PSq{OtpP{-~ALpWxE6P}01{Uc`x49sakw{;9hNG>AGhC_J40 zA6)hXE=vhm;1YUz$9QumSIO|&1=ob)BD-rR8DGi#Eh;jYwQ}DUSAv= z&^r-QnVkz&y6dA)jh%xG3a3?s>hV-}$RYU)uH{6F>bqmbWs`P5RY#dU&N#QRNHkpU z@-&n)jl8_JzA-S;&Q{UbDE`WCFHCp$bXOJBwKP}ZE?-(pns2H+-Q}#eaFta}7kZD5 z*E=Wu8cQ523myqpM*>C1ii7ZiR;54VctOwcv|v8oV(ETwnKL~Q=+12NAHrTd1lRtB zKNj|vFYQB%Rx)n-asB6ue_dR=ydw?dwe^cqb?yGVyLAy-!t3JhMGDC8|JuFC+uMuV zm-aS6g-+&`-2kcvaaR$!!hdjS!Y~nOP>bo%47P)?4m7)v)rCS;Wr$h%41uKr*FkrI zOJ>;>7t`D#WTZ~J_0+wN2`|Dkh8QV|aFn_XF34P=S%#`T4f>iF zA;7Wobh>obKMJr{$&r$+rP|XyN}oC_{G+43mV$2A6sQ{g5MvA1=j9CLlpTYX@(QNd z%Zk#ymA8qt5HUvjOnptzRe}2+z<ct(}WI7fs6xW`FHaf$i={oMDQ?i+}Cnu&uQpcc{7&br<=k8=@>WOgw-4O_K?C z<(B4xOL&=-A-YQYBva|+GE;=?cH=FuSiyU?3RDJ`Ianp3g9k{+@H&X~)M zXbecUcfi)#dT;*dX=t79MyHt(1QLY3WI6*oSV_&KGg+%E1X{KF2r7~T=BODefe0pA z)2PAYX5mQyiPTsLWuZN|n zQqkZ_b4AE=G@z3;)uEA$@tJCOgS?J<+|kZzd(`#RB*TfyrSkIDdE0ZmCj&KidcE@7 z2GWBwRj#}|Qc$D|1y{0rYRH%B^O`gu3Z!Ke25D+2B!~r%-{!ruzhP`LC=yP!?)Km@ zuPn9JI$xm3zZVQI~!xKNEv>n=kJTxA^t zykzax0SCe1fTQ))z4o&)GK9&oNYqvn3#C_7>vZI3GH$7iRX0>W1qyhPJj@xnAqo~( zCwM%e>a*2RM%L$7k9RhBC^({>p@yjYtUGeFe2kmVupZP{bt$Fb#5|v)l|h=c$_GGiRmhQoj|9 z*N>M?1tPdm3>gUI*b95|ldtY+cec;9}OjKnIMq+sLeW=EOE!Ho^v$kosvqOnxq zVqlOiFv@@vNhR_Q9VYS)*UiUI6x6qr_j8vFZi(tWHgfD}O)!TWOlt;-t=6AXp44QL z8dY@uW)-l=(jjj!-YE9@>jKBaDJ|YK>pSDYJN=oxWygYL0j{8$fVU@jHt$$4Jt+Pn z@lktKmb2cUMmDNYi$h|Zy!M&e+?8iD=hR>u%bP2$dkYJfc^GZ4US3^T`tx$4H~G?q zh3yO5OMfm1Im=zqlA>r{W+Z>yQBnL#mG{Xk|c2IVA#lHam6K z|8X6Z1Q`x^=}vGJ+XdHz!By5V;g~3<2D549Cb()wN4ud#)fFcGV=zj@xXL+I5>Iu`o&H8jFO9|nL4H^*yyyXukGN!U z1|ma~wfFHcBXAT3TB@AmL&pP1tE>}84y9IQ)B{V!@kn0Hk(?G{6A}B=cbIW%KupgGO8V#@?E?KxPEple|aa@vyzi?sS8iWCnwnwx%hM=#f1{MG-2^?u@b*66l!uj1PA?Ga|5HQswO&QtOgul zQXd_vDtE~{@-=`Q9ZPM8(y^e44&YEfqD-9SRfA1u=+TUXQk&c$o?@XCG&I!XJ~BQt zF6$T7%W63M8i6a)`4=oJ6|tPqHFUNI>+5>PDX2qae5wlgZ!Byn_Z3RTXJ~83iWS|k zT`w~k=Gd6hu>4P_%5oxGFE1>6?d|abU>r6!7W+3#S5~enwPoZcrw#CfQY3M|vmTns ztj?M%w32MvFwQ`VN}F4W64BtoTZs&>)#-K6UBI&LKaRCfzCG=%9B`3^tN5JuaX||y zu8EGbdh1h<6m3$Z39_7U$gM`v9UeP!h%;n)=V)HEN8d&;LUl*rD2m%}Jl)p_B;yIm z&F1xZg`A&7{vXnH=i2hB%mRTjDq9q4kxzj5*zse>k3-rk77HFfrr4GGm@ckCYNWgh zZx+nVOfw0+rm-f&yVV?>+ ziOdiUMgt(q%?(liX2}XlhKr6PL)>6wlAK|7ZUUEzeiLw63l=8E)0pYw&{Dz7f(EQa zfB`J_QWTn~5Kw77(!5GUhGZ1am0>SKtEO^b;MA$R&vr9^vO7d{Eyif_V44$CZEpSP zdFmk6-d>BAVNqV^9_Ky%K%d&}M4f(+H>-GXX}ajnTBn6eVsLN$G%#Kc27Jx161CMe z`>c*g)A#81@ktRMGkCPp!=EAz6({w~&!_zX(M&b(%R81B(a4VHoY(vKoam;R$Q&kn zmfeN*!K9o8$|6HiKsn_3fzt(%(=@R<`n%mnr@It`0&CkEuQ<^(Hl$M*Fl$76*X-l#tC3g(urWE97R zV3mmCjj~jVOPwXi?D1@2++A#9EuPp*Sc#~+z?Eos0ZVXUEyXneu3Jxr+MWZ8(Q{`8 zWxVctZ@(?C-sbV#U>_sI-+PZsDj8{kLEwRdDv$ubG`zQ8XXbxZV+^@g> zl+bf+9(61i{ zr)GKgz?G>%s0mCUs;(KFoqhIW5v)Ybo0|#?tuAXzOmT6V&>q`CUK^Y9uWkZIaNywTRqHUI-^pfPz5wohaC~qNo3G5&?1YMwqilfVjR(e<$8fge^ zc1;<0av+FM=t0R)#EXYAEQR54_9{|_ZivIr@Ao`;nxNnJZ=Sqw5Bc=>dw$RV=eD-K zY1ZqjD;H*~)hAj{kFNpm`F_r2aXDTh$4W2I(yU7k*PsloQNs&Qs4=1LPAgc~c^7I( zq#-fJ_U!idHqcJ7O7go4)1}R?g%7{8Ozs?AMmykAV9XJh2{HVAXJ>Qsi=CZyL9vLI zEiGPRIH<@06@0};aq-^wdwY8v`>4}tq~rVhB;3+xZY?hI$SotmxPK$DSnKeB(f%H< zB)Z25@@Kl;jm~h&QjtfFQ;(;NZc4e*bZo&%as8&Ef7Y=f3XT zx%1;+FP1;wdhWTE(bqn{5@@xa8d-g6p?>u-EaGvI&>GP$YXWO1G6a^zg{*ZcG}ry} zxNt1I6c>l)U*ZhMP9Hl%;tVk>V9l}%t_%5UzOar;9LpvAR5rxu5*(UneY3>C!KHQ4 zrX*coFUf!(>BqLSiAXFh^HOHHw9}WEU(sJ&gu(vz!m6@g>!f0dgxr4*t}n19a9uBQ zz4f)=+7n!~8oQrf2q(ZbkN~b9TImi~#mN<-OI-oTW*@rI?%wF^DKDd4(ZQg^w1yMV zcf&-#`uUwRXIib57cb>s%w4*$(s(@ZOsi#a0ZVZOhATA8#nS3~L$xg1R-&+Mv;i$^ zT!R{4;TXadkf>1eF1o0RbyXYr8CGQq(YjBMjRr@#98DH8p)x5bPtmLp$^a*y zFJCX0s|`^hN=mLI%=5CbFEKDG7FjCRbP5v*EjqM3mbor2maYr;9da-_QR7(=50HgP z2Q=w@=0paSUgl~i0buJ?ye^#s>oP6obTxVY~-LkG;+mMeLwOqAhpx$7rb zm&>~Z*J*?63IZ32)hZyA1|6GXNs6yg%F2dBwOoy5ikYb3V$Y*O1X>xul>w#?F|VCp ztJ4@-;Bu5WjWUjvyMcO*llH2#Y>7)L z9YY(RYL1LdogOs)nu524NeAEK1y63%3GB=XtVc| z#=6Wy0Q7yOxy}hmq`a-GUI9gj1St*Wb;_jFNq>Ic z5CfOC{G-oD$+`?Kc-g3s!x+|3eW8}OY{{z+3^`yd(`9gRX0wvU|I-7wCZGi`U}4*9 z&G8FYKcLefv>>LqvhbP)M!nop>nc;lB-W)l7b+CQx-wAVyN2S*;R}5n>3TuO4Dm=Q z0UDqiOaE1+diFKJtE7%m5{QPhdRfJfu6YpY6DsYKX;0g;aNlJ*) zb3b@t(WK4Z!d-YR))bbGA*;1X0hg4hkfDVJtP4bnOTVqLp-Bcc-_D{WxTH0iiSu7Q zEwlvHi4%&;HFW)#7Mrlr27WFgM~gMO*nu>NN!O`OLdGZAEL^Md83qXp&CLN&y`lApf{VWMNv6f zf2g=_w+H2L)vzzc)n*vFtV^K%c-g`AvcZKcE=P-#tfv*R3?En` zpjF64lK4wJC~w4AlmmNGT%|%ZWM_WO#WFs)Y%QZ-k(pjn=|r$lBuQ~K2sMP4h_lkF z@#6!@Nd2EwTH*REE>S8qHz#*)L_^{nxF&!t5N{y*L zu5qz08Di30(Zwe}8UNtwo8sQEDN$rPnuSHKkW_vk%9AlsqdGmEe!&nKpCIy#Hr5s!;F*N|V;AN-OM?6yN z1O*qE#IwmEm5mmKWrQG0M=AsP^;+@7+YFfC%Q^UOU;~JqxV6=}*Dm4U_ zUG#rpi@N$~)XxepZP<$VRCz~ZSX6Xcnj3RDqV#O!QYaa##-yn-hUK8#bkgTjNVZ&- z4i^jpD`xovx;|nINZ{gk=TY()zE2Su#xik4WKT4|ZgFW?0UgeS8p_Dl==O1Oe-23n zeIJ)6n)AF=+&V+psiae)S{H>gm9ppvE{jE|9a~r5;y~(k^@B67H^{r@f%TcF1|;75Taig1W^ zQA*C}$OYW1@8jsgnGj$yB!R00ByKC2C7FCdjHwdDN{W*ZGY00vFCO=O@F1zN4-#Ic zQ@}|uXyRcuC4?V}Zb0b9Lb|bJ<+Jyu!*B3#}H8a<$1C7Z+FF+SsVC)?0Pj#1>Xr(H79H zh7bmEYa`HD*jQ*)^LZg|N@@*OQLQ$J{BtMXE0?uqq($^mu5MKGtyR9f_}rM|@nSG&EzEemv+)b{2_HKu%%!*dK6A2#+bz+@As( z4#B3xS;sY=>Jlf&N;!1t)t{dgCvWs_BFe)y`$Av#ZuV}s6&kphymz-}Y>gO%WSUo4 zz7$?$U&;#edhIPs>lvhZ*Pt&{Qm)Zo1WLdm2XKnIy(CE&$|zQ`sbg& z5AWZ@hBBPzu(NxdqKbZ z=k5D-cDk9*{$_qNyZiRZtpIC`%U>F59KLjtsG*4%YfPm6R=NRHIXx`1-~w10*`U)k zJaYGuSb-;a~+^x%k z;Q-5T2i$ph`$uMo{`1GJzYcyrxcwvdx&8Xa|EH>#IaB^nq+DcA`oRKgCMGcj?(M~*lNZMZ7ioextFT+^Bt^`o1gy33jAX6U zi|XT*;QQoCHstf%%EuMrtE-&5y28t0d1k_0{b2s}=v|L(4MkXENj7w zmQHz{Oq=Nq;kE9BEcod{v)0&OwXz z%&nB=Q$v^9A(H=UU2y@6St~;(LXD)r3jYe1kD1l6qHD#ACZJ-)2a}>=QQ=+3hezm0 zi*JpO@vt4JZn8{&x%<||)$M%w`o@(+OQg6=Hee83MWfY87Er)1vjtLXB|vSS%id~q z-s3wa0qu|kT(;37W!T4CNK9EAv(5&LlT8}4m_Xea$1{Rn_Cg#>gR0Mz9HmOm9n8l1 z^gopf2Oo<-*-219F^Wv#Be;B#u{G#8s48o{CHxzA`rnh2mOfkV$rB3b?W}78axsYXysw zQ+-@N2rhQZEkrPB8t+>L010-26lq7R$3V2GalshHox~Dw#p(|`=TyRlOzuDc}<2IOWeymVyr`VdaA*(2j@T$O3Zniq5xR_5(f4K*)U%vQ)VRNS{ak3hhu_eODHuVN;jpI7W5 z@ljPsZIinNqScbU;Huh*Db6xm%M@3oILUB!E;n|dJ_3(t@&QdfueiQ&sL?WW+mkzhsdO1^dI zqQBr`PHh*8!@5WT>6&>^;G-8l085Svf()!YbaEX|XuP)itF`+H{Q_8w{Y63i(1<_`DHsT0`2vpU;=`c+;Sxaj#sq z-m^w_kdp;k)#h@;^fVW*Dy+$yrE5u=AbY_(`iY*zL7rg4lcA68Qta{^Red8FAdp>3oKZdp)Ay(Uf8m+ zDrBV|#ZO&iJb7-3RyK1+G_5 zzFT(sxU4DoT;OL`Ien+RsG&j zWt!JoHsvhEc4Z4xRjhv@Dpy9PoEA4C7iTuSYmzABDvaSS{H>4tw0dkVdZ!e`sI6F= zLn=LTPKhcmHj~J|N3O9ei9ERQEmRWcJqxX8KbkxjNS$R;T&jdB_*nmuN!AKZszQz0 zX%_d7x=9Qg#ib70DC_WyllrvO#Yjsuq^KTcNvsebgOSDrL%hcWuEdI&Pb7?320LC1asdksf$P}L4s*iw zJ-D{640JIY5^D43)@Z6?MsWGONNUY1E*lY(n#PZ~yqo*jG|)7!xmMnoH>u>UvCbZE zEW2cBG^+gciMCA^OMm#`iOlqIQ72xXvS+O6<0`jKxV(ig)oGj)T!2k!)XS#Y&LzrP zJx*Ca)6YkG*9!uraM5$+qbayhD2ya_f2DOD64C79VA_y=J>j zfw-O(X{FO@(FVB8CGk>skGJ&}`WD7OX1d@?jC12`c8)lqc4NlYtC`vN$k)5j=vczL zkA`U6)CG`KUXN^=rMyf4-zbm1V&^k!vOYkjdu$o87g)qU<_Jg1Ljcb-6H*D=U#H#| z6#7*b(IpO~&mCImZR*0s&5hNb{Jt!}9(-Wz|8YGr7-&q0(s-c;8UwzR0*weM;j3|N zuJiin7dJK^ym_;(3_k%E&m*kf_Y%*|wdUnLWf||XU6dXy7g7<=%E_}qj?-&WAKK@! zeb;Jr9nE{YYxH5b1!H*=D~7DwG9-}8geBKJ+~oXX&(tHwejzXO#%pGdT@gGQU5GBd z(BvdRB@+&4UpPyavE45O*U@YFT0_b;_UG(9=S;6_Oe0b!D0If%&;XV13XqV(EzjD7 zOZv%*%NKoIvlY0=H^GtYX(pxSsBwkYMiKLTdSiRFCs$LygV%N#GNe%d1;IDSSVvUK QnE(I)07*qoM6N<$g2e1cVgLXD literal 0 HcmV?d00001 diff --git a/figures/vga_show.png b/figures/vga_show.png new file mode 100644 index 0000000000000000000000000000000000000000..26483e19b0a8cd2ed02ee83f405848215b9d8fbd GIT binary patch literal 127944 zcmX7vXEYlQ7sibsb`r5y7GiI;D-jX1_O9Bi)Glh&2ttk6ySAFGDr(iY#f4|TrA9BIE0Ot2PVb_WuONN3c@5M zC3#T-NJd6sc?o7Dr=Tc8n3tPRT3A6rUPE3|7%8ZtqA117t;o*KuPDxe78DahN;5M< z5q#VX43cuPXmvShbp?!y>{SoNRTU)%AD4mzT3t*Sp(@1%lf`K&T@6!R#e-B}0_tjN z7>wpsAq~9%NR5T%DxFzZ2Xj9S!g{#fw6rkNH_*9C z2e8S&v^lwNIoez!TsH-Qg8e;AZs_S>le>M}1qAcNv#?;1a9v4p4ke_T5uOX}$I8Wq zlDx))(ufGTjpjh|Xox1n-qkcU&dW?lNxWx#%R)gx)Xc-dHQ3KmMbR98y(B-Cjunxe ze2+zf9cN?d$ikv)ZRp_d<7sYa41+n`aSn37b=T169 zyrZE`oQtg^RyD@k?b4G?TIqUnh@XXxMr8>(EIB3s4CX<*)>q{T;-%=JN)06s;8FxD zFOSwodAY%N9(cQ6)$w}kUB44-;T;p=p{xiJys3ZF(J#!Ol#^K;AKBgd@M@a#7Qf(K zW9?XSlD4JC!c4oHgqWV5bVhM*Wm@9vu_q2*R&jQQ2&ITy?s_A=k2GwxUvySyxmjOz zN=^4F6t1d#`C7_3((AgRU3FN%r8Qrfhu7*{cQ9Ji%)$3^MEy(^ z^GHfw+C)J_;f{a-g5NFboJKm>J9#*q}Ic_Dpp>TR58gMO-<4bi?<>i zyD|bbMmM5&i^+Eg%`_RU_RcdO$+mklSkfgJ!EEvZ(-JWJjn!Zg0>;GG=h+;$+8SfW zq;JSlIxvH===+*kfcPEu5G3hY!20gdd0D{+;W^Xt$k)NI|6S`jzf%4!Y!`tJ{r=*& zm{3Poyn9}aktEy9j9BBzx04`C@lhq{%|nmQpFQ!Vrt%C~k~0f?4F`&m=?|$oo{B1x zB0BWwwO3ju?zH#!KgRh9`E=x>fAQ`~CU#0LeDn&nZ%I#ohY#D7Rk54!inut#KX2-v z`SuOGW5?UllI0_~YGc3p-fm?Xo4&YM>>c^@a&hs%GURi2@RNh@xBl$>yYb{j^uha| zZ{O}M89&i`|3Z@S>GdY*{-c3n-B*TXj*)+Iv+bvNLn?B`j^DFU75yIL&zq+hPs@L_ zjIpHGJRD>9)%#i5^S&m|uY-GTp&F9iyvy%q67Z=@qOij6b==T16(P8-Ig&|vuqKaK zaffHrr02c*r#$gQlE6^PCA>(3$p4lGSP9ddW(hNH7j7YemBq!ytLMCQZr^rqg1hZV1SW7Qb28(-y?rH{wYkM}?Zsy?gIPp}FRMv6PMMOlkTA1tU#eoO+W_QkSapMN z10@@CPErQK)-|%DGaZsk$H`$O5P5w5FJX`FQPSY6=lGTa85DufLZ+-%^jjmMb{M0D-w4aOVgSY+44L07VwvVYF7`h0R>P2m|g)5FLmQ z@I;8qk199JSK(?S@}btDv^kCeo4&a$jCi?63t8_chrYHSP-bdXA@`i%-*@Q0(h8z> z9Go&31ZVU>k6zkR5-Q???~7Lah=@JmF?2yPv))Wp0`jX=v)kMIjZh;ib;iCw6NSxL z$Xan6s)dWIk2Sms)IG-X8-;CEj$# z2|96XKTi2~;7tuyGl>(GVSET(Q5j}4_yQ8)c*y~@rGw6z^o*uH_~y^hAh@-+*QmHc zt78sq%ce8=TsbKHC^r6JGOOSFV(i*ua8x+3WD2)V znQk|*^a*K}Pf?rHa@g`|AmC7E-R0K($Gp@_@DT{Baa5NH6ja4|NN8OX;<=|Q^6L|% z0)vanFz-Ff*GabBuN9Mm?`9!}Pwe7Su5!YzM-pV+!72Jz_?kIEqU z)!u3K$e(`9)XHZ-{@7iXaa(^*ks_eYVbpyF)7; z@|DZkH{3!`RdIu29Ye2CHh%~`uW!vA^R&{!>S=<6t~(rxh5DcT$;8u;a_Q%DEMfur z&;=^G86AEfwf4BjgGqs$aUjVC=}Z-fOE^J}KnafIqSusoN&$aOWQ#wEP2KZr_i1Jo zn^xI$C9cHBgSZ0avO%GQXNlUn?+PKL<>wD;Yike24qlAui0CwvLsoxFI7A(Hgt0`` z5R|k}peh60>Ly@I%(m#*b4MGGAA^|72gO-7bH=lYanPg_UZ{(w-t}7b$Vv1XVlR$% zIF5!HDM~f+b#{DqO&`1W9Ss{AP0k-}T?;K4m(FA+6J6JsSD~^)aq{`$;+w-pE!+7@KA8X(KaZ2~wsz_@D z2w=&joH33?QLQNT3QS01mXC4KiS2ba1|Bu8hC8~vV*D-H*&k*BBBEK&iLsE{XlIsf z1-)WHZAMp|JOh~lSEvL(Ht?0^-8^i*5Jv{w*@|ya@*K}x#nNu97 zw8oN4^40x!#%$PJZ6q#Q{{}${nGjmjNy9Y$AaH$tHK72eMGqH|6+)HMCG?e7$X)+i zn02I{X2;=Zi$z7i3|F#|X450%+_Kot_F0aKLAn|ss~x+AycSP~5FDY5D1yf#4kSNy zh`8o&og-znJpdxH@mjRy>2|1T-%%}K2`TG zG)Eur2C3zDTGMiA@{4EW6AmJgAM-?^b*8f|MmjAAwJYlD;|lCX?#Bfiik(Ke)r#Xl zg-@c;T7Bm0!qtO>caK|)`hJXNe5b&Npq0|IhbP#dp{->Sb2G0+jNw$Qr%9$mD#>YF zP|`~iNIjF9o%ZA)_>r+V*@=~V-zzmJ`-kn1%Hb5G={SQHC65T%f-kmC0D5+bD^2K{ghSw)8M>;*1q+HqA;g4#M*l;U(L zQF%8_9dyk!@z577Nqw@>tV4>0`HFP;V-CQ%YyDTK1#Cr~H-jkr#Ud4JbkR_-he0Ka_H_oE7cqDxU3=D&kkUpJ(!!Dh-ZfI98smLmfmdxGaY5|i{o}H(6AB31%`>F`UDk}u|a^A zFNX^%p>{&0CJfT`g@T7IaQ;Xl**GG1B=Mk74%shpyU~PhO}6?heS9q6Xc|vCY;A0R zaW~(~nBA7+H1m4w`q_08dR$f<^3hnu({6Q$kz z`J0|ye;4zilKkGShd?rA@>D;)cSn#f-_D|tG(6lH(7BaUZu3Vh@B=__LCJV8Tt?k( zZ%P+MvQbL?=5}QIrGADZn*7Be9eiS`b@EF7hIP#Or=LYAr7hne&V7(P$#BtkCYF*8 z%P|^GL1dbHKmCg#KcwphJSdGh6DTn_2tMe`NZ{!&GhwCEQAc1VG-b1H#%{Lpa&kd3 ztLr^?Z@hW>ZH_I%lN(tAr$AuCX=S-c%fjgS;jaG9X;x|d+1M-#vpHjn=A&p@eNe54 zQnO#ZHnSCpRwkBr;9d z5X})QvcUjJE-jFPL|)u{K|)Zy5DE&xdx9@U`@9C(t{mtT%`G|8Z*X2u{EOPywNs`4 zefwxI#qFAnNy?Q`K7jT~fSh<2S)0b0Txigi^xv2RuPwGSrJ1;xMcR?L zV9Vyx)>0Y~U*~H@W`)LbZfKs5B1Hu>&%e+Oq*SavPkasFq!yMHy?B3f?_|jilx4A2 z1WQPu<}IFyqaPZkf(#on5iA(fp_ss^=5(&7;Ht8bjpn15bIR{xD^%XeNkCDK3)lJX z2c1ocRN;olJ5^LnUHyLrG-ncu9mO;aXc(QttFU-iAyZInzL6F1RqS5*Q8JlMcow1MIOf+7F)$>VC_j=MNJ< z>@1uIlo=p)6F$fE9=CL5!F2ySHmY}Si*^kG((5uNO=Bdj!Cd+iI+gs9@72D9Us4W| zjhOA$fZ=tgw zE7b;prJHK;*w>&NFye;3avF2|e^UTI}j<|$HLDtWiRb# z@tUft&?`05*4QIF!mO20tnl13vzAOd!;LW@c{u9!r!I(ahxE6NG@FZyzaozk3J&>_ zEuK~Ya%PvgozsV0_jSCYq%^nevX@QB_nWv_q6rlF7VEXUx>-lD+ySP7$#db#hGNRz zYg8g$Y#^~6pPaJLv(_(9WkPlY%xFo~aZ`yneaTy%j^Rm7J}=277y`n~E$Xkekfh6g z=JiXb_Phc@oq z>KnQup=z3nrJ(U1o+N~+Q^L2_gZ z&THvk(~Rt-ZN!^UlvCPuVC@wHK47dkUpGrt$n(4`1+~3PE8xzar>sdy5_4UFC~=|r z-5$b)6+GAtcXxJfJS;6KH;+-jKCyfG*-Nvj{M)b+U*Ltkt)a87J@=yWnhsq_QBYIU zo^Ecj`fKuXYL^qWcTy|s7Z|&a7M4Rg;xu6#|1;;BAkP+j6wIJZOww79%HPx+I|I*wV@WxI# zHEKo8p5!;&)a#mb_+U#W=$T}5$e1irXqjA80nZ;%st0Zd>Z}MH{+%YH*sRtAazS0*jJRX;6;K$kTG8R3gS!H7aa4;C1ru-{0u;Opk7??r8&R(*Am%-^D{M8@&t@@A; zQ9_p-jAa*pzZ9NQ2~-c`1hF@U=RTza(1QJ=Sz#YapXE+L3BHy+>wCjpz}Ur_ z$BWEC$`^CoK)n>^EN(6DnE5bmN13@?g|-k92uhRARe{8y1pG-$g*qv2+cApNbV^Zt zA_+-zp*c(MI_axXhO$m;H#W-Cfct>cL=x?(JL1tbSeXXeQ7i9;<^tgA$ueEQ-={zPz?d%76z%{2i_TG+pw3Ub)+{sah^a+%sm}{$ z5~vhUS2smkUZ=vHB;S(YK}7K+nQ@uQm1ZlCrTep9Zwn7hN|Syxrdx><`yRHH5VAdG z;825^Rt|hrdyJ`cmYcv+j#-tmu?Fr@!xdo-aDUIddSS$)}UH`yp)V`R-LsqW3v_Ps#1(hrt3u^=tYja;M))2ATY0+1CNvvHAr=l2A-BX zM=2;}3rvFnO}mnpWi+7}U~%VrtPla?EVelvC|iXaN)9zQ#N#v4Gf4SA$i-QdDA2!c zCLD|>!b^GDUs>8@2nJUv)k4`D{PoY~^Iig6iyTlZ4CnT0DqJVKjmfNVS0Y6OQ! z>)_HZ8&5mdF(Y31w|HETR4D}CDeF4M;`YieJLj)?{^l$~y(Ta`$F1AFh3x>4-d1gm2OO1G=EVP}H7~Jz8SEr!c4Uq$KSC zP|Xtrv8qv2sPXZu?qDCU;L{HtPMbjm7;pKdE&1IW$xA@!yBgfKmc~-sJo_7wSUPYT z`7&221R(g5l4zN`0dYuoe=$H1D zNypBoeijNz*2k>zZWi$@G(<9~|4#6nOHT)P`vd)R)g@2gj!m z>Unv%Qxbrq)CEJN+*q7};MBkxw%UBcHz_OR^~wir{GDg!e%HSnH_&@^Tp)h&(qz9Y zRb9rv7r=@X{!B>KVrO&UW6pAc35h8&2yi>|ldRhH?1-J{y3qJ{Hn~x@8yxl*?0zNp zVun{|92bNNg{U}%9fy~-^J8z-WB195wUE2Ta+c=wKK}=fzD{&G^VHAfdC&o zOK?;%|6Iv48;t7Q7QoaM%hC&OplmpI0?_~1N+|9w!rU?S(j)w$783FLzVoR(f-gA2 zHBxQhx>?djTz%q6)ML5N;$pFcw`)Ub#&IldTJd>YLYEp9k&`KGA5Y1iKY3n?YJ>-F zb7}&(X;kzU z3oLE!arHWT?)dhO_I``@)r+1r-9LA}t}*VP*a(;MeNnu>82Wx#9(uoD2dOOEokMtP zs`1IzeO8)Oz^QQe?&HJ1E?t8U0*XE;W|)*GCeIzEO&k00TpXLC8@3NkzTa$8E2&&6 zq$0^#oMz1!W&{UG+t~E>B1xy4jbu=YOyv{ zYkK=N%N?Kg2~g#1z^R#VjR(}INwZ-*xiYKvB#Qy`WwLdC;Tdkks{iWpPx<{zZVR%S zK_AmvAv$Va_tJV8k|k?Z^n>iV+VnC{upi2sFq*l#Pr>?wxVm5d-t()AuK9mx@Qv?Y zmS{kDK8>zyKs0?YcRtI#4cR07l4MP_WFpxlk+EF1vlEbHmMCgUuZcFo3)gext&QH+ zHrEfKK4yp;eOr8qsOf&mJ-Ygo(vXhlR_Nii|CB!e!yY0RooD#2>Zgwb>EY3S> zj$W|$J-uYsHtxi%s;MbG$D)6Sy?aX$!GlgKn0=I!kP*JhSPiuUSO1Zk90FX40~K5z zC13sHdb$C(<0_VghrbeRSmEtXl`b(be{AGp+f}L>>e|LP#vEVsN-qv+Ur5VsD%h5l ztl{|Ru0~BK>+&QmOc80H1;r!G8|-dZY?k76*$WUIPCttAAC%C{pJ8CRxC%L_-FcnYrE5~X*nAW|YxjLJ_zs6+^3CAM&Fdq#R_`?Qrul{+BfW3xvlOJZ&C zyh8Sd^7K_rd(MWSAEW4;MK}#oYw`pW;Oqh($UgwqrqeNKz7ME~)PK`R%yH9hs!8a1 zbfL#Z$@aCTz3xy4q+W3~`eASBll!}OI+N>_=VdJ|r_b!Y9_=3UFTQ?Dm(kLE_b;Cu zLk*6siD5b#G{B5wFv+4ff2QO$_A5mHTG@URU2ZmW`F5wS`1ew;zj^u-l-Sj0wc-sp z={>3@9iNLZ2@r5N&Q3A3(e(S(veI(blQ{p$mCG3gWtI{34Ux|ois2@WiiJWADcplM zH(K4S@!4c3(U3&8oel5t_pm=5Y1*}T^YQ7eiVq*xAqE1C;-*xr2)P&Is`(=V`34d+ z58Q8hYP_*a1nIZ7J$O*_JY-#=rz-4K&)SkdCNfmv>gzq*qTXw8)EpI2)E(v4aQQNC zpzt4XJ+CGQ?*#yU&3``da_l#yID-J5JIbGI0i9y|4B>%!k`(Cm%+cFfib%K-Uk2RkOo6 zOG!8diJC~>>?jmsUkYL=-GFZI%k37IOcBWJ-kP2 zW-#^d!~2m2B;Nzy7aArR$Diqhrs0gWv{iC#W9i)Z3ZkK89?fW9GmxE7#lVpcWVlxz zbv;&83K@8N@q)P`j%TVc;*DydMz>R{;&j82Y2+WXL6X@KK}aY~12|PLH*dCH-Lh}V zy?xV27L;w~juTa_S3uNqI?rZI3fCAv(gTwCK6Z5}{A~NK$Kmx-NR?Eo$AH?i+vHhV~EqIYrbL^W_M26 zKUBOKd-apIa&1Q=$9!&Ir{|{N-i7h6TYDa6@i|(AkGR5b;UP=^aKGS-uf{7)ByDME ztWI7Tz5uIq-;JO;KBzJ-A^#L-8_`x9pL|`Ip;f%{{@%uNBBQu!&(>hhs@e^vknF5g zG;?4FjkBcoxdSj6;gb&|nym(BgLl*;zBSXDZ)Lxlj3BuWV}vxHRx)^z^Zwr+US zA6U{I^Y8f{&-hHF8R!(VsP&$oP?mYUEbF==`ewHix#31!jlIaQmlpQjIVmMX%fW;S zC8=t1NA4fCX1+lc1qu=ZetnnBbP2;g?76*lR#evV^>p`jOKP6yXU+TT-4nDIzdk>I z7AyhO!~e?C9MahU_mOd0!-HD6#SL~#vXsmW$WmNh5%GcCq)A>usj)o`+k92k^S&6r zYwrR-FIeA--n?+^iTPJ>>+#i2&#zvKehLd`z@l_)`=Hk*rc$hwMeL6u4QO?m|_1LtN8?XHj%9GWZ92ExEN?Pq@`tCuyB=rE)dvvewdGMDH6RWG4f)QhU z+lun}2P`-GEXbze#oQh1BJOjhUq_Q)Z3DwN8BL~;p>SQ>5KcB9=r~& z6`>7RHQB8D@Pzx;?R!fbWHNrLJkdkb}DWeD_sdG#ag9>h~n8 znA56ZXyUU2IE5$O$5F|3sC1R7c0Tb(6BCbMnnf`o42z>nV|v{30CZbcwrEwCg@67# zPqcf8uZO$S&x3=5vVy-Qgha4TeFo5G|BmJ*H4=GbA{h%)3qPmOX+|fo&~}Imm+IYp zm+?5~A*Zl}4C}(bC{QXag4(bYOoi7%ja_@A@$Yd##8+fG>+bcCf8k%}Gp%dOb2yGq z{=E9^|BhXvX5ZbfIxE@48wZUn0y>yn?wE5&t_uwFrO9*$Ngaq4wo4MjdOw$aGbV9m zC6^LG@G1iVVSnqhJL1Vte(rP1yrxS4DohU6(HPPZ0>A>c!lvsr4YAb5xja=kOd}dS zrTcm+X?zAY^ahY|Agg+xfnY-?$Yd5p*xpLkBFaR+x|yGpGWyEoRLkY!NNB|Ec)r$v zDdcCPT;Mr7hKcsA<&Z9OUQcqY-qM}Cd3NY7iuiQ(u zOmDcrPOtPvT}`Qp z#}a=-?CyX3r{EKi)eaJ>+R=Qr%P#+%b%)8dMxpUQv!>iH$?5S4=3Ez>apwrmCqRW5 zWBSW2o=ZVFM3b=eG$0n_$mT=_=){v-Ay)aH(qeVx5HjlI@;8rtPboPKU*;d5w5Oq{ z71Y~*v9U0sdItRDHp=wAglB=cn4XirCVu_;_5AC30WSH`aTf8V7@DXM7JBFI9V->j z)BRe~3WF4dIY4IM>ahYhf2v6tSbvDRI~0_Z;%F`lamI9VbGs$X!5USkZB~V9II%v@ zr@R)w3v95;NytI(B~a-{EZ)xXfl{aFXZ_$sr#ztCh}!b90aA-8a7t5x*qbz)(oje! zBZIf9Q5>*0&=DLDo;8VMt^F)lqMOCdZKVSBz{D!UD`bs^w8tMLLe(c{`6>o=ErS8( z;CIiMZa;YNzPDfUr0(qbtr*6Y#s1sOjNRh};5gi3H%Rd58(4L9!{yq@T`nU6rYy8AVWzj7p{JaGP0*F}tnCN>H$ zAIYfuVl7fBA#B90e5?zX#hbUegVb2x2Z7u?6YMStm1#&8N+K1Hz*fkS^Ct{uGm(|i zTIw+mlUW%MIX*&T?>aMoc5=3%Oa$tp(3FXlNkvG5kN`c$R#SZnwhQlPR4)^*>TyI| zD8cxS!(G;|d9baAPAFGN4HVFM)^j%AWEc-AIze&(r3!PW=%%JQE9iMGhk%~d%R{s* zxLes-=I??1vcuSS772XGW>eZXdPB3x_tCh#{CdeRBo)fkenth zmB~PU8n2s2Lq|ifNoxOPnD{SEDl?-5hD$T#MjAfp`I4sWixWA}gAP;|gne^R!5`Z~ zFB~qgV_i|df&`S25dEIA%tz#5bC`dbYn8BVt0#DDXN321_sya?+UNSC9etM zf$IVrQ5D`OrChk5?B-^5_2$fstLrmJZ5*AsPv?2hh9pS%*wX;Z!KDu>qGA;a0mX<* zYm08vJY29%p~jp(Gl-64{hDy+qvTUsiR;bu#W^CG`pS6l?Ya&avA^O!@lewZUGhkn z4=ftS9hM%=kg_ofWv70MCFUsnFzlX*sz}up)Lu0#r@5p=8fk*OOca1S%Fm`8a0WEo zzFW$sd8J5o+*?Mod!*ea-3sLPKV23DDIA_t_ZT!(>AON;PHh{5;ytKXFT?eO+ko)D zLNZ`DB84paB{tK^dcr0yX?YgxltH6B)pzd~KF@~j zJ&FhYCc7a+uXe`hKf7`6U^LmKnM!*MYxh`IyQMLF zvdxT0$N(yxOb%iE^Vxe@DENf$={)<(Ezt&5yyS>Jr{%Qe* zdQUd~s@(&2N%v7gaNz+>1D5`6^GVw3U9`}?cs6&z9I;Xu1^fkyp_5D0O=dFco|J;u zc1qJAjp~+s)Y&PsYqjhPtz10&6lM$lWo>w9*?F?<)fx;>f|B+R;7y)ZO-`jM!NOMZ z?j@AqZh~yq*W@jDY}Ekcb^GA?;Ov`OaWqPl&XI~MI;doA?XR58;J0L|W1)eR2S#iw zUZ9{G=Kt2=iJ%p_wEe}H4?LZLbhh$YpD1djN)u!$(CW_RHG_m6U>$~@l3>YVd}69E z28L_lbX1+aS(|Y09(WOsHEF4{5=pGJD|FvHVEeMJ?QlP|M`Md90RZi8ua|`gXOjkj zmUhZOgsyNIix6pa?$gExH+yuH%$HBP%gK~mUzyI9*~=T`xkX0UIBzI@dg;asNq#lk zz`^OP5iTTEq<5L+w@k}1Ux&=10LRSk)o9V?b!k^~(YtHu5%{w!MwQG#&Qj9in)B9% zsNJ>+;+EK-%F*)fm|^WG3KB#)w{prAv_Zo0QXyC-wfiDdWbcrU1T9t*I!SJB!k#lx zlpI3@TMld$ZzX#c(h_W{iDE0t&S*V05=PFhr{1sl!J5?VrIVqnS+RUxQGXE@k|gq& z&kqvhqx_^ksK$0xn^s!)QED=bn}qIWHsJ|Jg96Zn8+jsW%V-`_9FfT2#>M~J=ZIp; zt!B*`2Vm1+@R8ul>`h>(D~18Y!7x!Sm7$0UEh?D)S!*(t3e22%n@7X#H6>T0VK~ql zJ6kAIs3#ojY7D+kE+M7n<;nZCs3IWuS>RY}YB-E4dpN<5mMy~kzCF(bK_2`JtUgMq zQlk|ElDf-hp<1&?0@=maLtFA%9UEPrt;t~ zYw!>XNR+x}=urhQ0>DO(OZ{RxqOQM5&v|Zk8!K&X_4)~Hm&lX)*-PR@Qi>J<@!x_= z*bfi4S9hB0? zTYY--El(Zy`S_;ku--?b9R|e42ru})T8`8(J{Y9S_I#p!4y10&s#Tg94*hYePgm11 zGy0Rdl^|!PJ#SV*KFjuj%>N?)>0r&%BvzQxfGl_8UJB)bmECBd!>KvzZ{9&f!-nZb z&STlvU^+BWHTPUp*K+LX3uBYweWL1RFq6^b%0^KDZ2s8GDl?~JqDsJ=2ks0%k_`nY zvrKCXKoD=b6VrI$`Vs&w3WP#+#XA&sjhe8Q(7dnEqzB|#{pj&9ffZKCHYe;#>^s}3 z`L-q75P~?OL{RE|#9i!5_|IXg#00dJ7Lpx4e0j1b-T(TNVw)J#=2#VN9^iqq2Lx^< z3IP_Aj3ISe-U=}_fux% zp{~*!m0gW6`~#;L&F_C6Mv9z%Uu+@57Ta1J>+CE4d!1T#h`IuoNDWdC0qrfTFB1Fj z`4Rf(8rxqE>VlNwq7$rc5H%hFTJBm?+3=TVDsHcW@4q0>Y{?FfUz6Q z@Hp_t`P1HH-OWu;brk&&E4Jm@5g95x?*SmR*}S@&6g06VfWR|>W7OU9>5ycp^$f@4 zuC}CGS%V2WgP4~D@*Fn5+lTF>_j-JINwOB9``;Dqy+u47q`rQ@xk|2RF4P8CPmBb; z)pJ7~@+Y!ZVrCsuFtOxz2<=-qC5y;d{|CDvsh7G6M_FaXKH)VfzEikP2n497#quMv z$VU1oZPm#7MD zw{HHH*sYrkoPkOT>8zQCZp6Rgp?(g1tB5V6NKM$YWQU?;Ts~N!S^tTx?>$`|#qWfN=GITDk-l6oy6>bgqd6!|21WG;-|=uayO*B4rPZWZ8!3kxJj}JhD6`00{BB zlyD}m<2Wmv4!5xE3A|=fTTCkrjQut%fx5?idho z05w+!aLGlZ;106zQ46@)qfu4|X7YDlQftOGTtE0Lg5qRkWof_#{`UjLaGOFS=9~s$ z_++=54gj(@rsvEqXv}=Fs@u4vx<5Hl=r1&S9*)#s%uhgZF4M(H4){C~3B1{Vy7Y`$ zTr>{!A)zQGN#n)niWVZCQG1vz-O-B%MoovLk7HtOn$HH!S?#%zY?IR7ywa>%)w#1B zJ{kA3C-bE?+FYDb)-v`#$NS?zTQHTR4ys7R8FB3oiL>d`VQL==fN!??_|VX+nS~GR z&K>aDL-?7Y)&^068-8q*f={XCA=O*Lj{_5=1#eAc`SeWwOC|4m7Ja@-xx1t>dhyYt zx5Nvo+~vfG3%a#t@YX4Qu&sNASL#RI$a+W@ITxlRtpghbuqc^~C3QZI&$6Vcq(t%@ zts7^Dq$vP^QRq)Ow*Kqz;zxN26qA%BLnWn*45ckWrj*Z)lv`CX9(HEBeUnQ!V$Qb< zWWZLnN=S%6b_Xe9@;HkDY&c4hqCgF^Z@q~GsFQ~*zJD z7zDzT@j8Zm`bzV9v=V1d#aV8}b7NoLmSwpH)l2+W(%$}1kRuy(Bttj%UO8KzJ-#$k zT`Ls3C77@NEfxR(#TR!A^Q;BQAU;XVcwq?&FF;f<&`ClP<;k8#0xmbl%@CiwGhzCK zMQem|4y8p}st2Idx8SuVLLAues4t7Vi*Qwo8P~9_h&s{ag!I_d1Z8fAz{&+Pg+%68 zU+XaOMK$+pl3UgI(Um|GlK4LQS{SQ2sx(%634~C_ubHL+aIab4On_Y6fmgbR4<8;_ z2~^!;h~k3tBDS1m%!1M)fqHeY^}4ksCN+`BjVKxFEVJiHDGovTCuN*X58V}7SY%mO zlE{K>WkW~5zSAE_`iHTBmYv4BPhf9wRIH-6L5PvIm)9el@^imn!jLG*Ef1{3OcXSf z@I(GUPO*s8nMlL$n5GQp0)CS%Eb`pqwHu`3(7)z8Hw6`fu0doaP?l0nu3&62sfGWE zHXg}A-lrr1xicrI0Gul3RhZYwi?BmD@3b6i#5L7<*#>r%Vg0P4V&0-Ugdc4=4(y2(wk=jc-Q+oC7$GtMD}a{s}hy@4ZECzsp+?`lb)P~TA`?<a9Fx+C7!7|4 zy|ZIZQ%v}zdTg_fP+PSgGZoVDky+wkvII{1zvcCM_V7;OW%X6-ahg`@_x3)0!HhVBzm!LPP9V=ev{l{4=a$h0 z-Z!`N1`@JC6tb-6o#6y^{*$;=ru(Y6mho4_0JrhaEsU%w>gEeGr?OB^9eJg~aXiao zab}JAH{oOVJlS5I>jA5sdA&ty%bbHXTR}{tWdL<8ZF$D51>m|ctV%^w&=vk$A&pgB zn?*rr$T_qzf;5yxBrFITPj1&zLWod&5ApB98j=P+35o;z63sebK`4A0*}sotg$6W_ z2qmZjr||ReO5tJczI7u)8nerbf;n@KTzIP~!(zCq8|B9@aA_X+0z3kS2n|kK;-`7= zp>|RpW*UzRknsvdPIV=&!PBvd^v-x0lZ(aMl3OG%{e%cg#2+VaflvF7pL}mt<0B+a zK0H#k;CI=6xbBR(I>(Hv6&?8TZOtX6Qu@1o6EG74WnkLgpV8AGB}P}7K1q|?!N+E! zMktLfXN$&Ri`Kf7zent$ByC7qP1jgOHB8S@j5Dxh0qDFTNDm~c_kR9CzF%z;9evtO zJX$(jIPC2GXnbwc2T8?^v$9Ow(t7qnbcmwpea*Vi1Q3$m?E=@K#PyT^vvms^)Ix@| zYtFY4T3y-LR^U_nw$j=$vO`I`MU%2#*!Vb*nsfr|D-M_{^Q(Ru#<)z2Y%M^>w`hF4 znF#8XY0O9dl`kQDl6V1Rocm$Nex+uu%dy+&wdey5VtUS>#)_Yk%}asl08^+&=~#Ov z0!hbn!dp75{=0&tSaMMC@APap{YoBVvn^tK?$5?fqMIs?mcW6?g()%?+2f;MQO=#O z1PzCgCzxkW)^ug$A!%%qUAz5rOZK@sHb2ukN=n zr10Lw0F4ZJNY^@Y1z_Z9H!5KoaOXV?6+C6qy{@Pk*S4AnlE!I`a#Mn1q9{}z$S+F?A`g{EI_G{1I{Ul=vi9qpY4H4s$Rw>m39X`Cvz|c@Wv%TJXxw@`@YgvNB^48Asa+=banJ?x}5YgXT#8qv*OH zq5l84?aaGFapo;2o3paHI~-BS78z$Im1J+novb^1HOz8Ki%&)>`>e`Jgsfy`WtQ>v z{RiGZyxy-l^>*2_r^7J2mG+$Pre~({?3p@Ny*MHcB#Zeei>W8n-60`Eu38VCHTTo zvqBu1RuzSJykO4Wuu!$jSEQC@RhrsQ{R|kB?a9(15uzwR3i^tjy+armsgrX7*41B*WMfg6lOm zaZV=O%M!96zeo<~#bXZBFi#QsWk^WTFHN z%*r?n(jW<$Ht?)Y8s~LIoIjAy9iHd&43y$w8)akS?U~;G2S>brjSpHyT$kWQahCaNkCW5ltVot-Iy#Kt-fT*z$esTJHg%mNgEDFc8d#4ztXO)=INlNkAeL3J|qx=4{}8n5Oaze;33x6ubK`t%z5 zT`?mS+2J2dLOQwpmzn{9W?VeuGyK2ior3SBz^s9XNIQIa9 zUpZ%j1^>FuU&so6OX*ym3l1}JWWxz0!&%@ShOBpM6>%lt!!C3hi^Lz6)>hT$&&RFv z;+TOogxI)FBb6!vq_O&C{-=rEM!MZ+N0X@lz9B%VbS0QQI`CgCcJ zDrKa+XW_xkwy-uOy|BNn3lGena9YvfM9gk=vkA^LNrt$<>&W{XUa0~akH38 zTvW{$Py*GNA+XQ2v_|eg&OYoA2kb+C0owwkXn&`I1B8@<+yu7Uqmu}E8 zJBuk^!Z&>xgd(17^sq6vnnF969}7Xz)ZKpc9730cpAqbDE7zghajirCY-zqRa^Mmu zOl0s7D{k(1+ZfcwZNLe$9>8vE;uy2KVSaF*W~GF^jY3jb1|x-z<^{|FsO^;3W#6Bh zFGK@+b}(_ETOsqG0!Lsj$Hk6Ss_zcDt>Bn9+QV8mf&9w?H7)i6b>2Q_9WM3-rtTxQ zI4EuL!R*|kWiGkuNnwl-M?8cTE+k z6oL7fJH6H~mxSLOyo;|>&m}xHKCNoF*mvbDkRs}^Y}-7_8HrsYRs<_v0XK zP8T$MvNE~7#Wi-DS}>-cA=HlvmdmVtD#1;~VRV!p6RJ;n@ndUHM!#o9{wK0_k4_pn z2ksh{S6b=^g>z|+e8kt`(M8S8p~tE$%;R5E3RiGK;Q&|_hF-aNGgoG1(=gy^xW`wW zt3Rh>Me{YqS+rhKfG`%rPP9T+Z|2Nai~K2nh=P2Gk&!^) z??Aqr%NK+^JfQxYcg|soi9+QU{K>{tJKP7zw z|8O@gXLj)wM=9SHmrAz@xoex2{M1i9&yh_J@aww)+8Fxz8W;)Kj|0tv)oz5FZk0YR zW()Y@Y_T7f*atdjxXr<3sxyi9lw^j60k+B=0OD{1y0YC@7#EQGwbm=GqdF}oFNxN? z9tI#*kdQF{CrtQ!SW5SXkfVte4MPqMt$ccw!*$?s!>ETn5xP5hdX0cYh(KoWtA+=Xd*;cXC=?Nb*6qa%708=NDLk6 z(4GWQJ#HDtUFe#2`ERP)rd@Z_H*jvgT;eYwjLcybzGQuG4z}|2Z;BqAFk+ z2*tl$DuqqCTx+i_&53x-ieB$QJCZ=JOYfHu#BFkA2Cwp9y5vV4`j9YOkhvtpj}yPX zR%R-eaNg0o3)frkcW+iLpLpbM3Wz&0Ag2ATuIuYiPGjIW-{!Q)e@Ctudz47}qSV*5 z5`lXOW&p!Z`5<4#i6xfFlQO_%vwAU>%P*~sD`WZMkcG_C5OL*oemX(kfc%LxYYIwjc zuFc{EZ?b;iMVgWVG#ynA$sANdmc zY*^tgJKBInT`4Q@g>`iThs&Frxisz^Wz%YHGH6oc2C%W#37MUS`Dj=v{1?ABC2e2s zz?$P**vNYaf`;Q_B)a>Cu-2%Gp|m<)>ihhDwlQ=M1O8b^I(`&p1H+$k@Q`UYDhtfB zuaAD>rhKvXYW$uastqvAKQK5HXOP1YZS+SS7eB*UfbKqmxTpkxK>(a(pgbG7;QHbB zClbl}SB>A-OOEbC!8_4Xsnnckq|=5exvH-E60`AtZ#BH2P2kd2%Mp_o!7q&K6u=1_ z(L>xSJ?P!NU6Fjg>{&7(&ex_<_y)u;00v5U|BcIaW749T_Tf4x%ZttFVPN3ay@?=2 z%t*t4wJn*D$8n4!L(h#q`!u!Lj#&~Iw(rD5s9lY7FtEN{rh10zlsJ$9n$Mz}L#KL> zcV7YgPA^=}qWlA)byTk2BRhN7&+(|rWE2t3^vCSXughO(=Xz~sDA@Yl_eF_(4^F+IN$pM zFqdba_U?&L>e97-=;`-P{zfxUC$I@11m=>O3|LZ#2I3}SkYH+w=S<>w03}d>8Mp$n z;71!)Opmq19!`b6wp4?m5?ciUnwK#h-7RLyYzyxo99!fM@`QA5Iw#JJS#xj(*5uay zr?=|M<6B(=x++oymo?(7T-zE564K~x*Gn!PPrgg@@wkj$>0bpktv@R9F03R47di3` zJpm06$K*aq!X_yZ#@+9*&2C^x7T7d)DfUsz~NtUEhB3_YK;QP#P% zd==BPS(h2siO;Wm;L?!$m(lg4GcmEj@+J^`HXN^$@B#e>)E7q+xMSRt8^|;?c;y>dn16F=-mCU1DZ_ESpA4!#IN+^u z*?BL6)3Zt*HXe*O(YarrY6k+i)M&XX*1FNfn7A9CdK}fRrh-Q%#HQ zks;=S5-ff<@-v<15F0xfyn17_&*|+S(JGPNMNL?|O!EfAU=!_9EEHYKtIl+hfluY& zqlp5=ZH@`-E$1_Y`P-x2M5Eud)xDeqWhn%)NJ265Q|cc4hARApI&St92UY;_sE0TM zvwGs)*B@R5qK?i=G~gEso?-FIaOCyi$If%D9Y&m4?zhI`D7qEyGdQRldQ$>}#K%9lp!$bDM&HxXmw|P~pYZiv3ch@QzqxPHZlBhy&4QvN2qk zpwi-88Mlulw%cxq^&-(@MvtW; z$*UuKAbD>Be6#oKY4>-mjiynEoPnOzEp-Np&HLvy%VEyJ1Jf?#D6Q%F0p-PN($VjO z*^`$!R%MP6p1bVhRa!3Bdj7V^0xi*^iRLzBEcR z3Mpfo4}*939$aoXJX)|nfs~o*cf^H-hOWWF{mGQ;@8q-FO_Sj`cR|Z9 zRV=rjLa-#0lKq35Y3v_wOSSH#?DuONn9xMv=Am}Ql$GM$c~I#d$iBw5<~#z`@m&F+ z`YbsyZG_MHpqq)JfHenfRJY;IvYn7;Mo!*s+hhB=pBEYnlo5p?5fXj2%^<4H5lJ@hm<{b^3h z>+IKr1$ZBscNma!3giyx8mEGY7`HKE1E(LbkZ(JD42F+$@PqAOZEBhjWl#m7{-3f8 z1Spm8un4reRL!v*-TY>c!T|@halv0#7o`?%EmMeRRj+e8R%2SNi1$9_h*^ZgiwsB2 zo!i($rCz7mHsb4y(4BETcpwyszT%krChb=A*Jq!aneldrt&ucu6r{S@TMu5Qsl{rk z3+DF#zDgy7tOvNkSF?P%qw2b1DN(`g(PwDu0vMM8iq4Y5!kET3sisv<-q&`$#ZVN7 zXk3RrstmJxC_rgxN8)tH!uW%j5=b+`f2vkKTznaJg}eh(>7`h~m?Yi{sZ$%z5)VG{ zJ}PLO*}bn}FrH?lST92+pSPzOFaf6&=hdanYcm8}9HVe7lwReuZy)*LbvPjf+Xr6U z9NXGBnwyvMu)>^?A_{I{29|xD_PdR3?setiLFM7?48vOMSuAl5>dDoU7fHZZ)N+Yx5v5 zDc`eh@t=w_jP5oRp#l33R97g@S3>693oq@k*@@P!72xQil_0#HC6jHu@EMlivg*_N z)yEK%6ru@u&V#7xX;4I+c*saqN`_WI{4h*_KVafQKl2x$ELsTQ#80@>)3QyG{B>mMoXzV8qIb=kVe(BMmB zlAG$0NkyTU#VjM#6d-8Yl@`$TKmef!>NW~yCU>>>{b)5#OCGk^n=JRpZIbY?;N`P= z4hL){2x{lw-UEKolEuV;;y{$VcLMMW;8{r(etg-nGAk0MEOB}ydFAdU{lD3a94WwG z1usFbx&68B^tI%ppLv~$9jq$~)TwSAy_E{=Q$EC_e^9{kuM@DNcT++2aQ}ufEavFL zWl*@My?dB0F3pJ!5>(Gp2r^ERd7XG5VDN{UBm;v;>j^ZtjOwQH9V_#Ug-|Z5Dz#P4 zA~qOPD#(8e$iwDR!uBwjC^1zoF=YmRjjq5X2^~s1@>wd3SY{Fz{wkr>?%TlTc$K)5 zeJTMg1UbPpJ>;vhx#he9f4o<(n<71E4y&S}*o*$;$Ph0Ki0Xt6Pd&jt48qp~N;x?O zB57Rg9=~>eGVdN91m_y(lb}miUEx!%u=trj@cUXn3(5k}u-Tff z>a7sW#m_%fC9OdLSS*c6b!*8&u;1gb28%)- zwX4w!K&osD%ro&pR~E4;c$qXy`t@>NM$pEI29&sEhI01)F;)(GlRW-N0z)6dqPzRQ zduwEFx0?H1Omaw@kx<160WPDTsKOvM|K7{kbe#Eq5y=(G;~i!+Nha|Nx5|4Hd(|{{ z9JW2+J;pJ0O`v5YHcb;WR4;QMO&qP&-mrmTD~q1k&L%2r?TZhL##}!)pP5!xzU8*e z4&N04J+-TGi2bQAm0%?A}icl8owBIZ6PbsvZl*Jqq)XDh?AYE z*RCkMai!&S`tfWtNA+(P1bUCUR9LE2Ba9`6y(*4;=i2uTXZa%HkjvZoYh>8fb7CRD zJ002TP`DR|brg3C`W&n&;B4-Vv$>zrj+Myz-pM4UBg90+jGA^4krFZXqa#>Qcfqe; zq>S}Tpf<*i9;Yswy0C_SA5qp(0Lsa$(`9WC7b{N%kj47ajA2C2z?A$Uo^c2@#3#=E zwb_lj7~j=bAbY~koP`~Z+wtpwBGo>rxX{Y>C~MEENJyyC+;KAsXaB+{coxQdcH_Ks z7hQn1vM0CW^*~WV6vz9@u;_Q@%$PL^&cLQ0(7po}s`ub5&$KkWfUbqv8~;KA?P9}x z)X#d3RdW{1-0o>iH+Mot&X28I{D!980n*GU#!FR&rJN~B=W_i$;QT#1b6AE~aqcr0 zl98d_!LLkJoVPFAH5;a=aND2h(PgM;uxXqCtltKl(2G-@R9|&*UESxd-FhMR-{X#( z6g^deILmVNv!IX%F8S3@zbbR!9mo~dyNUGk)(Id9n_XsGzSOCW(5yz&hJT8H9*I0n zMU1h_eszF!=AYU1Xq}ESPwz&6AJ2DXQ?uwNJPE<60>kw(he^JyBA--B_+BL{)u^V+ z?0Rg^K7=rVYtQR~)kAMgyJg4|7T^LgdQx-GAvP(-#5nTd?dKCUg}%jpTUz$B?wB&~ zEktA*HSr)W^3Px#gDh&>>ehEjm>2Zz_`i)@ZhtMA9=S(7$i4#5YR}+%Kq>d^ktP4! zRP5q6>>j+Yp~ehcrWEt5HnUOozkWbsrgHOTm*u>gDfxne3BYZo%A3w-@u)BskB~VL zkWVo?v-p_WKV21E{G9o4jSH(yrf)>zTZz<{P^6&B^)W9zC)gQ4CR82%B7#mX3IyN` zc7I+m1O&;}H&y?J<`Vuo76OeFJI*I0Hkh|e-I&r!yr{n}{rVBrxv2x%3%^vF?FMAdy4CbvwGxyWP#N(XMmEE3Usik!f^|4bo|wY^ zJ97Fd5m?CvrEge?(LkS`Ha+5mHCD1fMGbS32w8>R1zFi?x*fkri>Xt;x{;7x5Dm2P zee@8tSVD!*`sCfSAmpbve1hV2!9Gs1U!cp)#p^7%LVChN%Q3s+*8N2L z&6LewgjkUG*D(slmSJQdBLkh{-DRP2A;p8}b*lnmm~cc!$?y*v?C~+}@1)-bAxgOp zof(#<5HCp0$YdaKK(uJWU#Lkd&E1zEdndni_sg@RUTj-i&x<4D7YT*}&JVqvFXGpWQ`N zv;fRG%X#1CGa9R=Q+$25dq`jrs-Fo9jx)>uL8B7>K7<)uI+#^yf(QF$gCv&IgSH-#cGLOP@>|02Z*70^Zcj{2jirKez~XaV zIb>mZ-#hkdfiCg5vOBo)>B6z*x2uer;eYy4kkrY`@JC(Ul|7?zR zqY;lj7Au4sv9ZPJdvSJpjoTM&<5t z0v$Oquf6h!Ve{+TNBOXbU%VIjKngYwvn;>|4$Hz{(NRjm^0bkF6d~%@0UDq`xI4lM9=s5u!+Luee ziI}^KV`y9QWFQos<*uJurp;7J&J{M2qdVemOQ}LTbDl6B8mV~by!&E-DIsjTPfcdW zgYp`~m2le47kHt6iT?W3<>uJCf`;_js2}TY`hY{55tyK2Uea2iA>9do5|stCHH{|v z1{*BA>!aY&$*tx>z*ud5S(o#wFH5iZ`oX%&)x$~&SvP3+lJ61TxV){vU`Y;t_@Cya zC^cw}?lgurlM^0o{g>KsZTAlD!(&b5LP=qj*8;JkD>-E~qh~b>%ok;Vw}rW`FyZIi z*%>K98hTG<<&aObX@4rYDcq@3<vulmuV z_vUPW16mR6+K90&OG>dzRx?#b=~5lRa-D~)5>?B4!lHSwrVUge-5)b`$Cdh>HL z`IEXKlLV+H0`JD63bi&`C%8cv4jb5h0U9yYI1R@X`WKTSfXY@SC$UW86vdGLsL>wYpd3@S5f zTZf|n5!-{jLlG^-@Gpjc`X_=jsw1s})Dk?*^{#OAe32C%`KJOJ$}|#yUC`;MarwX% zXMT0c_CA<7Va82nf5dA0qpEmR(BUB4oU!AvzoTMsF{sCuW~>m>qde2<@ZtNH3C*ehBl-*H9lLZ#V;Y)c#1d{S!qPc@)VSy6l%!Mjm2wo( zpeo@nTsLOT^%iM;YJT5!Eb0&~wa(i?+X^mx}OU**6h^}Noiq-3g+xfKq zg4aiU`vo&NNPq9dg6>$y1zU~ujxfG;bR%?LZur*k5V5jBA9B$dpF)5%rclVARti;^ z7W&8KjPU3*(>!Tld`^_X)i=#>?gVhP&%1NYQI#Pr{;E-2Iu#4;sJWKH7*iEgo20>C z7l!efI_fv$2JiYL+s01Li)JVGi-P=F?8$#g%FS$yUqdMF^Ah_8V_|7D#+1qJk7>aV z?}#PLJs~Afin%K!lD_@$DXtXub|Fn(9K{B{kE8qxqyIE|c&DiF=5M};=OPjTaw}E$ zfp)4R@S5DYab;0$e!PFG{f%qot)zYxHc6N?^dnB<+>QKv2C>oz{kt0rl9HeV)Gx4_ z<;~e>`i7(#f+IKGrsqC49n*RFXZDLfy`-ajzmM#1{yjYw4&8KH#Kr|CK{x3!j;ffb zxI^{e;J)YyF8Aa~#eX9&&a+Sb5&9FeJ6TK_;CjNpebiChTz(v@GS}i_oy)P@sh|vm z(*y=bB)`u9vng*td``i*BWt)&JfGR9pEQ$POfAiMBad;*^Id;U$I!xKdiv7+4rMN3 z9~dGbaj6aa432cExQS!vPy%1Q7|e(lRlGAly`si_emg#Dr&N|AceT~iF6rflqMquD zDUd{9zNDB1UHiwq6_0)B71E>{6xER=6IDU-t&g1O>VK5E1$yMQsD@jdKibI$8CFD< zbJ>=$3CcovZf(_u#34b;CN(HF>n3svar^6;;ZnJ~#QX>QjK>1sBSkgDtG*kUNp-#uRnhVjR8OaKwnd2_Wu!(jG*# ztC4j(Y9`P2>N9tr{jeOu`pO(-W!p~%FixcGo^3{G2M>6m#pOdHpw$GN&`%0?e2{yC z7;fWJvCWNYlLe2S*K~I5ask_{y6A5F&Q*AHv`1Sn4u3R81TnB^d5gm_1$wM;(K#am z)jIQgw9n5_@GLO3*XUdCJO+xntNN_t*~OLy@tez+P8q{?;?Y8dU!QJ*$>t9!j8vd; z-Yom-j}YBs&%a#W1%R+%$Pn>}6|SUM|^k3j9!Q&@E}TTbh&|BL2qLVG^H7N zP61RA?{>z~E`%=bW+;moe$-zI;>~3ohqwXeIQ6xp2)6VI%qxb!3x7!|X<6Vod^v6s zNF+4~Y`qSx)Alq-4t+jhY^jp<%HfqksmKbhm_y@K)O+7R?-47x>^RX5La%Buedi{q zuG*?I)~J*aiwn+5xfuTILq3|_B-0QfhMS0N1LFYI$uhL#tn^|GK^}Ix7+QGu^uv$- z$(pHz^1IyS(Anvmw*KI6mUzshqR3Fc`P#Z&OVa?Z3h}o_34ML!vFj;X@o`3@X=38z zI~&LRdT(g5pKmeZd!wn>_9u)dwTlk`FbJ${JXzFX**`bjmra8HAS9B$k$kL$Dg*c1 zrQHWH#8YS(1w-8Lf6bu6qad^ZkY{xPxHS#}Z$~NWEY#ja#;W6pX+dcmjgd}QcdK*>com)$QQ{>8qp}cacH3s% zifgKlFZ4&K-A{z?Xr=$EM{8>wr~AJv3q zi{U*^khn!3};l&vG5@s^QN0S*Ev!=j}E6q?=={_qkPpvH? z0OTAuVpU;R(>$38S;hikKpk%g zTpTwFI1|fA-gIW~HHoXC+fojuKM?l+EHDGNdiKsVr1;;V60V87qQsAA)KD69P)I4< zM+6^RjKCO49j3V=JD0L>QI_NKe2cNOoQ=PM5&pk;LZ%a^^zc6(IYYr;M3 zdDc>l7jIT6u_L`303Ph0Yo?5t#o?k(n}(aoFJwmxSn-cE63-x4E)HDT0}hxqa~ADw zMCj0x7iZ`TFZQ>y4@*~uX_l!VZkm}5^LD8tf`!@r_B&ljV{607f0yD-BFI(rI35NL z!A4Jf*iZekU(<1qyWzO=Ot9b3J&KgZ71)B<;cboR(6_eDFzaK=i5M=QrhoxGvY&p3 z-EvP#0liBZi(BjeOG~@iIo`S-laYMUaXjEVcES$**`X571Q3k-W@P+b zb(urhdVkPqX7Is~=uf>pUK~4N+9&i$3&*Q?8x)KGEAV~K>M@22L1hr%Z~!4Ei*o%R zb*fl%ebI0~av06>`+dmW`JV&zn#n4-E2|o|q|nez;f=GWJdA@UT38`3t)#B@VX{Ea zSTHseA)tbBjWu_vd55!#cjCtaNRPEgyAcO};n|GIfKNjaPL9k=0`^jks*XqF6=VjDCkEA z+uSMwN_Ylh7?48aO(%sCf$zvz?@L>^HInEprqwGLHOLl1Wxc?Q1sGUsf7Kasjoe`A z0^z?p6i4xHGld6_wKOheRU~wYQg4D%{D8{H5NfTxl;RCzy?+@2$U^=#q$yc1LbJHF z@`5q-n&ljP-sR8KoW`LAcmLcS_ zNjfjzo{1ri_4-L?OPXuhGL{WOx>hGM4>}8MpSX?*!17mQ_Gk7kBbY?`&6Uk{7F_v) zvZZx|KG*MmeuL)6EIp0+DQimqtNKSA(DSCh7F*)cwb6$=o{nt>AnLU)UHC_-(FZkyK+ z!0|p(@&}p->?Dc}^<-{VMB-X9?z!31pSLQ7U-&fLV?!ty{Y~FErj44?miG#4H<>#b zDLef35`3qk_}lh&Ds<>aHhEC3WfOaQuu`R#8gbjau%Q7b)<$xy(Y@O*5+LE)8|J*& z%H;^qQHS~a;j9(9?b z%FpqZ%|2dYi&`x)x%}<+W6t<&cf+eh{5&@0fv?hj;KNR~lq;yq(MVZ7EMM`5k#ci} zJ3z@b%*y)Tm+vI=_<&>3g!boXY1+ud>zaG!|MhlBSFMb2z^{e&;?}DzkNZp=+2`Je zew6Ls6LehMy&l5?iw!h5PmBY^H9V2Qt-*>A_$qvz9r4JIj3PQq2{SXn9(Y~5KXNIo zNBQaNv;{2UmwF3#pjm|9@Gf9jeCR{Ngd-{fo-Gj*8mX2`J|lMK`=J%)_m{rd{%D@| ztz8p0e>12klgRtFrwH_k+p1#v%Tuk~LpE?3MCz&{4_h1bLtT;qyLIW`n(Jcrx&DHK z%^^O-g(A;?5b>aGCQJdB*y2A>^mNOC!}XfQ?B<2yUUXM`T3lj-gJ1BKihnfU>BuXq zx7WDap92Q#R^|yjYuAOVr7eWEYEu3>z|*4IMrHDCq8db(?~lqBUzV7>`oP@nDdS*W z?8UcrkwTOh>9^;^t*_`!y5-8=d(*OGa4o0JG^m~nX8wXO7p_frtG=`#q(ZdOuJ|GP z`riq1;foJBk4Wf~YhKU(fhF={dg7o{%{TLO_ahcAOPuL+c*);PoJ_m9vwn-dFJ!yn zn7&jbK^7DPJGsLHTl;X7KvQzp(kNWhu;TEgbR8cFj&R%ROJkVR-o0Y4Dv^RuU>9{M zt7;ltha0Y{Uj0CI|pwMdgHg!M^IciUn0v_Pg|#XDVyJX3l)ClZg~&fa8nu zYyFdLSQ@vZ6MeiSdgMXRb6ujF-m}qhsFzO!=VSYK>7;W6q3o(Tv#ahw!g&E1*CY>I z?1Trp7aH(rzHvPUMk)(oTJNmue7&P38{%E4F3?v+)p1B>K71CW7_?d!Ake=y(%F;S z8}Gr)&+&%=2!*|+8(7}^&Xubj>V|DS567&t!tm?Vh@≫^Ym?iohQY2}AmDd1xHD z%a6F0nz^ND+sJvwG)wrXOJrD8$awF9vt_?P*L({y{VG?QFM-*DI~qLn9m9I65W_!d zou3^B_;}9G{n~62-@5S$b*ad8KgjF0ku@xphb@0(7OU4Fp35$XQ2l5n96`wSAd*Ht zUw>`?L|Oqy3h^KU`mQOr61l#7L3U3Lewih-mTp$}d9YwEKYZ8C)<$ZHJ?6nU^qOB9 z9Z-z=H&|{Wa^>{ZNzVB9-P@u6^+$0JI(DUfB#oN%r*RlwC-<;{8@Xo#FGe{(dTVIoM9Z6>&c(}*!hhZ{`|?dFi!HYHg>hFGqg4OMEfG-A zH$r?(dO;AV0l?3(Z{QeL0Oq8)VaetUjm+?2Q3SAYza~3>uQGNm3rBcrhW(jLj>V1C7R_no3mDG`gXq>>j}-iz?}#eKsGMLn#IV8=Ig zu6S;KQk!eB+r39Uq zkxDluH>$WxEz>V0P@zOUGGzSwzx=(EaLm8$wX~HL#OH_6vp!CJI#VjJ0b~CSax#F- zeKT~d`c1m_VqpVb?ZwX3sjM$<7!#a@2t7FU?N$M(?RKfw9yM(*`(!%DT)5_qpr*Y% zTGM(#V|E}0&Vaj?-r&Ilq%UPNvUSR6mDs4NT;}77FU|=we|va!42sJaqTanG)s4sC zqM!)au#jXS-z69Ec&p^WO8({j_xDa0IwSkpIn5x#?m+r+sb`@05Iakl*Z}1 zs%z_iMd7(*Wo5*AvvaL|;2oU{LDNF#|4xI4!Nw^fdKp{8%DWl9e`S)m0T%66^IkYh3pP*-gdA@{sf-duk00d8c##>aKXfVTN9?5gfVQoP zzR$FO2V$a#zP{uoc+RBC-O80~S9AUSb~&Yr)hQhd0nPNMlCK?uZyy>^3EbP4RL~=m zUmIc1;25@LaSue}ejl?Q`I= z3nHg##FSXe-3cr_ToV4+ z*T6EwXS?;LKjl*8GyQ^3^2(}M1q>9ad#13>n|-XkZk1y|Z;go{vq&glKb<{{kQuYL z_T&afcqDjx!IBtvCfBuA^hWV!F)9Rz54RLvEbHa-wyUm9S68JrF<2i zYaW#q6GYsEUrV!juba?#;PP${e4*6io?{m3;|1d*!OsJQq|7F3?ByOIbsWJaqDNln z4@_0HA&u?k$m-v%2uZ;~cVR%KtU5T7OeEnnh%n5<_uo>v5tXDRz}&^YlHJ<=-A5-o zvY9b|UBE#|Oof{G>(GXaq;KTl2Ts9H1z_r8jQ##gPZw|22E4cT?08+gm3a&nr!VG#Oq-I!@*}1*DkK>azt5O7K0v zw#>`iFLZ`heP6NL)kO+I%jK`}h=&4t1V`0!KX&QBT=Np!T+dhKVG4NaXTDQ~=r7u>bK&LD9%8gklS zyZ+T5N(^{27W^|iL3x&HfGAFU(W>WN$;5VC68g3vFW0??{b_jyairp8S zP4v@SSDIlqxRyMr|F~Q@O#sGTFFUVg%XW5Ll;Iso^b%@u82S4;s^j;W4&K0oYTf73 z^PD38))4d)@7oWs_y1YtIHmrsN85{KTvv!rPpdYZu3q-^p+DZCQdjF|)ZoIBw+`A; zqALi%JMQ56Uj~T@r|#TUB2QQZHh8X7+Ruth+bNE{`0!hctKix~SF^q+m|snX9A|W3VrQkQ11zF2G^d<~2th92zZ`yU5_J zNF8|l4+1rE+{x@e3uffhqtiDh1Q*>w(zezAo73zL0osP}$CK8(4I{ho2m zMOFJ6H;aw8=_6lnJp{S&sq(R>zK9X-CDzT|yn23VmlJi@Tr8n^hIO6wfc|$x+Bl93 zL|{aZ<~>w`3blwxL<(g;I4hn~j>P>7@q^_OLT-J>o+mn3kaNV(I)tJE0693T)kvU0 zL*fu++e}XH2(KC-kY2MWIjQIH@XtAC&%)I=@#g0xQ`C@!ruk|hY2%ouS`{=Z9nIMD z%_PFs(~`2anS})S`OGT0zi{Nfy5CiB8~lxfhwIXZpx{-K1ancsD9d&A_+COQfTO1kC{3u*KjNa;(TKM+E{PkEtm;jvI;v)3Q zIPTqcu9+$aed*`AXLMeaCoTZ4;1D`te4cAMFU268EjBs&o!wP?PixbsS2zWPEzCZI z;o|D33m(RI_BJ(`&9Bw7DP7i($1{koE6s_-(npMNmzA0YN&NzN-{69kz38GM}l`>s>(N4g4ld*uH&PxHSoeqE?6 z@olXt4rL@#o%SE=n7Ss$+b&QwPbTiyTPQvy$D8XDmOEexK%PCh7tU$;Eveq(;W~-F2pP2)~o$kE?}t(3jAp@ z%#IV|`p}xx@nXFy>G9H2|D9RNn7i1_mKoejCfj%SzYpM)v!o+b7BJ$o_)9dRe~+S0 zF;`^Gm}et@bLRuM&wdd{FSqd{LuXXJAB^8xHSX2+_k-1%WpScB3b8fiC*QW;1_3p? z3uf3;7(7nDMBQE&je;_``%^rTGjY^pWU=tfAWiOWrrAC7vk->at&`{fOaZ#Kugygl z8n(QG%)voXb?>WtJ*s|$`0%%4CXAqn#0IxdGj2rEl1D{6sI>Jp>6@_=$U5!90D;Rk9)3bOI&2HdoM1btceYi5%uWQ&Y!@$>r^&f|SP=W|}~_xt%ws=i-qcQ-P!E)Gr7Mg%O(i}6yf z!RJ-o=0C;C?TtkllpX1zN#m&*Zx?v!27@^#$v3$*$rJEtHF4cLx;*2dv&bLM zA7B+qtR1{a_w8P`rNs0MC3u8xIszcsaD079=Fb~ihc{S!IiSS=dx`@EW`Li;rJdK_ zg<*)7wfsygj!l#N$Qd#T@8FzIpM=_lY1v3rsh>Vg5o7B6I&aZ~1VzDV6B=FW6U5GC zT)|(^pPw5@g5DxBRQeS>dFr0Ny2;Op#$Jk4>oL)X+QnoYMgL;A?s~Tp=(F@ns@dd+i*VA5+)XN&!Z0J$$4pbE>U33%;WgRLI}3K%79PVcB5% zkO|R&>EkA6=r-G*yAt%$>zVOvL-!%Y9#cxNe~%_XQZ z2C8ot672;p$V&t6-_5iy8QAIalqwitaU~9cO&6-*0`CBmowVqT*A1XVm(pTikB%N8 z_uDgZ2F)jH`o=3kNac>M7Q}prZ>hyt| z(&dwVzHsa~hEaA$mQQFRwRDg#yVyQ5!KWI&BmFON0c7(Xh{a zZ+m>@vAPK+@+xYM+&e$U8~lhq^{w+u=PH1hfGO!j8y|7n)JNBa)Wv~x6UH;Ak{KjB zy3QgKj|4vj-rWVfzCV|+WWM=;&qyt0{^B-r%_kbakx`V;2o?kS z`0Sc8DCm-!X-g$}kSy>_lTCFQ^V_77hILiVkImDaVJr3c=Usx4f|U+^`4lWPGi+M_ z@o)e5QIN^S9wT;F%lqG;Bo$H)~Xk0P633hf-k(w|-yqXx?4|}aY{~9jFGq?9kzYGBTn=i9V_9O( z_JkarSx6mz&Z)(#@u!0#Q0b>cNxn%%&t6`0)$5jxI@%_7b@rgCu0Q^ND{p$7~#k8=D^ zewY*dKYtsqK#2C^pkn(Bj0b2;*p`-A4}5V_3#lR8e7}0P?5o;@%3AG z@)S_Y&lsHliH)!}@d2ydds%kiyoKbQw@9>#gG@5r79B_7{-^*e-kd0$VL?#dEVMQf zEh0Pk;gRAu3GP4rwq-%1pXcm}=;!24B(~YvPoUV^p^{cQ2vVg`kyMTdUK9ecp$l5Q ztSCGA?MX|v@acv>9&y9EED?6bg}iVzVVriIX`s=1ymOvL)Ke4b>58%Heh&F*o^;CT zG;>2h$)45g)S_1X6kbN1fbsk_d5f0$10z&d-1UMRhTT@!ugG7#FHO&}A|*bkYIqZ? z9G}(5K@XO#={s|^-zuZ$QqD5&`(2ln4x0zzYKF$lLkP+EO+g94Q~WKu1L{bjjKIhz zwHqc0Hyb5-#G*EonR`i;K_8rugk_2N!XXrQ`0m5|;0n0h9y<}DI{BMm&oarGc38wJ zEk#PB|EF{~=+2wyPSSME25|=c`k7yG653|COA;Z*d_lo}MXF+Es|cQgBR&&P`p0s6WE5 zzlg_tzYW)3_2wg9;s_z!Z4oYArA&90iH~ku=8X%MI<@joUSjbH!m&F9#b-WWs3p>; zTlaWLd|w7?gM6*ayAmXc=JUgCfPvkXbuGSB+Rn&$wVp~L!(Rw)GR=Ozh|^XP4YOe? zui+Ttz67`(gVp`_eUz{VM}tcjC0~^JmHv8RF$+d9-IXbob=zJKB||lphZ2iRrOws8 z^HowK|K4&?$BjaJtYGjANm}##O=NmlbfBOua?8A>7=6>w_KG*fb_*5P`AN;cI>TUp zqX?xmN&Gq>+2Y#xJ*$(EmX@bHh*Kwv;CpMSY?`<8vLVl(3gQ?)SzTGmi?i(8>qaRr z10J_;;?yhFrFx4QxZw9YOv>Vbs#XfjX08q3wItm> zyM%dkU36=V2oG`qRp<|XXVT730u`};S9rI(+~St!NLDS7LIqwM?g~2< zo{#sF&VtiHu;*H-Bo6%dp5D+L6#6v)_kOQu>Q37dmb5Y%srIx%bYo`!F81DSgMXyQ zMBsaN-Mt@uFu8?%c}z(NkN-OD!c0XWZ|(Q0kvO(?aHIEMt*grZf{!(yk`QuHNKR%b zHbKP2sJBTc3wBp&0$FZ1aSIZ~XIzV{+3czcHGz$DlSn5IO`WmzdWub6f`|#Cj|gbW z#5#KQ?Ws(A!}nUFN!Z`p#7{>zdUg+gDMS#=dX+15J|#$jl**Em-4Wc)GUIUu%c1tkjmwerMO#1B7 zM)C%7yl7Xv`XmnByFARp5|e6PhwK(R?NEH#0K2~F3DZPmpIiL$XYS^zDHo#0ImB^pMQzh0K_1!e>_wPm9y#;Qw)?m$Qurm%uVo`{XUH~>>UAd zi`1Tx#Um-FSX*3S^PEXEO-9gxr?|oebGNbZNX^*L+8)}L*N}tpk{(xaK zJ#U!JX#qMmtlS~nhyf#Hzi+Xh5MjC=iN(xw3$)lBzzVGFzHhVCX$E(o^^9D!`UXRmg&b|j% z#fLKS{B@wO?)f$-mHvSoP7^pBsxumU`_|&4s4IQd_~T{`bq?&2sOqgmwFiZ@2~*~e zdD(3K(Z+1k6A9?sWJ-`>lenAj|GVe7PH$#>CvvG=8YngX2$@_avAh%dg-jDoM#i10 zBrvDy5(~yVfImHsj3ps=uu)Am?Vk!?{Gu7HMXo9)Kn9sqrSi8@86V=1h4{YXx%T+; zFCeGyb8qvAlIx#0E|zEKAM0m+I9e+1w>vzdcW)GULu@@xmqTerT1W>k?aqY?2EH4S z*{&0&A%sC7@caJnl&z6SQohl3c?t`Ki6IrbGJsTP8{ zQG^@KB9qkrr%7pig2Q_6ZZ=L;`_1LI@c>`yej>6x^3K;KIMz1Q4({L znzlRXX9MI)Xpy@-#+XbbVE41M$n9IH8*kb7ar_%WBhC}+fc7iH7{o&pRWTN8>ltAQ zPejpAfn0!7P-skzCmAdw$Q-KDCmf;}y;i?Goa33e zV^Vj*u*{Dqp=cVKrV7bIEkbHt%>~w>gpP0!YPi!}e`AfKxu9}HR>kwI{DY#?NJ%MT zPVC*sJg7pa)IMk?p%Y#^$~C?VrzFW{-H$KMho!xS7V`2qprSmM^e(V!pbo!1o>9*a znq44CT{A^GU6}scWu0j<=FO&{2wo>KI2)q`^hBMu!1A3wCX)I~20(ZRQ^kzGR$K)1 zt7aPRvuIA--hDc@LQ5m7Rlt^3jNrrmv8*orF}DuY=U*w21L*9d|LISF*MT6RE;25$ z&W}Vtr0sUZ?!-XUn1c$2xQvhpnOLY&80tCMW~=d&h0xPSY(ANkl~g!t5;$v8B{W9h0?Gyy z(t%z(m8!K;6Dy2aR-xU)PBNchv&F?EhUt4^cr5fB|nRY|M0Llym< zEt;u5vE1Z!LS&5yG`CHKJVz3H=5{8TMo`95!1N0e zstN&@X}Yp3H8gZ(T>b{U^xO)03w#j1J1{VCwshQ=cMa8|2Y$Zr4BGbM5#K#}NmOcA zrji`ck{*G}vf{HQO9I_JEnr_}&{_!`aeBx6Yj{&IN7Wf#W&KVM)ibSm_o1V=ud2?5 z`W>}7;kJW));38=^ba1Hm{54jt=ObR(*|O3ll`VU0T?8sgV_F(z^nH>nrcXT?m9S( zpdNwZ+0T!Dn&Taxze@uZq)|n={P|tRk^Hlvg%~-6<6~fWBMkL^(Rkc*Q-0rqV5viG z3&GxoIL&n+3!kxTBRfQ3v~pMd9*f^&S5x4yh4CZ>ewc`7Uf8Q_?6L|Fq+ov!EAEol z$l^^J(f;_#?=Jry1aJ@$j==}YdjL}!aGt9*L|weqYuP}*d@=Rj1_sMu$?p1NcS?lr zqi~c3w$Y)|;X9A+WU2@Q#(nX?3^QPG7f8OvZS9~gnv2D!e6Zm5H}}vFt#~_gg^&>k zn7PYKfMZp{SXq>$g9OFT(3uw(&2cVPIFPNJrzUo^E5?mO2#^-D)nje{CeZTCzC82o zJ?@UCxcUK}7g)+*Dk^%0pCMdc4uy|w1vFUW({B zhuHTl$vpPscjbl2hZSZU^Y^aYKzWIyP7#W)a2x(vTQ8sYWG4&S=s4ki#g{qgqHNfGz{rBw zD8sW+&qK8`?s-^4atidU+51YLdlA^p)BSsiFeVV8j)CeEw~iTK9-q5G&2h)p{hyoV4r~q z$A+EHCaNBW@(|fTI?!Y^#`WZ2`j^5rSP+=8J7$ag2t9T4P@Emg7M@eRdVPuyGo)ym z&DuE!C5?h#6eZ(i^rmuNJj@+f6e}bZu;||+W_|hy>W*OXHKE>2W=;1(F5CIl-4UA~ z44uq;rCl0x%H@~_9(v}~-DvKoX3AkHLKB$f{>|?1lS;caMjCTw&uQ5$*=j@WU>LM$PsJMgCd4Sy(ti-Au@QTz+UpXZ?it zP7?UE)t%>Hd#Uc24w%X-ZfQI{UoKdj9gU2FDsyC>ed>2&y1_2B3_9cV5O0LH5de=m zFJIHloyQfF8DnA$epK#zw3^}Ai%_+46Dfl4r3)HXNGCK3eP8=}@d*r(5x-?RIqp8K z!>gl2wB-F4^WQzFIIq#$`SoCV?&ZF|J7{cE0^IMmw%ud!LN3qvlTTif>wsvBE#@Vx zFe&Pppk5@N9f`_#Jb!kMca@o+Pq~nUBya8h(sH;rEm>$XqS`9T0MZRoxij@%Q6|$q z9{}?#im}v7Mou#&&IgUo({bNsp2p|Cp;cr}s1~vjK&p3I740LL?#kf2pDS2v>b{M@ zV#T5<7&tmnqS+^YSyaKr_kLvliY2lBDNi&xd#O5>ldzkR?DE4(-MW>{?l_LtBI*$X zPqs0|S*btf4$UDkgczgkUkS(#oSraWpa?3*DRQQ{LyU;GiHmHLb;C|#&&(L;-mW8b zjcH=(;_$2xNCiDsl;jO=Ws!_%^%!jH=E- zth$v{sSuE1s~C4-TegEsuE;U%dcPY>WetxXdOfql_5?ltG^(M%49hW$-MC<8JLuiR zPTD3=wP+Dmk3(8^NMIbc?r;c%<8r0wgAjLh?;Ahn?>e#TEj8DqR8H8?__eOUSu$t` zhNJPD;B=9yhUG9@O*tz=#Ru}Xvt2G0?2u$pS5v9%uW)KCW1%{x`27q6@EK#66W!R= zx-J3GhshY&(=9Xb2i+++AKy)9&iT-(fg9WF1|#l2+?XZOZ0DivrIj+5TA4s`$1o)N21k0C5bJ0L@;3mB&2EG`^H8HB4C zy6PERv8>7X0w4%sAU|tv3|_904>dvCaKl!A^bS<*TQx@i@LJ14QO~g44<%>UHFrHa ziS0mtd_%D$Y6Z4unc-_co)^DKXCbiUk1FA!Ec>Grm6gXw@&hFFx8O7r{MTo%Mh8v9 ztNDbaSD~o%ho@sGANGPaS6DZHbKk)3YAcx@w!7is%MgBDD9o-rI};2J$M-$C)%nWu zTKVadc`|T(487_Qf{bu8D6_EGQ3m<9yHu3)a&a!+YH+RVBtyH~N{gJ zIj3)tr$gZd+On=E?pwK6Rh#^|84BT8t5Y2YScn&zULUknB&3mPBO3ka6KAyxIalt6 z`jyw+HMwmkrFhhKQ_yRb-#kdr9eXXp z#W)z>$FtGLv!^X}hel8MHgi)6(I4_#)C~`}K4HQ3(3P;XA7vly(rWz^kr-{&BK?r) zE-bF3HRmD%AFWYRW;{1x?m;)E_IZeb_0sSTWE*tVUfaQ$o}f2swJ%1$G%syY)Ip7_ za75DIqm>DECmN@gydFqMKUXMhDz~qkdal(_X6WylSMHFwh=5@*`rtSW!8cg!W@8;p zA&i~R0iF3%A(7}8Q*-SU5HsC?l0OOn122*O4EPw)5DUyQVFOpI^ysZubsrB%A&xA@ zi|i$@YNJ%pA@maNW$c<51r5uevh>)SC;arOX(VYyY|rfPsefNym7SnIXjtbL3roIb zjK6Y$ba&jiqbTBTb+au?-b@!0O~||^LB~f+VHH-stXA^BO61o>jo)AM2FEXDZ*h-t ztaZD-mdrLqjh|o7KF2*te}#tuG!Q)r_9GdF^YE^~t3UmOQ%<7YG}d!k_dpjX9K@Nv zAV2H&Up>R3uCY1cAd`{=>Z&_FBJkcGXF<^f18jBq3js=7a0lO)?zPEZ%`1b<)Hh9h z?c%qOR;3Yc%oB6%ZEuJ{_n+?-tv`GlxKsc4{#0^=jz*1G6qr2go&@l%?4w~n#v6^> z|6)wMUvx|~;y*DP^T6$yx_Y|7LfS7^aVNJ&l2BF9kK61Pg%rdweg8#ue~@smOnmI4 zetR%J1>xf2cgQ+h+67YqT$W!eSRw5D4q^J|qD=G8c)T+xEBFbAWj7IYYc% zHh*tUxPIi0RlqV!*uKonro+jYp-7RjVefzn!PleUd7UlyP7;t+yhN?F*!<3a{jAX* zx4Gq>2oK2^6g^}Dip4kGzPj@revwH?L`8LXLS!ie7qzx$Af<0*a%p+L$(PqI9#MSt zXgjfx>*FV9ed^M0^XPe=(9+UO^Sw3_0LvQerav{Oylyb{HE(-Zsr~Pm#@BYS>v$#Y zqraO=1GlgW)=2d@!aYWGR;dsU-jX}@a$vVo-;|UUs`=}sePNqL^mT)`X(e^dyhwGK zIQ90ow}pHH+7I#^J85%h&_E6~yULc&N>e#hV!$Hix#fEvvgyQR!& zX}Xo15cpR)=8lO8Bbq2}j2*CPgzMeK|53)gS%|>xBsHyDo^QNw$a}Fis_-!&&+-HP z!E)ow^*`?b3{EujfrF+B9{h7SScs-?)oCK?CNW`5ZR?4CMfF}X&pCcMKE~g^u**D! zCpeLT#kW56j^+E6_UVl$p52lqAk5D@nXy4JVQaa=NgpVa{$LF0h(PPN1FI&VUlpZW1Yez%B>sNs=Jg_SwFtUW65#TN z_35vp>x>~g700duR zRtgmbF*pYu1gqf1f~4f!+h4JD7V=?4UY-@pg*pWvydw(QJS(vrjaSDK1^{S@I2^TUz^N5lrl>&2m^P|3xJ zx!y|?jyGp3Z{`Xqffay50d|wL=xY0(a4Q7v1&JRVmlox}2y!J@gPQc8WKvx36-7mb zMF-#t`$gjde(18}3=NX9xjb)sGq@Q)a^fRxe=^YgY&}z3aq_Q|p80Ti@p_6>?5^|V zfZ~SDHE2yk-B#i|QRj$*Qf%_1%!S26?xu^ z7n_rwxj%`p?||QioS$#rPP5FiGlIupqnSfos+{P-BmwC!?V&i&J22bJt#pg~KiaU3 zfzT9Mq!n*TnW9N`nq(|2Fbf4H5q+SQ0EnLNKbsZehA#Zd70~#iyKBqt1+#Mt(Zo_W zD4#F?)p+nA+qJg#LaJI&UX3k9a=Wx495aUZ0J_;aqwR8s_%l*T5JkW|!#_=3>2EJr zHecOH2>%#zUYuX24o+#`L8(>%nrJZHe?-_R;%cOy?yQ`v4J+1sWH3`d?+nM+o>92A4okZQ2 z)qlt4_9n;24Sdc;MvRFI9_!Y}da{g3Vm%jD70}p}QtDf`fG2q>gz$2W56dC2!oe}- zi`>kG>$$n*OW@!507{#XM&VVU)%Bw3w?enxn#%vS7CQV_0>PB)!#w?=lC}Q#Ijv;8je8f5v6NboZQ{gA z=Rm=I2*1kXl|sJreo2Dz_58$n;Cr5h(zJZieE5VWO6GwSgr0o?z}EW5Ahi8UEQhD& zoUdXX*4NgVCtO>iX>*kS#-BscWJyV`EsW?0@V&vtEm~lu^gU7kUGys_5)tVH`>unr z7e)>N-wp0%NKtH>pet@>pFrx3)pODcW_=`dik^?hbsemMwl#M?Iu-0_8u8NfSE$N; zG!D-q64u|_3!RFf+E`$wbOE6Os$P|z4@hPM&Igd3 zsb5(`Y&J5TW{$*lESuwMQp0vKQb%q==PoafS7vzcgiztnGtrSnUGrh;1K8y*LJ~f8 zY4hHFOXYhiw>O6y+)L<9Ch`i~U%DUjPFNE!>qNxCr>kt?2nT zjNWK3Eb(BeIhY*zU+*!(oSFepLfLTuFPgHU41?ESfIL3Ld!i+O6IEsZk8zVfa%@`5 zaUu;w)z~@;&B{+p+TEdy^yiY<)?#-$aI3exKm%4o!~@qlZhUsx;@lKm4zm(D12G8; zGwr8KhfBRd#NHP~$Y92@M-yl&R-=a!YvZiQIffFil|>w6`=?|w_Zj&vlr(T(eV>x*F#uHGm9LMx zde2f-9mO^d{0m>4gku~El`lHyuRa&sMx+s|s>c%U;M=U3U0|A{&v2Q(KH%k0r& zhCB;jytF=3?8jx=j-W0V?QH4v97q%}=rFsUX$$Q`7%rib@IX%q7~{hRs;$Mlh0#qph^;;Io%tOmy*H8g;`t<@;DR47uR-_h>M z*HD%3q5l}39>*LN4!Wzx3Z_6JWo?GGuPd&N-)kG9O}Wp{pMFC+^wi-mU8ISJXfUd^rer)CM7<6SSA!3Z*r3h-ghPNKl3Gru+kq4 z&ZH-D$%r6}Yq9v4zX*UI9r=nHwFTr3J!LydDzluH>JEid2(IFZ;D5U^pfFkHrH2H9 z*yMXHB1Be#Vhb;oM(^J$7|Al;4=S2}+jxuW@gzKHIl70#dI z{d{L#oAOlOlkqylFdm~E%wtgX=0fMUZ_ghpK96-%a!mg(UH9cx3Ro3gQ{Y;6rk!4#l|x37`+=4C-J$6mSZ5!W2~4in9@RD3@3uD8QO$?h-=;lFgnU@rGi2G4qJKgFjY{A##G$z=GZQc7 zirco6tn$*tVABuc$1LO2#wtp=2Nj#JH-`c1h~eo)*#j*});)GcAlkX{g z|CvYILPMjfPDG0Q@Mer2NN>AX*&qh>i}%(p>=xkn$_>Q|9!tVMF0kT@6)6A&1AP$Y zx4k<pz5_iIo zpXcRJWX{jCUhbdYOnE7G{9W#wC^pm{k{@4;$o}q(<~SVR?@#>C<=xnLsE7ULZ=3Tk z?V838sE8m6x;G+s9jgGI1JZOb!^wgy87+U58O3e&1-H!qQHT6-j zDHuVS%xt?UTAZNgi=Tj6A5^#mx}Xj zQecXBC;@kw#)tJO_d>@Fu1#vOHu|Hq#NJDtBQ61ACZ9c*=1cm>Ie+Jl{9COV7%$LLqbN9J(rkhyoaAZE;b zKDx0ddt@(qBIyqo2r?`gbQe{vQk}JL!C4q{kict+=eY?M6oSd|++R5uV3A;P1j{LZ zi)F(L1;|0mGe^huPVwLKO*sjXx)o4Kd#uU?1JD)e9-wKx_Ln*2&)aQ?l@k=nMUTbb z(DFcM$!qq2oy7+3_?VT2|1}R30D5am?bp{f!b9D}kP#FT z^@+UEAVVA|YItgb5~8Q?gm_{d#q^bjRQ^`~H~6W}Ul0p=wSB|93$mFN_@I$4QY@5D z@p)iAO0-dMlGH=J^d9oX(`az9z;@rrTT@GBARGs`GxrBHGINfO)^jI#uy5txoZ^)C zg=6ogzBGr~{ZabI#;@xvS6fiavVIJMe$*B2Ej)7{!^eS>&0s6ZAldWjCuU80^oSyO z_kI9^Az4uN#pS8c1qzF!2pVETB;!ZHBC%!7v$-yk3VU|xaZD1K>fUpr2~P(dfO?i9 zD+zIXq}iqm3XO;KC1#zKe-5N>^5h#(G-#D7O!qpdz4+BPGe!f6hyvk{rkbOOFqDWw zzz*0ZOXBn_+2;=>E2-#BmAIPS44eJ&2unTTmE*IpDFT~PK(jBHUPgn7f*iL?x~9SQ z|6P?YNz)6#kiM0%;7p1peGmn&=0gtfzN#!Y%p$|9Y=G8?(GD$SBLizY6|NU}MI{zE z|6HBDDO;ma0IMyPsP=pDvN*u8duw;-19S-!QGQK`@9XG`H(=#i7YPu%0R}%Y|F<_E zDY5N1{gM%gfj9yY%YDzb!AN{~QVy-B%#GKgO2juLwOx^zC;4ct)AP?t&e?nE!#@JZ(#Bf{cO%wNXO87n6 z=nJ_w!T(}kF(2|f)=0j>7A^oV(tiTZgp2gH@d9croJLc%m#u&a22)3(XiF5)tPqK0 zQI5Q;KZaA;3&WV@_vT^1pEMbZJCM6LsajTYbHt zIQX=!KO#bGBVUT@(D&6k8b_mqMM=>F=~@P!afnAr+O3=Xm#(@pyT5u6E%J}j^>ZZM zn_5R?2vdvnpO7s4LQzD5LZkgzz1QrUp-W~lq#rw|>jY_V8r%u(&Yhg!Y3up?Z#?IDDqRYLXayh>rKe*r zmQ2}4T~ffdhlk+qHSNY?1EbsVQxB5uL@=CCpkQyuV8jVuumyVp6UCZd8{=ofC< zSi`lJt5;vYZeg50zm+aGTp9TnAT;m+03z`xMSzP8NKY*_Qa%>gTiQV_=n8;v6_4L* zUwH*zSjMK^9`_>BF3wDBlVwnq}C3BI;t~F0I5S z2`nD-7!u0%j0^yvvqwxx7?hdnjrsEjQ&3saFEe5LB&^kN!r+8}`r-4TaT^beiNwQI zTDm(su{d7dA(_l1Ls14`TMC)tMFf&IcUa?4T}#a2wEr+WnAHz@JL2s?^3NpA*5mMi zi#pG*LHgUakMFR>57NAa@@#GR)WYX6^IP<)Yl9y3LAX@&SRkU?k0@33DUGVqeWg@4 z6z+k;Tqz|0@C3cv9$0OTk|B&n;Qv#_)=ql*>dM4UtS;5x`z88HohG^T2cxH*%E}_~ zqYVUCKYNlzt%dH-dGK;y=Iw`73w?)%q@Q=-&i4fdR6GsxiEBSWCFM`Z zT27vi$a=Je_^ip5ww=uT{E1h7r_}Z{pzTm%JKZ2S!00L7EPj+**PX?K;w(=?# z_OJ9#zf=5Jl#qJ~ZK8F(!{M}h84Bz6xi;Gn<%D_v8xeIiyr-;8&xwGn1DC%axoAGI zyjC$fN@Tdl0s%FBhm+oUHqWakiq$~%&-ds2f=02;!QSUg zLK~iz19tQNbX>a?iyd706qE`XN3Ngi>b;9~ZW{YgunmbO*_61{aba?_74#QL0rh^- zY2Vi8{_rcw1l)Zw@PqBwQ0(xddohWThdw@Whu=^GTljxOb~{tb*R6-c7tBzs2>h}&E>C+T7u-jae_jf7oVgv8Fo{R>8P$kL7BbgY|uh`c3RG=Qkc9Z6(3$>C1;B4!TXCk_3^rvbBxx#Q*qw zLz!%ds-=u2T@xK(H(2`JxP?tYZ^Yjo-CI{#O)Ah{#RN35a!`!n=#aHGBU}3UM z9R>8=;jJPMcTRzUdE>YJT*=SStioZ1+J0|b1|DH!@RCAiN7uH=6u_v6h{#Z>@{Tu- zqRB*I<@`vI-U!s80@EAD;;7kh8Q>I(v$b9MVjh9~&pzL=f%Pq`Xumlm+D`Hvj|Ge( zCcmnG@yu%CYRbdmu}d)K9Gqf^J4HpR(`XwPu;cCW@QNH{q)512RHw6z^w)uv{VMl1 zj@QP-c1CmB67neX?+iqlp}(OCN)A zH*bQN8?O%({X&eJhAye9$a07pu`Gx@?nFH@9AdOvBr(FC?#@-P!&#C|pJm|ok~VAa z$q+X7^#tFZCjl{4PtXbH?2cvP62?kpi9<#8aHxcL3Z56@ErVwT;bVvdGs}n|TpKDl z9NvgUkG6*NP6R10@^-b<7<*+fMUtA)$l99ZJ3&2l{^kaSl{K!GQYcoetJ^&g^v#)X z<@++v3Lucaa58PdKVqnK3sCz0IVbw97S_{G``V|03KrEXwkN>tKpRMd`A`h+jAKb6 zB5{b1-CxXUdm`RidAshvn5tyagJ6z`^W-@B%eMi z$>ao`*-2eJ-1hnJ)m78YUWIYh(D3kZyQ~ND80a>8fCby>b0JkkiBIKY#7J0-QkG6M zScX&xk_+FIFUgWzj@uFVGHCLWXSptjLTlOWzeH@3XXMLx-$CS1^n(ux!}C`*Ox%^> z_fFoOMQ@SC|E<5h?s48a>P8tekg}RTez*&R_iSuF z@h=I&ZGRwGb(>9Dy^}*sWegqQ*^)t`zWqY#F*E@Q`em&7`Et^w0q1?u7C?B3vpDy# z$8Gyh^qLrOa=PuaXRf3^e~82$KN4(WPonAAhhU$HCdB!PDGpKnT8M^?1g^U!?hmnh zY+#3b%F|U=9^CcyU^s~5b4iVg*CzDWL6^7xBx`XMWOE_2US%$P=hR!9`Uw=8aYvL5 zgN>#tA~nvYgD^pb>lH!fzZjjg5Akilm`ifP`A`0FGs)lARcDUF7=gC z@GZ_uK$h^YT>@GkHEvhqyNm^OpupSaIvAeK08wP~d7bu3EZDUDoVXlyd}8QJw=jQ^ z;M{`7y#X6#g;@T4H#DN7iA}?V;~i}pFFdfV(taXcP65-!+S#DkdR(Q1_}Ko5?~K~y z?2eV>9yprGtk!|a1g%^@GN;ILykP!H(O3b^@{EJm&aYNvJQIY!(9$8nXC>`~Jjv#> z>y#3~N{-7ug|EUWAiU)qF%2*L&ZPY9Zj^sUYJ^oZ=7)^+VI;l|XNm{AQt}>v1sS~W zGU)Rhd;m4k+{kuZ9>W0x)@sL;k$buZ!3A4S&tC480h5a+7jnPzNvM9sECs z5`_0mIG62*wno$DrkS`Rcs;M${iprF2OB-|J29EF`bPJSri5|Sl5u)zzZsc|^xB{QcRG8P?=atT^|iz63i0 z!VgqR*|%{U?wCu3Yp4`Bm{3!O!f$AA@)*Hr6+#AlC6_ppwqNE=fpx;;c({6$l|DrO zDxCqkQ5r!wZ$7{;e4ly3bx=!hw3$*4*bjz$1d9tiOlx+e>!AIBvqM_sxxjABHV!Uc z-SHX{oD33eY!fYY85z#QeWMN^xVCNs2^@Ca5-z*q&Gm7H2>AuuA0YnS&$^)WB?A`B z8c!v6jk_ShsZLl>K2z*ZlxM z_mU0?Gg#oEfdJ0mU)~2V$c69onh&UAP;HNKW0XmicXQI5>GF5Exb!za)-|;li3yWf zbmSio{neH#pq4h@^b7I)&Na*tu!R4JCr5~<>3J|X{~8kvp&s7in(k$lAQAJT9RGq+ zf+2f9FrqSghPe=i@en}wQM3^*{PjWe@VNshU#-|hMFCwG6Q4^D^P%#vc>abnlk0aD z{*S?!Ial(1(iED^&siT5k@@ygy&$;y^c6tAop1>-uu| zytga1eyA_EIZpPvFPkqJHH4uPW$v_r6+fjDAWsd$HfWQFGz6`wkgyX|s;;GtCnh|( zjn-4ws{LnIy4IzrWp!E;L!>b+!%#q0-a#&>{rF+x$ZJN&w0nvrP1isFATiI<&W^HZ z^&`PKRhvbDY(yPVOPIO*o!_KD*22`vLOG}Pnh`_t+1{Uw)YPGp<_8XIJPia3POI2N z&#~7pCDG<|)sbKN418%tn|k;sdocWpB+(4-Ja0$TyxOZC6$jBRMS$VzSy*kN6$^O^ z*0nD-Dz7szuf>xUSL{Z1vTwk#8HA1B6NiquoT>!3+btc0ympHb$NAK|*Es0=_baHz zKHbN!5dw4pQsNS+NddE0+(8)a1+U?^O~0hNTYz_T3UX6GZK$HCfIVNg@O4!u56{)6!?x?TlS;kGwXF!Xbn z9S0T-Lvj_A-&4gY*j?%0q$a_PLxtJxpX^V9q7XuzT<-nUa{kY_B||U(n$KIBM1S)L zi6gGo?QzFdC1<)}%QKVrzD}UK?uAO(Hdno8^RDU6;G$7_8zA|eWU#cv9vqn8&sP&C zGmec;iQE%eW9?kO5Izk!wt0rwcPRPl~IfkacF(D;a8E1=2^pCguj- zLQX@wrZw zEvN}bn2s2-#W~`VfT@ZBm2~%g(!}(3Wn1n5C98m=h3vn#6wVtX(lGd4)s$DbME!f; zoAmycRUjrX z^NH0Rx`RCtE;r0WcZpjp9hh6c-oB^Cve3?|WZCrlGz`Px)ip^LijnUP(4XjT?$a^U zXKk$Zu+iC?9l&vspHNE{ZxAc0H?E(0vk(W1fePCkc|I26is^l)h_phe%H~GOGyY$h0NYS<Jch2lP)V-T)}ZkT&6g> zajYk-*Y<~nXlf=M2sjF(D0~B8`{}Pc&L;T^;m}RJ0^Rsf0NYN41lzLSIHAH5wQ-a% zpE#<)!9lr?7ayY{pFS1FDv$%T;w~^!^+E+#jXcLcLP*gp@Gem|)-VQSyD(xX#X!$Q z&l)56$%o^5{R8aF=t&Tg+?;ih?+zWS5lPCmJ^$xp0&^n9Y0u#rvy};POS85-xgQbp zHsp2ms=*tG5N9StNv!0VS0=9FXKcA`k zQL(S$&9L2J^X}M44V@PT=Vq z;(cZK?h=#%Mw6czY1&bo&ON;3Vq{E4{>jsQ%iHv8p22AcW<=JY2QgmLi3Azi(aFk< za@zIL{m3ifD}uQLkS_eErUeB;QCJ)tfC)44-2 zotSQq#t-C?Nj2R)_JCK7f?AHKX!LA3pfkN%66qKdSByA+e z4$2t!IKu`~#27L`Tl^5GG&MqEyR6<3#6eJRb$y4eui_JF66pQ+(7J;72M#Fr2*Asb=vdE?*-pXm@ zFTSOVTCTj>NP6H;yKBQ1ni}r)v-bMKOqBd3z;Nq!kC--Qm+#us-yf(p@?!kRSItpf zLQs0Qkx#BBn2@FC#F9|RT0)0slO0w_v4yfGvl{#jpNuSshK|7m_LR_1KU7173czru z2+G~(jY)=&7)j~TM~@y>)}L5hea+mrt3Ot{c$@iT?DN&`-1Wbo+>WRi!~5P0)Q*37 zuRCTk8N$Qi6iMhl>xVx3-Fu7AsqQHysjQSwh^|*z^e%Pq;@T1c0Lg>#!bP<>ie-qS zZ#V#lVW8}cO&N~m51}~qyJ-eAPKyW7Pp500L{VmAP}pS8UQ_zl0@UaD6S`kr>Mg84 z^X<^l3x8Lulge#$y=+?HKlymPfB&T1&N%C$!3qq4fe-nE-;91nAZg>l+m7IvMkNAh zUwtq_dCDT@1GM~ge`aUr^Ss~uop^~C1_ANl-hGc(V8$avD=UC3>_W-Graq!EB&QFE7A>;t`Q-c`7UUVCCe@0Kb)S zBwd78ii^Z;z%Fx+L{21}%FRMZz(*z#>^`$gt56uSVT1q|lgEMkzDYn*W+I%wQ!g_| z4>89jATFVL*wOSMTAd#ro?Tvk=L_?YPnQ6Q%a8?{Zpq<2gv8gBu?&-{2|@%2 zNcl;GbY$Ier44uEd`Py}+lQrr6n>BZ0vbPOOTyLJ_zTsWh`O2!w19aY9wJ>AJj9Q7 z=_9FI<80F9UrXUBA1yuN#LsAaQiLV*+^$)OOL@$RkmQsdD`bP2n4!c8k>=uYi226_ zfe+mJ93g{`F2C@x4P|14u*(jIMP@J&Lr5qQqKw(qF%P*F6Em?mghhyS5@IHjHgl(A zhb$yXZp;j!2&yDL*x1TsiQd5`gd%Zi)59Si!wN)DS*$;qmwQ0=LzYpb0FijKzDAi; z#;)EwhzVDP#NCI9QR`&PLu@Exi7`9t>={23900KyULdj?AIr!^1PL0#;ahC~pO_df zJo@Ov`$FU+9AO4R2$H$*BK|ks+M&0f^O^Q|%z6%f!p=h=60&sq2@#SU%RF&xcN>0gmK3D_^3KSN*qe*C9 zO$POt0nLc+yew1Z3hoXJR6S}Sj1p36_$ga2LqK*r2_Y0An<~rj2#^IH&zxA)oXnUJ z5zzrAtU={F?65C$c($zsRlb|b=iK9S7C!lFx|=5<-u>Kj1jc!YmYJm!ay|A# zI6@%L2d@6W$azT<(UT#;Te#!NmJg8+8}=F_?&an^#Xo=k`LDABiD8R=WBM-ZHW9g# zxNwOM)?rQmCw9W9l?xaIous4#qam*iU-$v*fHRc+Qdor8LC9zL(Kt$>(BQ{WQ!}fn8gp5e)WsHz+^MH8MMJ_~!=#bpFrFC$&eeknWdn@vhs3C96 zU~W=twZ%t28Igs!RYYh*S~bJg`Wi}E(bPOhH!zkYb7TOFc1R4&7*58pM38KdBv?_#;*ep zY0-O#7$F_Ff{c)`Lc|gxvJX$m&G3+0yYeA=zYH%8ce_@q@)j_G)X+gf5iU~e?7{YS z`{3Z<&%X|~TdmFNSoVT}fxw30pJuP+^FU;F zdF}Hb_PGS{l^aM%te;+tS%~bzLE=vS2O*3k6sx+Gsz!)fHuMmin6XHeS6|9VCO$2r zIsfoAI~Nm7C6Q@Ad+=7PxwYM_L~p&-jG}G4f3_V>!zau?JRH7cQHY7hgN5?LU5;lm zwgE!^k@*)nGjj~PdvDNUA#WM75G7b4o6K__!mPVT1kX6!fiNUJM3j)CXEZ${C7kB> z@9-b^3?8z$U-vdc%-M`HBl!X2#Rk#9xQBlW6~Y+Ki7@mA&NsB9RejGS_uhZ z0PFt?BILVp4h;@6^N}iAsMl9{2$SwoKhC@x8oH+X`1?Qpw&w`}A{y;3;!je5IP+j3 z9{_}njb$L85V`(nz4wI((O||eb(f0>hmFB}7s@WZwuuCzCW0 zgsYYhIVu=o!y-;3d@%P2{LeJ2#mQoE^0M*q>0G5+EEZ=9Ku z@%mvFUh^*ANh}!Ye&VA%WS0qgqVoHz=O-M5VtawR!Yg<2x7hbI{Sy-trAleG{|a{& zv$6$?9LX%YgP759s~s!h03C1;5kfprwe2n;g{!My9<@Lu9W-xEP9ZKSj$_FpQ0fkt#5B9T7d$>7}oTdx8feC+4K#Nx`z=p9%bVqpks_MF9cz1~LkLUQ#v zRwIOrq!1!qOF|NF%anzr&&pVFiPc;$czaVgAG1cZWz&`WYon3w@?Uz>Thz8 z?d`YP?YG=uH-sI`gwaFzS-lML5Ge_5*j*wRyKYX#5fYoZV^P)&5AYHC#uc}J`_W!M z1VWHI8=4r$-?;1M-Q1QC;YcPBViR}nNm+LdcjX~Zrx0@EdrM14Bt(*g*oocdB#J$V z0$Y9An@EEQ|MA9pGhdmB>UE4}PSm1mH9FDEN6j4&k{cr+gTw@{Kwy+|5f5}LPn9Pp zr-mFM9uW01O2oU|2MEFaUu)!;IF(hY5R*u8gsg**ElZfeLrBQ$Mu^B@+3?J`G>D`r znIs|5>w%E4v8*?)3!I5K54q*mZ}<0axT>F`iwq4_Dw|XDW+2W&&L0sUn)t`lp<*6# z93fwc5P3+SR=YbHA00dYSxJW~=-}OqM1z=Ks=7`>ChIVcQV}DXYW>uys0u>fJlH9X z0SLygm!UWf0T_M>LJH_>t{@`v5GfmStB?X$g(1BD%lKEU*airYXEFi{SE9645?U`{8F+zO8%z4Q99uVBndP0`Ied39|+pi!W81!N@ z?^yIuzMQpuyNg5a3V1*y1WFJ>A8>M~%%l@?vkr*xe8eJE&&ZK+DlbCes<#nc@p)ZR z5}dLILaG&n7^SFsQ$8Qnn~hqO&o@rJ`Q}bEhVc!`IKmJ-UFI1X92^|GW;D0_D6jw@ z4?c)100JI-I6@%sQRy;DeAv_Rcvx$DXX3K_NVeK&0FV>yZ=8jQ2uBDhNLYvwvg<=v zh)&|uWxi5#J`ULkz0A9MNn$L}XQqq=JL<6EZfWlcX#dO-8A0TVg^3qkvYC&vju149 zEF)NgXnK_;CS~1Ygj}0K$P*%jvMp6dbu%&!fnvO%SRmpc=_MYPHU=TJYH5ZCwIN)E zO0}JOK05Kn8*lDZbE5!b42WEkbEhzs@!^`mYX(PWXA8^A!&iV19KZz0L~I3sW5b;p zA^-T#bV!=kRp6@8Ekjg!$Y#S6vh^Y7{)Gs$rQG$RFvJ)E4fb`IrDL`%evF7BRcI~{ z;>^I)!8nA3&_wu}J1}v>D8h42BV#e$ULXPfb)Xl73f3)`F z9)hA5|95WNB`ih+r5H8fVPoDzAi{DU32A_ka(Q}znp$hVvD2)tpCTb8^fA!r7%&+{ zJI*k*FgiMmW&c=81uSlXg?K`2*9gxW|4Mc@!d)EH z>-XHTxELfcEyM9G4yZbfP6mXme*fhXO~k#=8}G7;qSiPqB)f=;xBYi_GHA3LLVUmz zQV5Z*(6&FVWmJG%!`a|9%1ji@v{FT3IPQmA`)-9s)#m z)|*B2G9ZJ>9bOA)?^}R}+~J}S@&Q8JU`8fl5i0@7Y;J9BHF<50VHs=&d<-11TOt*b zP;7+=AA^~Ikt;8V;2<=SmufFPwd*G9hy$t#pSlQPBSQS>R~C}+kU+@mfe@b(;h!H` zTO1My`O;YkAfbM_{VI3}5ka`x(`r3%jx8{wxU7tv@IAY76cR$&&P-dW+NGOMgAiLF zVtXNii*$owl34^e>|2d^Lqatl81N0$-DLH;TY5uDGmuR@Dp1S;-1Y!NXRZ1!h1sQR>sV;Av`#ip@;Cb zAxN0TOytwOD`+A-Q51gnVe8%=XCeYbl<;!v%QSPRygMRQPsmR0&A%MvjoyWePF}d_tx$qLZhLbX;W=Ro0U+@iK(0{XH_Zb10EPJWAF?e9M54pF+q4L>wU+mr-Bl6aIoT8)s-{NH8Ko zp1g4N27o{Zkf^Ia)ekyudU!&aeiGTqYxB|73&xtW=8f}Mzz$1MEkvZ!#&umOiT z06{FoYCq@6-#clY%%c%;Y&buW^e#l_D-%2fk&t+VU?6Vf-xfm06_QjtmSvGfar2lR3&jT#Y5b}%)w8+J0VvcA(Gv3_oIGE6+Rws9sYyU zgqcxg>12I-bMwZHH8K$*3?3rwmYp;wTIbCxDk&)ej%CZLtGQ?q_jPS;3&#_(+?dEz zJ~$$wMNS&u2?R9O6ADLCJ^NaE(uAFK*V+tk9*NVG&Mp^+vkkv$mKTN(2gv^B<}bj; z7tEV6Kf&~4tzvj2ATD+FM|&Y;iiePg2oRI0j(LbMF$OY5h>bGCuNx1s2c6!*1A0ZK z=F)h3?C$G1X)VN=2w2#|vk(yRGgWzrTXy#dLM}|oLy*7iKc||hnrI#V;LLPx_H>i@ zbLhY{wFAgTRYg2PxCF?~4ej+!utA(D5*>sYqiUn|4Q&7-Le3(RRZGZZ4?)4yqA@W< z>`f%#WTX6WBvD4#*>^k{j}<60@#Ul80C8KYP8i-^Cu4Znr^YMIS4=4g0bE4qBt!= ztch$u*of1Hmuo~wi3q8lCp4gh;Id_1^$H=<(73_^vg<66s57&|L8AVQugDl70q{^e z7H}95tO{3ECA)f}Q3@|$vwhXLb=!ff{4_k2 zPDbz$V zthZXrK^Pns9S)D6&0o1`N>U6$kSNJ;+t`gOp}G|jG_ID4viP?aAT=Bt_qU&vg+NF~ zMt(+ieiq8l&YUxC7P}#;N&+K~k-rHI7lMn4gm?ur_;3{^XCf5cmkwef5_Eh83ps7p z+bvi)LxgxHa;~Rm)wm!7AYLM(IO7OGLd2h``e;HXOd*=>Fa7sd%siSCMH5c%u$^3< zbUF`-i;%YFjRp~8*2Eep*+7x9xw*c6eNj=-GS5I9Ag$YQku9x_dlrh2U3dtm3hxVz ziMS=aa$mU$5b80F{Z<>w0#S~}d|#ex^x3l=7iVr8s%<8l-B>9wnQJwm<&A;_Ou z=7$-TRIi>sEj(}$kB}uIgg*vL$TSF9b*qQjsfG?qh>>nG5#&c1zo<$<VT>pLNZdXF}4a77)Ai=Vf%BbxGaE}pAI*!~nHaEAm)h~t&=N}3%XfMZ> zEn7A z2$6@t2x+Yd@euJxwQRadl*z$Dp8T>+LjXi4gnZc$GJCaq)xVr^)rp=SyLAY~RIBZ7 zjw1q!r4NI@XC z>RFl7w^n`q>))~!HLj_E=)u{D@(>7N4uJ^yJA{CTJ#BAhwVi)xXNN3tgxus*b7Lt{ z=E>P+86LT+2oWMZJ)7;QKdnybgwM;g2|>mZazP_AQvvzxR|a=Yt%oSUJZ@x0JWTQs zWD`}yqaev+G&x~F3*}AELnh(`g3&If@rR?q*!C0H`R~oP5y%;egTp6; z3u$l|d4z}&$)>5C3Not+G7z$SmqukgMigYOE?u%53n7gySAX~Y6bMDgNle57VhN$) z$)5bE8ALkAJY=aML_0H2oggx}%b%#~M*ppcJk~-ckYV89(4%=U3MCS82#M53>eK03 znTX88MD%t6(nwB<3?4MXW6Qd|d-oD#_I6gUShl_uJT6?36le|}IQYEj&G?iULN>w& zqkuKskT^-mOJIdX7}1dRkX%a$4Tn9=ry&@D5b33tdb*;b#0}XcgtHJK$cX61FMNR< zWEwR%VNq9f^u;>O&oDn_S#M$00?h|pkQ`-oQIq~uS8WAg3pwf&q9>=K!iYZ%b!}th%l0hm7C1+tZ`_F>bJba?K1l)YbL!)D&q*ge4tKVGtqCSOEx0NPSzwrgh7zw+N7J z-~k&jB5m1w@L=!2^UojLa`3DW;gE{}2!t?nM}}%0M1<5s2>SWY87$syyrecw#DNn4 zF_=WE$Y8-iSmcV4AQ!Tr1kJ?y_~sT1$?=}eT|p?xV!$p?D?&(8H*zRzYJiYw3=9%w zL`doSmgEeUB;@752rrN-wS>eY$uj;ODiepJ(Lmw$RhAME;j;1<=J|ogilv#~5FW}z zCXCt{LY7~6eau5RM96YOh-R^B{TVJ|#*&n-o}0o3ba1$lJLe&;GxM&5Fx&mY#L4c+ zF3Ws$rYiY-*t?u#{P@3zNP^4}K;*sQXd+#!eAQlUjhh1R7M~@y(R94njrVd9#g#{X56)6)Elgat3dHRQO5U(DBjkw7nOj2EX z4GZCLl-#(ylzN#w#PoYI%0w8R z_|OF(h7;olRazuPc1&XFq#(W#QcFHg%9%YBn@O!p@z_SuG^H ze*hx8cj6}egRZzzk6hQ2;qVj)hHC*trzG!5bJg+%vvjmZVMbCqvN6?GU(Y5WWHt#EUE-oVB$-gYoFK3QNHUR(?$8mA9!^zaC&@@G7Gf2xd5e)vP+Wr) zWxO>r1`mH0^Gwr~5g_n^u#nT|FZhJGH&gLa4Lfc}adqD#qD=XG_}~~nGIPP9!67=# zg*5a7AfEg^h(yTD$0Z^(PJTM#dNuqhR^cHLJVaeUJS56ocY}x}q;2aa41^#>0lu62?VH zSe>CRFH)R2x6kx%r$(9L^6^7=mXI$y2LTLDXCV|sG?m%m;mRRX-^u)#!iZb6YuGS1 zRNRzMZ&!qXhzRj5WYZ=H*|SC3yKV0_YauWq#N zF@#jFC_&}X?7F6Q{&u4c$~_=tqhn;}PKbe!T0;mEjDW+Q9L`7R>{fX^K!)cedNi1{ zNctTG3z&dPStK4PRtzO_ph#7b7|GPC6%y50G|Yc$5=4&1j~ z)F+w*2!vQgTcu4pD5%Op(6(*c_UsWM)dHhOG@a|lq@b^*sxKv1IZI=B)(9~2Ma&>jyG-~FHx>aXGTak!H9bZM$6Kk zYIS+INDdS=M29ju&jgxCn1nkeZ%m?iQE}$<54`vDpMN5=E)-LcAq_$CXjLeD`0(Ml zu@lp;iEP+eOV_T7N?tsfuGv|akMc6}GbDN+utL;feU|kQmx(wN!9z6B-C78jOyug| zm)U;E4>AY`ag#1Jk@>A3Q@cZM(_O1+kz^5wOd&*w=u+zwa>EfaRt`~D*G)4+kHLe+ z)!TgwF;C^u6qT|Fga{EX0Fimvvyu7}e4usYA$#^Z4*?KcX73vry?f`*J8%E(cmMwP zzu&n#I5>Q*?@Is0moM(8|JmNy3L(`C7uN5$Lp-(O#0WriijWo&QY%7aAqpxwR^@Il zaDgT_7*6{V5B9;zrYK|ouuK!N$yeJLN{FJWFpiR+`2<_4eEx~Nx>zh~(hwnnYsA8b zk$H+8J<8KhezLnV5f76J$CH(*R6u~JW}j7;#WVs{=}gr5&5y@bL(FZpm#EHOI()0MO%}>?#%!ZR`S;260-on`vx6J(WMDK8nd`g74 zkvlh+*;$A={`YxienHhlnbJhMsR=+5vR&dhe=EzVoi#LVk{TdnnI(iUXqij z{9J926NM1#AL%l2hl zYT?&Z7aSNAA%1tpzBa^cwCV%$ehpS@J%kY1-t*Q82(g5xuL%gl?0qYH(|M_gwH zLZ;y12=P)?2Z*;LGui6nJ;cd*h+lUncvhv_Rd6?ikVNjJYtY^%H8g=oXJ^}H@Yu5ovNxZ2I8rJ!@C7zVIK?RLqa1NLUb9{%G$`D+S*#H=Y^BhOi4$>!Kxq% zWYyILgPw^bO@)w08A_P4NHiis4l@8yRC+YbFDXkU!x|N))|OvaSC@qVggOQ;@`W$> z!!i@|kOdbY#Ni<;VaH?!c1;?>>BMk>^$@h3VO|aVJ3v<1nanftf>qJQ6qLr7%qY80 z6@*-MgnWo|wngL-C9}uK^>;a>nPCV4k)#NzwH2uLwp3etb31BlZ|mH%r5Zv^x!pGr zI=I$CJVY*E?)%rj{z9Pn?cd&c2S)DR9UUDR>g_zWWlJ~xUJaQvJ3?5AgG_R%q2yX* zJ>-ikE1s$-lxc{J0^N0}D-#zE6Y$`WxH(3+&_PK{B^RovhIqDw z91dd`ae-k{R0?REJ9dOZhr+-UN#H*al0(TJLa>sodN5(atNDCDE&R2d#3rEwF~oT{u-r6oSOyk`kL=!~A$y8~1i48OMovQDuulQ@b{WW)P27vg`{jqW$ zLhIfkT+$37429{BDb0#q5kd|^lBz%C_Kx;VL>Tzsgb;>e&YE(n=OA3yJVGuBkUmLO zGz2IfA!j=GqxSZVQnLs_Dv4G$*Xo9cn2K5S>6I&=DlGii$39Id7Oi}0W#PvP3kwQ^ z(WGp`q2ULa$}%S}^dK^ity|kV8yeP?=ZO$BmzL?w1qFeis7Q8od0kyRh4_lF6CK1J zG7#B`9JOUhyc;AckhHC z6UU0aWPUq3GTYy}WynxNDObldf34ST+5{o(^mOGT9UYyUl%#Tk2V@Xq3?Th|;zR0_ z0A%pe@NnO7U*8o7>A%wJ)O~EA`$#7qqOo}aV!fo6v$?XYxt1ecS(%oS(W;6-;ahvQ)mxGAfxp_G@eVk~1jp=hu!kMDOHvUoTLafr-x9zvozUNR{aptcd1YQ zVI9OqnM>f|d5FkyhzxXhZ#5p`G182dx>n6GUiKedmQY?=|O#Xt~Q%rn-iE^bhjX z_3i4(m}&^?GV4=iX_J7|s}y3qddrsQ!9yuX?-(Kd);X>@2Qhsa2muihGc*Jr9wB=f z4esskuzYYhVIs|}1Kp@JL{UbuCK_j+*;5vg!U9n;x3J>bXA28+tHPBY0lty=P^9fm ztuc{Qq@kf<)B0kYf*2m=`aS|7@Ii|dci=&W5|@<4h82b)6lNI>cy&Xisv&eKN<*cQ z%=f4g>Kw#QP~}1{$bblh(3Kf4%HcN1C?vTcvuZPI4EJ%$Lrf;J{p4G>Je_;)+_`hq zRL9;GpP3(G+m2<`OCCuG(wZuNGen1oT08_II6OW8M&glAT;}1Mh~ve)24!`VXCcHF zf=nVMSwfm@x<`z0gn-Dvu>lecLxynRaDa#qXCV-R&k&7ZO7jJdbT|v~8No-&00a}! z)Miyfk}*nK$roaURxnafSXlA&vpiZtxF$)X^*$L$4TLl{0>~r>nL7rE5OIWL37$nj zVw6;6BpPSUPBPK**FXN^)eT8AxwSM7Hi10KT!s&qrTTQpn$_8LOCfwlc-BOB5rW+y zOUQ|yef!?hdD4U#Er2)yGjDO-a*~G#5R{V_*;!M+c*}tUk0wMbX5hn%GL8{Jf~<$Y zgL(2`@gAptXu4XS{flF!sqmE4w~LTS$`Vp9Qj~u*iI4{BPL1-Aix;&nFz^0VL2c7BWmi!lMYG z^$AgwIjcdOf!@8{o#KO2vbl&3F(N>8C>e={qKjgYr$f1LAxJ6|aGrXaHV{h~7zBa~ zHx3KOg}Ir>RI+JP>#}0jP;ue_>Z4}lyBx%YnF2&+Qoy745eiXH6CmUvCKaJbLXn;# zETM<9<&VZWT%!o1)8m7~?k+==fe}uR5L zA)*=3{9&y>c;Ld|qX^mM5#pCr;ld%}jDrWANDsO^U_3V9#5#zlO2e|0}&nG zPimyq4f~tr8N?O}L=5q!H0wQXBhAY@U+&ySblLL!-fnaZA9?M;zkc=LjtGH=VUvk) zA0uR3l+n8ik4vy{yo3W2`3j$&SM0in3JVM9; z6Lo=mUR@+O6k%**a|-}*yA&=qcV*fK&sDX;<#6KIZ#{=zIAKkM zLA!H3Dw1%?UPOo_LSO{RMw(jMHx0f1l}8aWspf9w`Z43eiZHN_9Hk5$I zhq&=Ld-jTs4u){Cj0j@Y3WU(tLag{Ycdjkn$Ve`P98jM`9&-Km8*e{+c*ziAPuOsB zVP>S?s=L3tcTX?>tIkt?9^&O7zKK{yFotj`@4pIKDMt+i3K?-%S0+&9_hiyOBQB^+ z4mB*#s#;viNK7aYz(|ydaAuDY!aAC4uFPy}9uq|7s?%6Wz&ri z2qORjAy@kQ2ZYGLDWxwR77*lY#32G8YD7q7RWPLO04g{=LMj3f5(JZ|?XWmJTnE<~ zi>1VCQ>>5*SCs|=hgpCVitOH*&k~ueJP{%+csUWRz1fxFumA{#iU1Fivk`?J??*a2 zq^>uJoVaZr%w5F2o2YWkXqY-NNRmiC^sNR zKtr8fgwIDIBoy~@kO?MIIk{isoCHFiEv*YANLdfPxO+DfJ3?050 z;>u<66v1PXTKjur9^kQL#ghv~3Fnf5{hd@jAOt#^5;W<0hX>cKwt<0eLkQ|eLZlC= zm#ZKHAj0G3n>YXTore#9{imDfF9V4q1VqkUyyytos7sW9hvUN|M1Yi~N{dRf>H_e= zRp<~Ye43S-v81bmI8C9#Pe?>av?@?nmtca}p&gZ#v1lS0%qL6D6b^v`S__EaFtBhJ zB?L7Q;RhT=nSh}rOVorGQ9Q{F#lkfkno3HRX_ohzkW92QJcIE=NBH(x>Dk^c0jKb<~*{_@?sAYuXOc7%u#(IFGzaEx%&a+oxv zzO*#RUw4ciMsf?FgFsWUC|VHL3{j?uX|Go{Cix(f3L>^1~ng@`pcs1w?M%y?g%d z-Fu^>LtcO8)Wz3spRp#=jD*F0*O3{gvUDYc5K!s@0%MWvg9|%}aW*CF_$@g20tyF- z?;rL#8R37$BF{bUIVN2;G^ zwTGD!0V5h&7&y|{y=`0TrpAWu-upMsJ3P3Qs6q&QxG+OA>zVI={{u0CSR zAzoJEBF+*#!S0^m>m~_4*(gses5HG=ujR4A=fM+vJnUwy!z%V zzx*YLd>lsJ6d|McG%dtXHE{9v?dzvJM1W+!r2|Ub+VM0;S#w#cesM5V$Fgf-5wsyj z6_HpVt16Nzn;=&M@h%IBe-T|mfgnRY{CH@`(L>>AelU>z1brA0qNrkNphN2rnai`} z*by;e#`bk=kP$RASX?rNfj|g|7zq+#vbCYHvGK@}k^7eieGhSjjE^xQ2&a+T z5b+CB2r-a2M(kSvM96~q3#+S{*12`4@2j8mbeedkn!6A|X0%^`%;2=!tcA;xS#IVB zjg`w@^?`YJfrCWLONVYVZv!$AU*3LQ9Rm~8-%I&xWQay}(*C{?%^mAgFNQ@jvJm<) zS2@w(n{U7U>sRjFy>oYzUqru0Viad|^b~47)nWcGIKYF`R~ctng00f#nfJ9;R6HHC zc#w_+D+;or6A4K>2eEQiLgSQ7vbRxXZP|-y5fa@|S{Ka9d_rl6^$^7qUvoL2ftm=d zK_femC7QTAd$INbC7k4|^=(@Y+AVc#xF!3N_`5-#jz*QNDO1yjmoF^UsEjNGG#nx0 zUI_6FOQ}{(b8`SRusbfwxWmYgGz(-S3m3OFm$eP_{n-L?^X6qd#1&Mnh4_RZ5Xq$* zmiYmH02k5v4Q`{>o09#JC(mBL&9~OBJQerY|1v*xOeTbJ+Ww*I4A%1CjrB7L6+AdE zGtKZ0&*wc|9b`onpWM4MG6Eq(*RMm!8+UGtkd9Lw9Ua0$rvTAu0nrH|P4kLG2tAo( zSB2{CQj8vNv|beWnnH*G87FoaqOkH}W#x;NFG5Jw4&E>wm?J_mOt$JH0v={G&-jBQ z#NM*AK?%b_=EGbFGMPwjFjU`h)(ln-Dp8-RyVB@=JF)8i$XT{^n{USLrfU&|)pjIE z)|^-)N=~>iBSIMam*@n`K_)OFK0e|}jFh*wH>Y~9@PBjt*g)@rCl}10PnFaFLRUqe zFvD30qCxqTHAqUB&pvs=p=oqtC3ag_zQpxy?JQ73iA0-5{X06v#Sj7xWCr@x^k27x zjIx5N&l`z34gX__?1vMVlQ=2JP>-n+ z9u=;u%L7#iGS_guLt%V|>NRQ|BMuc25<2?gi#y0cUVQQBi%Hd0pLpVl_XP@MA&M;y zkt{#UKm~jNG?tKT{Dzn#N(7fAeCh&=2ktr;7{f$3>o`bt~guESYB? zuCSY=%H}ffTZObL2+`jaAR=UJ?Y{_dF~-Thz?)FQWn<0aRC^mq0DtdRQ0HPVvDy0T zuT?U5h_w*VaKcEyC7xs`J)D&(Yf)-qz|fsbUW1H>92gz#Wi^W>q>Xx+f=%aEB_F+B zV%q1aA5R#u3+zZTT$%l@);zr9^Z@s`d|tL9z46A-?Kke-9_q(|-Y_~w$7cU22}B2T6cDI zbP^o`M9s*tV{P@!WZRcYboKo8FFgbrONcKZ@e&b58UH0S(*%dE34|>0O+<{8w5C!l zjpT0}y^!_wn-;5N;!OxqKUP>wcX;>;K}k9lC;alcG;VWU1R}(;T@W&FSrfH(lrS>$ z+Z#Z{gTy!`GU+0(2+5FePR1s*{#cUOba2i0kggGcJYy_4L z70>?A(!SB5z4O+$i4e{AuIJt+wRciT9k_nx3b~jh8y&rDb>|+WTyjC?!5yezDDQW_ z0M9@$zeaDrdGC%DMKdM0Lu9HDa{HczW$4}=5IJ+~j14(2?-wT>?cT~Q4Ij=62oXz& z4$UwN=I&70jBZ$Rartk%#D1l=0sF&!tRtYM5ERd^yYK8OBAQ@=10}B4CL{6xBnBy~nrSy{wE;nSgKF+nrS zGJ*ibK8h1LPu~2zg$tHkF=@#9wnW4u#5WNUqDrbmgq1G}H-bXxyYR3{DTKhrzl|Q^ zCngWMYY0(URfK2*30B^b>0ZAF8^?}af9>LHX9gT07zvPcHZHtBZc&_gu z;$mXq!x1v!v#tal5=xpKeMJZ_C@Ia&&3|H6_8bKc5(*9t_ZLQv6J5r1oTIRCu7rtz zNVcJfZ#960*uCNNi}TCNt3`n&Bu|8J^7^i2>yL;K=OKg|qx)@Le?1-zcXg$@l3k&g z)^j~Q>wN-5r&Dm%*aU!c?la>dlPv^BM95b@`IXay@)3!|#4s{@{(S9OR9>VQQ(naz zgF+q{GkrqrA}I%rFDS_o9>#TYa`>$loE^E_f3SMq;uM4=JZa=E1tH8z?KWEsX+wWx zySmqh`tOZivUoV%zt5PRTlG&K^1u*6n6V~8Uk0g9M8D}Q>OC_saQoE2v16Lkcj<|;+)I(~fLbKiZ5Ol=L!@im%iNwPr&a|f^xEhl@;H|~wl zvy*I;)sWo}A6h^jKK$D|4;~O?1PE1CLkJo|Bh*Gj2sQkHgT39XvN}W8_so$awEH1s z+qz}TiXfzvNFxtVX65^*INR1=IwZ8C>NIV$gmuMXwUk-bMKu8AFRP|tO zm?@Bc^y=}Rm%g?c$v@!aIC=p(=4Pw6>kazGAcXmh;dtU-|4bI0?Hjo^ECw!JSeOGJ z8F?Ay<;GdcOV*9POKs#B+r;u>l!#{0M}w>`g_ZAzD7v3~=9!a{0b&9n`plfuAY)>x zY6)372p`hm;01D4K_VGIH28)C=K%5? z|5&zZV@r!(w2N5uUz;-Bna*|V4(_$(^=#^&Qc`cY5McaIh}P_^S(Sv4KrYil7WFy^mM@&Iu$}QfF_NVT zvAo}{y87&}F%b^yu>HNQ@mPqycU<#aNmV12e>}eLB^BFE&HV*6sA?i1^mJ*)_ho#^ zLxy?b#3yz9Y494$wI=Lur;1sQjvRuE%ROBFVIR~W*@=0iahS{k403#( zpc?zup$#pyDN+$SGi*C_5b1lwNqUEddaqz2RKV!%>bwgemrcR_Pp^y-@;gRl1P<3- ziQOU0;EXM}UGJ5L96Q#_F1--K@6LVCIswutKo&16T5KlWCjyEy#zj^#NL#uXX2(r# zw->FGn@QwJgv4{_*3}(mi56Li7K??WfjN@t!_t?P3IYl$J!`*f2!WNCdcOAEmvE7S zxdmcK7|mr6Q44#uDQhSZswyox(0Bj-eTp0lG9^{t?ELwBA`LI#IVeK>e$17<>#KOZ z0b>AWcPFjq>)PD%&sSggzGMiohy-m{213ks-894MRSB$ zx%5M~=`^&Gqs4O(zZD=l;bXN3xpMDbKZGnxZ>)t7A+meJMy$O<-UI27b!xbivn61OvQ|S z!h2r**FWRD4@Dy~jNg6#`_I72b^+oOV&y7klQPxiUuIKq*pQ7#B&@Oz&qKUWGf4oV z)0Wf6_k8VV$TY@AjM$sL|K9a&%a*Ngu0cC@|Kb-LYqdX|x-$^csWB8K3a8Etu%dx{ z1P|dfo!8Nkd-wwwn7+(!e`mtX!(YDgHh`eJs-w|fzJGTF7tzWH>mdp<0~asC+<+xy z?~x;{7_T}%GG-FiOvVUFR60v>pmb^Qf9~A6K(aDX zmp3QBG#SZP{y~6YbWVh1C>v!t&c2t(QfUwmU)#LzYd`uCh*T6nhxkxe1`mlQ5_kwR zAp>=Jhys53ynMYl7eMSgDza!n1Z}xu=Ii!ppyJ@VWu?5EILv(cDpq-fndh2rdFe0c zuco8B=!DT4B_gh~JDw0%b$5qP$kJV;s*Vv6qA=qVqAAQ{VA%PQdgc@cj*y)3pv<)J zfQK~^dB}hGI@8cbt0)W$wYZGf8r0ON#33eN(Vz`z#+Hd~z|_{DB4}k8jkY3MTsl_m zq}8|}ZV443ZWWhWQLMXABZz;j`--KgBgn|0;DU($aQ)%)p8MT=X{)~9n%0@3eSFWk z=Wd^T|L1qFK7Gx#T6m7VxgXZX4I>a@h*WAoqA65B!Z0(du`13$$m^f%`C%6nD45WX z`}$roP`Wg3k|dDBY}!WK1-klYv*1twEY zUc-py!r6VMVlkh|UM@n=`oMz3N5D=PWp)&cS$@BaLM%o)JL8$+KuJxQc9({9TJ(sJ zsP;Yg*=N`YkcbirK$e%kBt}_NkgbIQX3o^&up#;QJ5?0Jw z8#50kJcM_XXJmt5!wW)AU0SNpX~$3v{rC5yzA9vjTocUL zReDfc)EKixMwzW5(o_-hf$H$SWQKlz>*t@JdHLU&o?h2|%RrkO{a1>-~tF~6mykJ0Uh>)s!eWXUOTD>Afml47#(^!j2 zFyflyzY*bKQRa%JB~8n%h!FbDnEEEMe%Uq%5h5aF5kgE5B1BBDQ#^BkxRjJS*{^iX z{QPTxhY?~WkvDh!fZte8%`W|MqSWqXsX@N_k`ZzpQHB-Lv@qxBS(ne4cE;GbA)}5v za)5Mt=R~Cf1X7rna(MB+u8XFBp@%4w*}Cn?_`#jA;RU*70zzPf$brsDg2;|0ArC$D z(7T`U>0Rl4K4>e{Y2ZeD)7Y7RXu)yPT zkd25SZs>#)AmrK^;J`SH5I0G(LOaG0GEu3OYqdsmn8@Pls`~O*cOgGdSAvOOzyAGe zI`6!J=+NjQEmTFW$`F7b_8@ZH*FV_3yRp2wq~L`w&9TwMs1QN6J=#u?r6qNFn(5ui z66+3Wb^qK>c}NgTHd;v7$Z48LePJL5Aw73*c~m=66&7Ofp>BKxA`#foaYJ&vX(BXw z2{|yL+lAJY(RpZuDOKz&@nou$DlBa6EoO}mBSbo|J>dY6cu;rkO0l%46?eK#@$Oi( zcbGtv9nRW*14H$p$J$KCu`Vlo=!%}_^AJ|t4W!ntg3KB|%tKfqg63|kKGK56x18Y+ zagvXOa$HERSpzNxh|fv>Z8|!k^^5Nq%-T!?)F7Pi~Y6+>I|TEQD76lJgwx^RF*cVTkqy}gWL?TPX4)75Q+oUA?e zdWM;+$I;t=@sHc5ip9^K6HZ?6fsp`+^AP%E{;yvKKt#xCpMJZB5N)>jkrtR~A_7d5 zMZAspXAojI^@ezLcu+raZ1B<2kTWOlQ?KfM+Md=HuAE|($r&|c7^pfS0mz4Cg_$`V zM1ZItjw(8X3JsBf56*RZM?nTaXvp}2+50-BFLr|pUu~?y+|rVoOkCy5r?rvq^aPU& zfFzYIFq;8FhO@ccSWbk1MJ3>4W5L}j@?qq`Lxz)N63l)fx*WE~`*vM+~1 zjRQm$a)?JmH~+9V?xASHG(ib;@(E|wDiETDlFdZ!o8qSJzgWQx3t=t9iE0@wHkuaF z_(WQze!eRt1chYS%|tOoLsi8>IDuFCVtMJ4Wd$n;VY1a+ZLWsI$xM0YsK$yj0WjA^ z86-+4o&Xseg%Gn4V}!?gxv{I^w;&{Mxo8sauyu0VtJHmsD zKeV{C+@ShlMHL94H-;Wvq&#GK=|i-LSR7o}nb0(pE~#Yd8XilJPS)@czcL6f zq5hp1W?*L{aX`pq>cg2*90?F1qGv*i+>lIiCI<%{B0KI4E#yw&0V9@)FgJ#+BDOw$ zzWZTWh7nm?Mh`8SD@4pf{Jnz;yzRFbSAgN@PNm0CKHERFZR)!}r!s6;(xE?UCITQN zBOM(|R1rbO06~tBFc0ziA3|W{BMPj+mfZk?TETDxcsg{~(_gKCFlB4f5z<^bifV{j zGLw@U%QHE_cnxt|GC1sX+SoA@wuFnleQ^jG=&uhI zVi8^yWI7BGS%@q`eDoP4cN!Yc-)xzxy(&+!97Ia?sn2c8{SYE$+8Bp;F!{%Uc!&nD zh6dtt3mzI~6qmX|ghDGtGbZI_^K3?*$+B`l5ki7YH4uMzFTXT1gh)I%A;g%3=fGFPEQa zwq*zlvJfxYyRC>I4~U5-3=J7tE~}JK8~DZIQlm-8tnD8#Jvt2$OvRWd#FQtVC|Aq; z%sJXM?AuBwZ!Ff8qAYR^ZJZ~nGh)MEt%z(?eTxW5#BqQatA5BsN+8k^Q4S(Rl0HpE z0Fq!R+h;AhxjiX_OoAkt@3-dCknQJL>;VHRX51kJxsob(E_Xj_n}3X9M~M2L?uoZ(PrTU7P>e>D*;5aCSZ0H^(R ziVhvnVuW~9xOC_>xbZMVb{Zk~1yN=@cb=LSp}dhvng&Yw!&>#jCxR%m#zQoD7pst* ziG+agWz826LmC{ypPiedi{I~#$0kP7EL(0$GcvKNsmza_9krHXS1J`Nb-_sg!0D&Y zm7RyognWcmkyh3HOL2hS`*=iyqO;kApDN`H!KN$9XQRBwiudnw3!LTr{yEK}rf z9Ej2T$61VZI4~4tU_@LXdl(=Gay!ryq6viQG#xWoNGz5D5!yKnek%@lG`uETaZC8B0_2v zOB>;&Qo%V_Lr`+AcL?EA>tVc=k4k+`GUv_?b{YGn$r zcCJzxVnp)TSZ`5?P?g-+nrSk^TcJ;hD%nRgo2C4IFt}Kdu_dYigd-{nLQHTJ$-9S= z6$c1oofmM9&VwWTXy$6PySd@8aLgvn^7OxBQ-Da6S>cicB9+dvl2MdTNXuWvdReGV zRkx=5bYF2EfLK$em5r700I0|F_9lT<3l;6uVnpwk^C+;W#! zrl;p1D>p{6hl@Z7rgyAQKSp@tP}RM+M@<7FYj4~JJLWnNgAtLmUwRs4LXm$5nLU6%L$yN>#i7XkiBy#0M`(b>QIKx>OnVQn}PQ~oIH%Yg?yFE@v z;F)Lb>i~g}bIwI~G}SVaIZQ)>#teJt`5>dyQ?hbdb4gXZTV=#fZaVaoe2OAMQ0O0K zA(JA6rY3|KBCen+LXpLIf^2kR}7m5(Ei1SqK;FvGHBi)W}4#MH}kLVBnGAn{G8k7`Sz7u{+g$p7#*H zjhW9x!nyxzJ>)0~BHv!`B|>!A?Nb%rO^y%{(V?5f)4YU}2b_30G6F)5IK6^H)YNU~ zmhccD@{meZtr!TYU?tScskB`Qaj4;webXJ)tP$ zMF<(=r}pOfeRJXmG5C<8oFIZ?Q596PLqir{Y#}JddtO6@)#=eROGTkP(8OY;REpY% zZJ(<^vm=&hj#@tSP;O=%At6Y=NFE}ByE7TV!38`5#2ZSIzgd4>^0DVWBfan$FHr_< za?4z`PSdhAXoBU6wE9Vh)mbyPrMMpqJTi8%<3or@`y9B*0FmtODcLZ_>>w^rNJvMR zuu@d@sVa#0x`;bN2@)TF3=##K!vje4JYi>rJismY;t;V%Wrhv6~$b(p>x>Msy)=iaG%v zEwqS}&_*~Bt0A5>S)FCB_L7;5G!h};!O4*2%E%U%h5!iJK1k?5@F74T1QkYx7#@-yu&E~3*nZ-} z+Ix(Rr8LxHAfKh)5JLdMwsq-bDYKx2Bw>!>5OJ79ENyKE5W(UNLNJIRQPRdCiH3HW ziwig%cu2CnGmax54N8n0tZIA0qKtLS1dA$)D=s(w8M$iQxR$g!e<~nko)bd086orY zhKMopS-W`%1raggWrVa;L;?kbeC7G@PGXmNNEl|gICb-&@Ms0Z-&+`Ae&=YdJbK-1 zwPLGMne?c_3kf7U+$rK%qS~PU-&@EcrxCI{luTqDA;ceAI=g7gP%mSkkd;hpBE)Ho z95kIHZ%D^XDT^*nNnVq&I{&+tVk$2&6Ft+zOcN}mFl1YA!%D$OftmtyrLYsMhgo{I zye7M~=b72IpxClTiKJy2LEZrpYO4s1&_fhE>>=5^`)yNv&Ezd?9dodKcCF^fX871l z1yvFqBFufVpOg%S7mSlGosZOe&UxQc{hN%L`ZK02B^pLQq`c*3+ zAtqm4W`u-sCg8%J_Beu@KaMy+7(-%HC`WEJ$nD#5S`U4@0KrLaHAdcLwHYCTT0I0l_WPI4T{jLf3^O4s%=i`|AtM|* z{Ue0q0EdWu2xNSSkXo7ikxYYTuDM4Il_3NR(S)Xn86Z(AyHs-?Qft77@Q|OZGGzu# z5MzqGh1i%>If!`(7i<47LddcKQgwug5!$d2f+rM%01?p9V=p)X9#XiO1r*r#Ft)W` z;CtELy3Ln|3EQ5*5i%r4;R9P1r6Giqq0iibBqBE2K||5S9%u9S4fm+cqRx4K^KnOr z_-G}Bht)ea2b1%FA~KjCFZ7QK3kTF@_qLfdWD`USDEazT&<|XU%T)#^8r2>lt_?4vK9!T&_$%dL*PTI&OiI=tFPMr zGU)4F+;*)p0@am6b{QfzlI#je-`M2IpG9^)5Yu!F}17gGBw zu~sgt4doNUgN(%3hj6O`J(_VX1sXErE#8X>~_e0XrfyyoXC%0gz$R>~rzCi_4Q zI%ukQJ@wSX4?q6+FM)pe<$FzrVfr1j9Uz2)syqZl+_18_#-iFVv(uMPP6`f3NG|1D zFsRi;7!erj!9yrS<_qUvJVi#Dxpzv{PI^OoU4Re}$>ePw zrvTx!^z`TpFC4EQeQ0E1p_CsesV{AKfH`l4!6-#m5aIN4nTMXoG=ofAfJlCjsd_Fq zF@*LqRsbcDq&4f3kN^-1L~@a%Bi5K1EaJ)ZbFI-xZiHpJKm-MZ$VRf>Lwq9Q@{sil zhy)`HEw|kA#v3auZ@#(02u4i+ zmQFo$Y0o!5?Eay8?Ijh>ma$C4pq{_!b|{&VD26cS&d6!lao_FtKlRkpPd$DAvya1x z$Am>ge$giX@5n-YkYPML0q8@TGHT~aRt6ypArBEBKoWQdXb6t};l4~^yblp&$VhGK zNWn|h+N${y zO%$@59rZ#q-b#p&;o6Lc9AmTuo&a}=h+zYF2sDs*8RV0n31NzGnu162>;YC7n{ewo z!AUJ31fQ-VkI3z}-+mW}07%G(GF5g{-8db>5klA9=Nk#R+7aRrk&bZAz)g3o0ywmt zqTpCrVTk-!Uw!*6>#o1@)5^+a587fAM?A5#2S9$%JP4Xz-v3k)p$R5J)bNFnYE||j zL@0&K-+othh6!;qpz_7D-b(%ot`yY=TABEE^6wl=ES2TUaE zy?vSbMHdX_Gcdw-b0Xw1+A*1IecQeFPEGA7W)if6frPK++3E#pNzDFw{{m5_j|8-o zNahF6?mIf-bZnCkS<02Y`P8G2W)3c~G~z&E+%g675y7~SVH#cB5rTLzi4Jw)gaE+} zwOAR8ULgc`vHDq?Z~JiC-+bti*jJ!IR`L!Isc`~{&fHZ1Qw=0WZnrq&gN)aEt$9dm zm~n)-ZR6ML6$n{_h?wA1I5`93Ff`s^Ymbkngt=)+XXlfS@y*s;s7y1YJrEK<@ww7Kf~pDWVR zO|rgwKVQC?MLr5W5kinb70nFWqEEVAS?tAQ@CC-4o~`XyQ7a|!L*vOSFBqf@8;eI+ z*Nh#?O2nMB8Z=o}e7WGli^iEI6d`_^jhHx8fE)@Vd+|wiIHN-C9|2=C zaX8Gy;L`4cxzTAyNLhfGDp!qNV}acuX^AuE2oJ6xR}HG}Vdq^-lsO7QJ~l!&Q1TJI zyG@tmTW!fHd6k%FZM_X-`#<2#C zRGd~Ak=jET5nBk6Ah8o7u_V^;gOK2lpRW6T&)Y+b`)o5)-@;t(=XsxZI~FJqT)b`_ z<43I;01$AY3mu`8OZx7G4?kdNF_u7Npv9Ff8uGyKCHy6^6g9q_h0Kc(2m135JTQ01 z9dmP6Yk1KIQL_@*knjb+U6HMsNL&w@p`jQ!M zgct~c2pZ)e0wlVISJXUTF^MX9h)VDV5c_YzoR-Oz2PRj5{?56@E2x!HXJy-m^^mYC zChcGnRmLyU_otT3_|>C^5Gv>(BDwrj|UtUJN1?bAR+op9hm&pvcy z;i4q%MhDq)o{ zG)YK_+d7F6Kdsfnbg2>exJ_@P5%%)SN+d)gr0=BuU74H5`n+s=xXo^^_O65zcgmAf z5wevIOUhQNsMOLKnS8i;7nwb!puKx zWQz^uGDnD-yQHccq6~!4ERkoRYrg!_Eh4c_|FDkyA(8JBq`T(?VRAS*s1R8*5xZ13kg$cj&y_Z7_1?&?s=B-y zMXhFEUn^ObR-q$moWvV~QWW|$h}69F!!!sAC1kxr!KF>{#-@!?J&5HjK|KuPrj+oz z^fQBe&%%D2Sr3z?0v=@}nxpIT20`NHcJop+bnFHo+Z5D_7ASdZnDQBw4@``bKB&sC zDnUh@o`av180RuRE<_w88X7Y?i=m**M4X2#Z>2m$c;x*u+h-oKZeFG>nK?kXa(x9J zAE*K^F0M4kdgT$u0pcv=2)2KL5b5XJS>|6`W>XQVijal*ONK>;sltxB6oRNhab$2qpp^Fp}jX z^BE!d$UhU2i?#s}2+6y5@sM?c5M8PYAs>GQBL9prKmG_9>jWX3{}yH(94_D(M!v*8 zY>=5Yc`D7_5wrj)8ZE-^5Vkmi_?Hqfnx!dR#^)FKuCJJ0Sv-wda9RO%Q7eIbBfZCx ziPD`{;Vt(+p&q`KryqO#$tNFs`Z3!1*IhS-i%g9Sj*Rs5S4U=88M@kEm4g@@N=}}a zt@zIi#7#ebYL!(zR>@b>ufXX zZ0qc7D|WUu>SJSGXDN!(1_2`&3TtT#CAzd2V$`Vg_g=uNhaolr8hkF3ibMK|U8R+$ zrK1fqNyJ$zW%3nnQ!ywy`KGXIO~l)e2|#M_+DJ1w$CP<_$effXA^?J}J1QR_lIJ0g z4^AsrGNUmAAQ>aM*3F}FF7jVSq8EU{#UVhxcNVfRJ)EVf)ZJA%qxE|Wt=*NZ7`!kw zH7Pu}NKGsufvWzdhdz(gL+QAh#@kM z8$yOBMn@+!cYJhqnk|I~Ph|?c+Om!fKLbR(_>LESfKszI5La_GN*ZaLhG81jnhA|# z^8>yma!+i*e<(~-5D4SIGlUckBdE2j;Z+K6H=C=_>-WE-~2r^r7L$0jvmu}yprx5_JJwVE$C@nj?3-lv^8dcxI; zGaw>H)HgahI+vRWiHIx&y?vME<7StL{G<0jZ_7xQ5Eo~D{0~569roZ{?;q}FUVNvg z7*UYuDi+gJ zSMPf-J;RQ?h;5s0pS$WA2zh^jb&B_5O4JPN=Yiq$Nuym;EKD+d$wK#Fb!PL-j4776 zSqKIT4ABdl+#+!}*NrdHAyhNXfAIJa7jxV|)0Z#GT3Q^FifaHOmG(RBOjcI{kSty0{`WitxjY09dHGx^_mFp#hq#*A zIuoHW^Py5zLGqs#;sE(~2eC+4ISX-V$j{o?&Pj{gQG2IzdI4li`HYPk*vAY)kO;X1 zADO}|=cnnrQLci=Ty+ME?Yv~gmb}{cO@v%{rgrzfw+bQq9LGYmT>Dg6hEtiy)V(XW z+&Il9A)8O_u|2uDG8lOHWeW%D-U zROhvaaR3H4UZJ?v@V!p2UJ3TxqoXkXR${#bEu|6Ej0wV9TlJx4 zGgU{AIf|4_m6vX6)k6~MAPLi3n^Dq)l_fC(r*VJx;AVe7$k-^us7qN0mn0JzA0;6{ zt|a0J8O!q!$>kyIm;QHCW*}r^Yxm0aCcu8D?K8H%~vXFHWAKZM?2o@ok=y<8u<0dJH!cHSvj+(2>4OeOp zOe^J=v;hihhzJlp>$Mu6)`OtEqrDb{1tCI?L3Xhz9g0gG^w$P3AwtZhS0 zaztD-U*l9Zx2YM$wOdJh>(Q-5J^!dcgh-pxo<|Sw=@qtW%j~_63PF=udqyJ4%#LS- zpu8<}9Uk)crp!AKvL$G2bP)hqOI82gf9FOtb2)8{GRV?^ef;sq&p*-o`ja5xGdM9p zhVvWvuxOmbrMrR&sR!%a$uoE*58=iOAwow28dt3ZKqhDB=TE!vstalDzRN+7x&JBv zksyq9;!ilWddMM6-q-whorA-}o5@ut=FESHnJyL7ecN5nDd}0ZaOjRyAPE9Ph?M

gryQS6Mq#u-MU2uMLGNqBob50#%Mi zX{f@0AbbM`Q_w&rJF$suN^{B0^=9R}mV7LZ__KMo!l5|4G@ykQHkUHjNLeFzbC+N7 zY?RsOObS7>0K$opfQchyLzH>yIn_fr93v32{YDSTdw21WjftuNS)Ygq51u(3JpTCk z8#O#7RVlvx<2$q#WL{iYFknCick3kD1Bg4Wu`-xh$O4Wr&SWvmlvy(J~XVuR) zYjC*ys(YS6vXH9)L^||LcK_}<@aTi&AGxYCeZBqKk+x^5`=aZZil#}mKFTAEeeLNm zBsdic<_qVkba|(pX z5p?YJd1Gc>oNduGRR}JJ9(m;chca&xKF+YIDh=I?kV7G4be`X7<`4`- zfCvr>+Pm)BRb_E=_B&b7L@0ucuNjNBK;~J~$P?Cb*?EaYq*JfTo?nn7hzm5eTs!;w*n_AF+ad&kh|*N>Ktv<>FvryV6%ay@nJ3#iZH47N))68=4rSja z@v-loI``xijBGxhSxyas8>sF{K)IU&BidF8+RiAw zbb4ZgqG^FAr^n!da$9+9tWJ3~a}bYbIexaL6gP<*?d_S16lm7o+P+77DfA2NP!oh< z5$D*$9Gu8KrD8pbmA<;nM2gd;nh7>TLCiyH#oEBp#zdXURM~y*YSmS-ZoK+2rz&oDvpc5tc-K zMvLa!AamUQ0K)Eo=s@@Y5NS-Rr_r-ZOCZwe5g&*vw~kdh)Q0g2fYBb0jV*b+ zk1h>MmJg&SHz#X51Rh4e1~L#Ql~D9(-8z&sqopudUJmTs9RJcw`TP04EW||_@IXRD zgho&6`y=L2dRUa`%mwM&W`hLM8FP_$IJqd%=(3!XYBXoLEY8sU||&)ZQu&4SBS${ezT;Tn!?Q5R?5+U zvf1%*A;Oq506FtWv*)XG5D79YADmsu4C{CGY&NUbrd^@~HnKOs{ZKWOrD4sIvf<}$6sIh;MGqU&3+FI?(PIZy$NMD~kgoZDiFo~LSDz6oIrut7nU24i4 z4giC*Q?paIESRaAX2ry-sD&Jf*qnHO3ka(LA_bW2>P!3B0QdM)wfjq}l|lfARfTWe z_1bAMvE`^np=`+h9DZ_{%@OlVM4$)|Pp;u*gm7~4iY%5GbAD&%S)E+URf?pJVJ!fP zdfQM@@dcSSO?);;07ov~Uknf&=8m#gx*^itqJ3<`h=Mdmi6m6gfNml3R8IaH3`3l~ zdh}|Q%ydkeVP>Ze&eO+h0YTIHKDNvjRMo+mMVa0;LN+Y$ZV378h0iv4h;tB;@zqzq zG9U7Fv2n8bl1@JPl#gCyXF-jgw(S@P2p8iIZ(o$utAc&l!G~H&x|`m#sum3%G&PdJ zlX|9ldL~Ff%vRo;!Gn{6$OQX_9yEB`X@`#AcpV)$6BwjHczU;KI)FDDBmjOvpw1^Oaaj=2IAOT7)%9zVIjC-$NX%HzAbc%&rQ$t8gh@{ll zd^5(3zaE5KIC`~AWD;e7h!7KF^6vj(vpfXK;*29Ctvfn$BgqJ%>+bc>KxEwz0Js2* zw?6rVJmr*APCnpB9p{~QJ-j1T1SKxx{0)X{OZnj}@+q*77;ob8QU!-Gfi6eaVt*;?BkxtY{J1vL1nSgD8` zp0W^LI2-Xic?5vuga8eX^E+?4NzZblJ~Tw6X{*=0V=}v-LY6L>yn+L9vnV*}weyht zC+1fO7d`AR2!fH5@4uJXEh6Lr z2%#c^oZb*2kW0_~3j5iKkP#ZYG(NjQMQ<24K0&kB7>6myLkYIh)up|-4nJXZ;-sF@ zeFj)}{ZNLEU82sK@jDnybT!Qw5)g^~I}IVb!HDSK(s|(V1HDIeK?v=|G)<27MF

h*v` zq#T9bF~?-z^%xb{g8hb(zI#iF)x{PN>mu%O5OKi-m(C7D$ZkcE!fG{)n1qm3(@TSS zRUqVQONa#o4_O$jnk9Z5A=5KEW()2Ll5;uN@{oAb&i{o7W%tWIbBI932VcGL)vspm z93zmXl6zxv=REL zmJl3e>LhSM5P}XmfiC;%b$bs?v53@l!_|>X=;K>lVNml`XON)+2PYCAjt~x`1LMA~ zqq`+d6BNN+*ekAJ+=zD^}i7iN;dC^io92201qPwz=iO#bLqe8o_`eu6S_RXrpi= z6;aca;!Da^+sIT;xEeyTQbh*#Eqp&a>jhIvsiM!%wK(k;NJ0LJiC9E6OmJf6jF0lY;03 zlo1R>M>oaQfhrmtmMoSHlYA349XQY+U22&p#$2=RtIzUyP!i(@4f z&Z20{H(z@$8${ZjaTI~XMH>r?@Zii2y>+7hx4pHBBD!hRsA7>VLx?=Y&6g1&AOav% zpAKR9GrOfbr?U~aen?@r9FO(=Gfcx38~LJC5>N;W_cS_Ir!Epz01+Y1L~aopI^U2W zHTWb)C?rVOP_sQzz7G!wL+n`*ZYjuYLX7Z(rZ{ za{qCMU)Nn7p<+UFm$hmrzZ?7D1|cQ`5fM3hIOo-!_5EG80MVQ`^$z62X97Z4yQ5!I zXrFt{5c1kxS`MPsBuE)e7S)E9<9YxeBE*ghiNml$)f7VbRW>hi>8YB*Hcb^FNOe_= zMD-9a41J&G=yLwAo3bI(`Scn?1Rv92R z3wcPLP!k796vV5_Ni+sT*1=f7<)k+VVnPj!7_Bn2hY(?YLrs9NfY^~kp3PKm z^3LbER*`353158B?hPH2>Fhm+X|kEl+;8bRwbtyC)gt^M{zB+Ii!?y*yA$| zCDxg6vZH1p-KuLWwy?ui%^;6KS|c1}hzz18Xo?VfVY=d`ATE$1dK1J=B!bkaS0Hs^ zf#3gq&gk!5D^}^h5Uum z5E0@4IS(Sn2#_#^-b)LVveu7E@aJ!wJ~KmrImMKF!Qmh|c<>i4ymDq{@@o)6yZO~$ ze&HGRVp3nf0m6yQMJ&vu)rtfRUZO&`pq6Y^R})Xja*ZGMjoWE0jzTCAB8x$N1-hDy zQB5LGi1t3+XvX@NT?tb$Mua#gF+!Ax;3ZkPq!b2x-T_tBXD``7&N`%VJ3sS>|MZ93 zA+hq9C&WyH%h%a>W=V+qHr``}6;rPWc~684iV#)Ym8b%U5u#l#xXm1ReE(yvnY&Mf z$U9zQMP?8I4qft)o~L%ev1`QH4~dB%(hitU*giwfL!Qv3`{NwCXL{k)KcJASR24$d zU+)%#D9T*76azrGOk#wKmBCo|Nl(PLD5p+RJv-w@{ES0~A;R2zZ00np>wJ}|G_282 zOUBWW5#r|{aoD}wA+@x&s-HoHoQo)%C{IYBK-i&pkroloXHXSApnsC2^U+Wf`UQe@yHo@_YXki58kl$uD20PM2BQ0cPpk#0z+tc zJ`iA>;dSbaUT%>dkD+Cyh5&W=H+h?WPYEWhm8=q2z>a^Vt`m0qS-2zf$>;J`MpkS7fc z4$p{A3o@_6hX7$R+-I8zgz#rH55Yb-xkLxI%sX^WLWo`_S%Xlk$(=&uQ&Z4k8b5QG zRbF2H3ggcRGhYXgXXb`YITySkdsaIZUm!%PY#*>pD_4Z@MkW%Z_<|VW;`KO<8VTS)f5u(rBck1f$fY^&LFf-vRpT7EW0*_^c)M)um1UEe)=*>*8%-?bHSNMv6i3&BOy zno)nDv@6OeBl&%n#8i%|D+@2P_5vV=2$w{VIdkR$yG@_c+G0~mR87^{ag|PwT{-tP z#wb4XB3a1X37JT*MV9Qb^;$?fu2#;;<54G%eKaJe6vx0M z2sf6UUo@eO?fLN)zFwr7ww1eb5UQHpc6UN_c(oT-*0GSVos{`dqp%`IB*u?rg#6lH zp+DsKtDpHbMVY}^G-SnicX9YStCVLcMf=*m-OFi&xWaom^nifJ8|EOh)mq3t|Bu7R z{IMh}-`C(1CvLiN~Kng6N0HXn*{dUxyD& zM5(F~0uwvhGOCe$^G|-~Pk;WE3kC?9L_`yV1c?rAh~$+K0H+XRN~<%Tkbi=ZeMb(c z{&C{PXIzMRjvzA!BEBES)8QZWj%B8nd;~yZz5Ej{B5-g@a0Dqeun$EhDIx{0aL80FrU+5FP;bM2Ud**(nxwW#1%v=4_TfkeJ&@yA@io! z_^Dv27Encn541NC0g;z{O*O9c?Ipw~te6NNzMZBg6=XQC8yKh?5(f5fDf>kbQp!$%sy;dLECCPj#5E{G7X$=M!92$SkwREVL&m-uqT zcjcOMAP-E~gb;HKoqASk!od84Yt-%}t31nsrmVcANn(O-BPFHHZGx)f(`-!-llxUNt^&|lgG}MQUn1_7p*U(=fZV*x`Isk?S z=STIFc;s#(`Ozd5BFynEU1CtQ5t3*qb8?2Mi#N6adHKxYx9;AZui+t*G4k3@Mudl- z`(LRX^rOu7V1kSQauE>GB}jPj;#bk&0`qoQ>M=z|2~AkhX64+ zxJkZZ<`IFAkxP61W9!9HuuJ(juoy3DYA?L|?(6VjgitmEk==y+-8&l0q;9)k&}!lz zepAOcKm65iG8mB#;lQ=>`YvziLFo2ItLPBz_R8I&BLi^Q%}*LnM-@3bG zgqVSN3)wk5%f^PShfBS8oH3(g&cu(1Q1G_deK zJ#N493hURNKF#T4^JBjkh25CS8P67}=O?d~Qm8dk5VO@oL@&ak~Z)p^7vDXXTdTxw+y7)c^V z5VR6T$u}lsE60N}#(A_*on2d73wry63H#q=gp9nTm0(YuI;hReMkuNS2p;m*{9Op~ zbm%EQJRmCIy=1gHLV7;$bywx zj&OWRBT_HG$XC>XM>j8kaDIikGXNq7q3A(bb#`hDLe!dp5XuG4L&gpqnVgw@`ONtn zWFSUJuT@e#B|~~TM5In5G&~#~<%(cXs4*~d1@1mt$||FZHGJi3V4SYOoZNm-3y1i| z%L?<~AtQyuBhp)XyyPT8YBjZX z!NR8@_P(nkqD(dLgzS|*|GnYFBtE!_k*BzL|Ir`DLyQojjMKMeA~_&zn*GZ!{xWkn z+?vQ}d{SF~$w~a?I9v=TVhU8QX5he)GgGsa=3hByfFJ_| z@e^l&6nXGB%Cl1sT|1UjW{_ncKE`BMEKVZba3y}l>61VP7mR;eECd=vQGpY3`vZGXGg6N<_5aD!aC!j z%VE7$h-1eOt0DpjSo9URbqrw$$&u8vP$pj|&>e9({?UR_uv zTfHhqaw!WT%EUn>GZFaMrXbjBA}EhCVkaQ@c<}}y&5lj_UrCZMi59nq9Y!tQ=DzOu zfQ|2Mes6R0!NZ5mt{|C6y8`3t)vMeuPG7uuahfX0p&{_#KPybsX3Z{zkg$}r(x4tU z7TZM;f*_<7))$-YQi-SoA>}gbSY5hAetwvVVgS>3^mxxQ z=2&WSt{1uC!MVuwO7(;&BN|*$6#_gMq18XUmE?rD7=wHk>q3p)g6MYIq_x6eP|B9f zkV>i;%JPnqS#y2U0U|jy%uA_Ty4LiUv3pLz;JgaB@mk1@)RJPmo~)h$9mWUq&ir$Pwj zEsuy+^>8mrL;KmLLD`8~WfampAyelk$H(dTSE_pB`l-zM?w%hxIIdaSXD(a-4;wRt zha6iNwlJfcPgGH{T$g`n`U~lYixzip`uD^w8 z{Sg#sjsHW%zN9!5H%9A?Mk|P-XBBO9hC$pWwW`H&O^H#l&|@CbOSz02nd&|f3n3#p zBV3k-aL7a6dR$n@J(Q@Ds-hhpVpVq*((C!$g2-oQu>R>WamD#BpZhwSFlf&~0MQ|B zE1B`~9RN8-h%pTxbPu;kAV*frj zh+(XH>il_zH3$+bi?}R=qN;XPu-+?^K~fA;<)u!Z1Omt2*uquGUfc)|M}|nTp~<`} zi>;)$>vZRK=+>jr0uxcEsRwHlG6Z%l?LbHuK!k?~`JQE~x~)Hac<=4EFRnaVS%(nE z2#^Ge>ddLfaZzC;EVu$$kuqGltP*_?wHMnB4Tr4bG*PYHj_S-3X8Knx)KFQLB)H_% zt5!^}o+9M72!W2bfWxOCIU`FDf``aNxbH2h=3~rQ@b|bUjbEJ^LSfX$%b(uT2Eq+kunui(%A+4j`sb*w%0d`DL}O=)G0bBc974nPhlZ)X z?>{`&b6{-ph?ximLWW9sk;h6yS^u}1F{VPPUdxau?@3RsuDZpH9+rA=>0usXh=>uX zq#FEUBVJ3J^)<{KPL#Rg>!dp*Co3r-MIK^!Jm3Z*h~j&9ohY-8iCi?ftaW0M|1O_C znn>aXh zECe>hh{HoS-pE5}tEiG%t%3=Ast?T0D!?#El^J-G)v=kWsz!%E$d@i1IC9|lsqx_> zL>W3}EW})S{+ZR`UUr`JfEXj55Ymv2w-7F5Ja{Amr%*432r@!4O!Q`P#waP3lQz{= z4M9vJmKu_mpcM!qTF6(@N9$Qb*ARgbS~MWCdG8^F7#A5L`cfS`P5z|QgGK$x2jgZp zjYIMkm&j6|_fm6a8fM8u5>}Q7OLYiwyJz;1f%LI+0ODurc&{hqEhI3wo9uaaX=&;1 z;{+H8@rg(tXm+eHL!%o)zNn0nZTm?)cQf;lcQt_MGd<+h7mbkILwZj1C|MmiLo>#0 zQ@Y0Hqyub0r+2%Soan)&BO&RI(`ue)&d#6!*dPve>G!Ze4j}&u2rm3M| zGnC4rG)Wlu7|BCONcvm~LX4(W$W|2<2Iej=L&$eYLxhN(IVD7yrTMq$o%e*m2IsGP zKzybuLg3?n14JO?U+ggvV$7FsToWPEcizaNOpb^kVFd?<3M#}9Nt}W7@Y$jh#FZK5 z#oW3@h4t30TW7A!OujseG;DQNn?R{D!bT30hvyDF@x+&&xO9o|a*(0TnjUp_at2JY z_(CIBmrX39d&@&ojOsy2G7Hf?_mFkg3~IFNjg^&dohh#&UgDKS7f#9WxNM;&nWVU@L zL;(4}g_xX(+(Z0I&Q~UH0LZHXgb^YJNZyzcAWXl0o-`HFa2f7_5C(KOMo2@>O);MN z&Ye5AZqe4A89xId;K9jOa?FEZq&rZ*lKU8Qyi@!CegJToKPwj8o%&(~L0YWY86gm8EVLAs zQT;4>_(*}p0Ll5VC_`x#*VswOI($x$a!jx&jrP@S47KYvv>hVshAv(-;13N>jJE1b zC$5AMmB3=4dKu~~U?qqfgzyK#LyQQ(@RvRALP+SN40Iqhck?vFTZmTN{lCSOy%EM^ zBb^Z;uR_SXSP0sghj8E_Uw!q(=K(~eR6HbKs1OffO75M>J6Fh5uiTiV5~3)h@$MSP zJUL5Ql_)cF{@~%`;zPN}LDG~dI)cx3PJd-`xc5LGWVj53+jb3VzqcW#w7E4vRBK1v zas?^N=62hSsL@yyC~X!gRJ1WG5dtE_PwWSdz!O5W;TJDztl`8aDQMh$^oR(v*u9rT zkG2u=_Z(Y{Y~FvU>bn@pA;CQ2q$CVj21N7nq9z)Yg%}?uRa9}1+I$ddS%I)MAJmAA z&P1%wztF2Ytz8&_5Im%nC8`q7yz2f|?fttD;xpC9eW2NsscQF-{|h4?huxuudMo-e z3rd-VkfwS-#D^b4#F*wcZaz~>g77jIM#`Zf$Xh}nnrUU2Fu(@x74UMjvu7UWg; zxWrh~FQ0>uW1!N1?#j%WNi5|2X;O?jTeZ*)Rb?*KsqxA4 z)e+ezG9r||_nqo6BE}lJV#CK6BSg;vE6Bx6w@2n4YP5K1NKd2Nn4hQew1|z^0{q+> z6sP9fO~Jw!)JBlG2zL(2fg?a9;{-s|o6CzOr{*9|?h8D`6Ou!MF3&d`E9=u&HCa;= zVxqLsZVU+zolf-HQJ8n)e}qAEJ34smYipj1`Zc#I;*B1pty@7)W2~J`SuPe<)6CY`}Yu$F!X5#vZ zm#UIrA)XJOR%Dmdc*$jaI70L*_wPfL<3xpOl8i^^2Vmh+&lv6C^6Z(J!-fce=#;i+AF_~K^+veWkO>1(Vo^qq zcDvPXQ?GP}(FTu=#Wu^7Izpm0z%*linYIQWh}ykIi3kA@4Kaid^nnQRfOtXx!^;41 zgnR%ZyBTT6{MQCA4$|b3QxqFD?g&6k#GZUn0R8~cgiDQV5M7}X0LW8hs>9{g0b0DL zMvnI$T=s;#wbwz=-S4*EHxJ1GQKGsh5BZkg#q9rQBX<47(>Gbwk~{=Lb^$^jV*2*i z&N)J;p5h-aRrTjwmFoJfm(QO($*%!Pf0g!abcQ0sWVYa<`DfOksk3#17j%dW{KM2(1cN$>VG1Pz0ybFUiBzN||KOJZrykeq z;R^l3S~zaBPy`ZK0S%XdAYOb8)rzROd1MkH$Pq%KdO2g`*=OBjb8)4qa1u|97F|SX z7!X&7bW^gLOEuQwe6g9*(hV3Dtu5Rut{%eLj|0_#KG%VFpl8Df@#@)yhz6=Y?g+`# zkiAjnZ;X&H4u+j}Jbmuk>n;!ZYDS3bnDHKBVgUlxLaZbAprs*4G7mX+tU7f^#Sm4^ zrsf7_PtQ)BIX^`|RZIdwhMZQV{%OWktl`D1hQ{5jc%j6a`7=VK-XrtU();C{eY{gO8i1@(&vf@;&1t zR~}{{L{UZwDyJ3P(IHNE9U2{+FJ3(~JrN~IGOA%D;$x>+=L$oqO@ytb2_}?ALAh8~ zKa7%(J|4Wf`@Rho@Nz1FI?Xz1l|F0;s>x+Kv)XA9x@*Usx?+Ia7 z!+z`XryuvAX*kmfb`!lab%tulBzw<&<<_yYr&S6`A_!S(rF4md$XJ|)y|7_~G}5$3 z7UB&=EnOqRZUv~|)T^tBbRYyl2y)RS%T|NDv!C4=RQcwnT(toqG89BXEsf~_38S^{ ztvgA#`|wt>w!V3B)Mus;!Yg=igAWms1M&}l{|}sbrh4!GeWSv+WY87}urUp8h6pC&J>=U)$c+;sM2H+7I5=V@cdmnE9%QE{XU?o@ zXX0~bPfwmX-BcT<5l1c5XoW7$L~*%SD-w&6UY1Vp@qpkW-a$MhhKHph4A<)`GXhZ_ z;`?dyY}Fo|rdr%;KnaMjHyC8p(PA5sh(rM$9(UH)*Sg)=B1E= zEf}Li@^MCa$h{pYD!R-mH_5V4*+dtY42YN0k~5o}kWy>3QK!=;94uyC1z{m@gvdqg zl8N-XZlaD)RQKi~?|VY5TV_Y1y2C@Bq8{?a;m9fZvMdBf3=j<;;`9~Ozkm*ociy~W zeKB~4qD)T@9ztCe9X>oqTi5mK4xc!Aa&>C(C2pU50r;h(H03Sg53YC~Mudwe^LywOea* ztGBw08GE?We0a}QLcjyj6SL_FdEgAhP`WG1O*u;&k|*Vc5L%;9VJC` z8`{BG$RPp@<&dSgMfx1qibpT?RgNBoh&g)gs>8zt2+?_9gT{>IA;sK7-ZDVA)O9D* zc+0nC03=UCIJQR_jxQQy@;;dpH*G%(HpPVxPV*2y)ZNXidh-U=Fb4|(tNF_@9vMA%5y95*K@;7Dg#h}w@ z*Bb4lq^hVSP(Eu|hzG}3uVXE3l2z7@!9P+cAtOrHsNdaMSOAbao9k=Rz4W0*pK9Ke zWL1y{!T=f^Ai2DWJosccAzMQcC7_V%QF~NRFV#a_%;8Y|qm&YYp|%~xl|%IYAC;Mo ztxc}oS-W#)Z0?f87&8dz>`GGKvIvvcL--za=-gR#7aht}y@z~p8}SZuP7%iCAg@D* zQ{I?iiXDjj@weZ+c~TZ4M5G=E1VsnZZ>|Qb3SU+p`%l8)JaBKjRu~= zr&M7G#8IT(&3k$?Li{ZsP*$cR1{ooxO4>-+fu(G8AU{4Cx5&j*C;N_U1V*~^jm20c zRYv(y5^2#Ej-oXJ%<38|e&1Q818I%k*kt{lQ+n?ntlYo>WLkuqTtnGo$R1(HpL9M-ij@|VF^~2YMtXZ?BLl;81LISSq8PZe zf1eUn2=Qd(kVqoryGsy(v4{@N?FH2xtz9|Dr7z5f@3lLp4JnKem!nENQP$j*iM(_D z$}yEd03***RaBijNM|*>-E+Z*5TPkENu|5hik7hV#(XsIAR*$Uv?r1g_A2fzg2*-t z;W(O)HyploXKss^fL)t9>0&)7iw`c-9vcxf*{h2)jVNAZaQOhSWG!8& z+#$H!TD`@M3j4a#nxmw9|GpqG7Xc7Y2=a(UD$=Sy(i-a&mw`b9msAjZ?kdF$>uvB5CB0w%E&~npClo5fGEghIVx`eF*Qj)KOqK2+%Y$MK7x+OBtVv& zqt+7F{EgyJBmaRSuZJL?im;N*!kxrsQgL5wR--1}@)cWpU8%@kKmwVF{~k#(mzpwh zQ@gH5M48C-vJ+NT)`B|=cUD(d*RTxOxaTO@QD@Yhr#?vqAjxZUDICTnmtXvRM4;dLb>PIr`rlySdkR}$wv0Ic`jS!-Y z@xirCdCgrO0wVvv<}QTXya6Bt8GOXS@n;;{i>lw2-nf1Y1Cb!Zj~((gcLHa1W)eZk zE3eGWO?9F~fKW0LB{i|4DMceCZBpqr7(-NwV(pwA1$-7xP|n(J%{LM42Y}#Zjf^Dfl9Z&~l>7aM zqT=3z2b*LeWFnt%?jgi8!Y};b2ag`n?zt#Jq!LwB5n_A@5lx(l^3(%L?4ogI7D7$8 zc<9+;Az*dAGQIzO72n#`U3j2AWvaK^yGtPkh$rMH@sND<&}S6P{$H)#e0P9n&RsJ? zga|Wbz$5n%E13~w-uWtofCyM@E0y(FA_5~I!sLfzC#$n(S=D_KLSTbaH(g1Tv>Fxd zmcoG;0XR~DlPHZ^6w8w&80k~sDcS({Lc7!1Sk{iTVY_-~ZtmnO&1OYONO^5-0m5V; zqq^xr7GrW738+@83?DH=fw`CMv;~drCix6v`vm|&$z~U&cHKuti9rGfhKCUXBAyTc zfsls~f`=TWVw~gz2(9sFVT_DhJcWQHD){` zxjZ4*ho!1}5usjYg#5n@1VZE>Vgo_|1T=soZ^~Ff1PfuqEZ2-V($iyraPwI!ZQwcO zrzfj?gUP(-*Ur`*AvM_0!Lybyx~E*&u95PHt`S>kJ0TR*X%)|x3akeaPz&84he+CO z2w9!$uuXr#b+s+5(pX)%L&(`3YG7p4e}U$ykTo^{WF=}d_aI3LGI$D2%vs2R4=N)N zvZA3N54$cywG8C`C-*=30f>kYW8*)$oN^N(s;GAIL1`7w{j&w~%xDO0$Aiab$kwt` z06nVr-Z%}|LCC^liK+;3GrE~g1tLDmSRTR#Qm@~b6d`Ki9uXW|z#&m8gATQK zU4wUg?Bw~`(`!lMql@T(l_XB%IkT`sVZ5UTPf{p1n(S*VLel2coja#b z^HoWRl!AqY1v1Tb0C6<%@L33}ovQ0L>+mHFY0uYVg3Y`Nt4X?ur%% zgt^)2qlXXI$XD+hB{&2g!QB%gL?oV>i)3vX5mJT^MAIXpjqO|{pW)5rwVM`OHiSK! z8A_BU{MGc>Vr{3!X0ip~s$&Nk3b5fOhrU#RhHYr*-57a)>AR|+ZCf8=*APTbN67!H z7Q!66{*yN~f%0`%a>qUpgn&wJAppX5LpRS!_LA;{djPYeMM zIDilHkpKG6|N5{0GD?h)zrT9X2K+gI0+iT4 z$*?jiArL~45g`tcKjZR*_|+lUZs^L?xnTehA!H(+kRF$YFqmO{U{3Tngyv>u(q|+S>?zY}RvZ-iSLTr0FUZfN z)A_a`0w8)5Bb2k-6wLSy({{s+9Z8aUM-y}Fi)-l`#j{Sg8?CR$Y1(|)O=F0 z=rlk?h_{fhUYR1*5FtH^G_ntL*oB$_+Aw!cIx8{FOs*LrAOa*2pE*8~G6D!NI8kAn zV8en>xubFFmAKAi=cqw;Nix#zv=`5=jjuK9wylS-D6g%S%tRo>4)YK_MMxHBmKsZV z5xBK$^#*IXkfOFbYf&9SXvm}wMM%^|>-W;`{gfcnefZ$PBR~NUWQ4%QfBnaQ{QH0W zhY_(AOHE*)!aG zoCfdy1D=o`BLwmAgisIpE`+=VA&(0WGZDW*l@a2jj05BgrmH{n+{u&IJRf+-yUf>> zfk@jNM1Z{U>eRR`O4kD-9Do5NBX0cA;gcFYGR!QEhJIjp}^J3ay+~)c|Z`iLju8zak|o_qdnzbYw6l35l*f?%R>NUWJeu@ zo3(Zu^Ner=DPkjLsaSJ3KJ9$%tTz4%Qm!w*n%IOJFGlp8otItdg zSV4WC2M=( zh>I}BhemxBbk-_qJMPp83rQposW(f5Mg&vj))P1|6Vc(JKm-{MYv8ErU#Ug56`nPy zBl>(hKoYGf4tC%TrtYna7YJE*a41`)y(>a|Tjt-5kpJRU%+UI!9&(SO$;GQ5yzooE z_h*0hTMKvyVC<1mB0iF+LdSN2;X!@s*sf3`G#1KqX40HHS_TL&B8>MCECfP&audl7 zgqvEsHL4*fpY?A`Ac~8-5R!SwQ>HJ{GxOz>+Otv?^3`kRAxzlaQ@^=P_vC?2nqxueyjdc z1__U1_PJLo?RG#a88ZdNMuwzoESNdx2LWUf62UTSa?iJ%qZl%yVKiS!V*S?szY$v1 zUsuASL-t$PbO>hM5@dYcOzmAEl0_MZ$bY%Ai0h;I0a7P0V^be&Zmw=_K3fP9^A8Rq zBiB>Lbi3v00@Y1 zywQJxPyc|mRQh_P5y)_W^uUd}nW%`+q=67tGo!W*BDI>;R3k-<7(ZBE=JS_#(A_FP zR2<>XlTVMkE6JAz4HGWqq-Dma2Vuezr>Wa=Jxs9kTWf*Cg|Bl$lI8YFlQsV7=TAek zlW-|?G zfQWSK+H)t)(~>!06EM}>bs30GhL|1bg^lc&xXo4REfW|V64h>{A&o}G=c$E6%kr?P zOQ%CoJzfmU**;UUk6fCrAZF^U-+=p!nj*UN)}y5CYVKQ30uS}&#b~}!tJRg}uF$RC zXw>GzvKfdz5Acu#h$?pl{p-}=-0r&CXtooA2+Img$uUEIoyCbqu5K>FJe+ zWKm|H>%8+Z#=8Dtq_OlqK_*{-Xm8J*01+Xt>Co+S3GsMvqAwpidF2{G=EgPYrT{_4 zN8XmfL}=;WeD2uHFoXyZ=rBC`>~V15pw=>Q6?oh4bUaPvAi!aqw3-`ARE>~9jzS

MNZJHSy}oN_}fDLnW%9!9U~oS$7KJd12t!5(=OsbPZjfi4cwgcBf66q&l=}A%wy0 zt;W*4a*&Y_1l>q#Arioc$t13WcyTA_4YY8FKW12Cr=e2)*sVa++g=<+L(EcTI#F>Eb$anwBy|Jaw^v^$ANc$ zWPrH4fALasS<+B|QpF<81Q)X+a1N$(bH7aqgfd7>GpbgRgVdZ!1*Td;dh7$)D5n^^ zd=V4*-~&S>pJbO20wA1@4-W_-$RL3cwQ4Rh{=K{MusI5cNpN*&my`SmfPl!42$LVV zR30cCcmY6u>BVEg7#&zpA(d(NT9&E@wGnB*c?fvy+qb8+D?;9Xf5|#!4rTMHXwK}~ zZ1-VTShw#z4&AOQ{##;2eh+k z54AG04;kU`2pj0q>CdTk|L6LKY3s&n$#4pjcw+_IkMVr_rSF)o*T_+5aji&yS zkqEJ_L4}vBMdJbtH>W{2xr$a2${Zw{>F*Iid;4}JEo=6@zvqR-g%oCU^U=rOpPtxU zzd9WZ4u%uOJsu)Hj$P8ug!p5CQV~4I{)Bma$GdUvx)r{P7!a zy!zsi@u>kh2;06Wu2>^Rtr=`&ge6qRXSDK`8$r~mj&(XVcE}>l(#FO{v&DF!*yR@1 z$knQWwIHlQ2w7`Ii9%;N(cWV#n8?KPhkW)}gC9}G9XVKRDrMMiP^Z;Ahd4UlIXye8 zkspi89max0k>=)XsCnIp1DFsZ0>g5UtjXldFRVIYVG2G(h~#HN>?8zvLPUj{#2Ty! z9q5z$3_oK1kS?=xC&)?$HRgU7Acn|s=OJ@Xzwp8fzw}Elyf{{k!!1I3v}!kh6}>8- z9mPg^_^uH$qA=r!5serjr%rK&M#%pC)-B_M%&+^dJL#uAA-fpaE~T;P*G)h9*kM8p zd8)S%WDc^eri$PLK>py>pL%+{ItL#j1V+$7*w9mcLYg{Ootk2eJ381dbk=4d#92rU zfk+cV>JdNCs)}hLkRm_AE6eo?<*ManAfd+vM4HPrEkt;`tTbhr1;#c?$SvXT3E}dU z_C}*ELRt{AcJ}P-EaQagG>SE8Gbo4wI(#stTg~14{ZhxT`4fZES*B@G3wIH|CT9VCqKSNP*U z^#bd`of`|qhw11DQHI(G&3>|0;BabcQ6vcA01(uJw1jt+6((PKWn-gre)90a1N-*v z_kI75?U_!6e(f<22s{`h+vz~=W{AKDS%?VnfN)B(k?(j5A-*V0g^*{S9zJs{+tq`` z#`g7@Fc1JyB}C?U5J1ozZQV{CF5p9l6g3!@v4|;8>Ejc1Z9MjN7(X| zG=$}l*TzI{=jpnTRDJIU}G^3w(_|8Dmks)y~Fk2TCHABR=b-S+0W`=T)?__}NMr4TmJzeXh zW!4yC!ePI5Tsk%uCOIJ^K@VdQ6=izLOrF6*RDy2;2!yaz8Hi}vfB2xoW8@&~;j`7k z#>Om*wEW72^F7*-S;9eHBEY-^BH!|asHU3XVW-Ja;rQVCS>1KWL|!_#dX6BoV1Tfj zyeTWXBWuYRAYcEfA3Ij{`o?wp7p>H zQrTFkl_-%^YAYMnh;XC&0F{ws<#rUW0LJod>Zk4ZTDNaE42nT1k2DiO95e2eu00ww z9X4Z~8m}g^Sjfuq29bt2x@ug9Nn8^dr3{ly`39_(iHHwFB#Sbb!wy6~Z&uX@x#;l& z4-iQmDC<;5%tds0LedWDG+nrO1;bA+V%fxKez7cBhWUBz8Z#3OXjS$ki zucrqvbnNS4)zMk)*upjolQUQeyDj|6#(5YLBH+PE9s(ix_z-iD9Wo%ic=eB;d`nU0 zrnYZ|5%vm)kyklf9^y?z9>VmQ@4Wl0D#Soek<;x0hub^$OdVmrHzg`#qb3>6e7@ zny9sEt$IKz!r;@+SrXORPIY{lM0F9++`^Z%A>+6eDh-h=*a!|2Q2GWhcQK;>%I3ZG z4>YaYWR&a%gs8Ih?1`nAIMYQRTIw1PWg^J2EB1ARSogyD0 z$`l+qK(Z)8@Q~ie_8mNYiv4*`vBAvYv8gjJzw#9jfsiVM@D47WnSFbgLi80g_}GaE zAo#e#>0dDu9&gc-5g^_|j1TbO%Aw(RsiT;_}tPz+CSU;4naj z%V|6>3-O7ny~GVx{0l>cnbyVzpGitZBVB2RDI4I1RKO^(#-t)a{9aRpuwL)LdM+6=OgUFa^5)yIu9X8ge-4V_qhr@x4dAMXR5mlB!?oGrK>-C zSZ!TpBIxU^euyB%Jj9|59wG}-ruxkNQ!xBQE05UktLU=no=62a#8yhHNCjc@x zl}6?pD6|VTB7SIH+tpRWiiOIeDxgWVxiU7!A|teF6o?2ngckP0r4_UB;i8*C#c2{J zTdkRJetxvnijyQgdv@1?Dqjg&OK_x8k~aiUuvH4Yp4 zArOjgXl?=wpRYv#!9%2;!|*YtYwQf0@|-?~&lBHRMNZ(gaJl~qShRkKP6kCUr&g}Jpx zlx)S9kW+{(HRj8<=zcjHhFA&~;|&O*h!Itz1VTdke);fN6&XU<|CE+*){K#O$%BpC zHXC&6jUZXOaA9ro!rAlHI`jIOp%pPjDqbO|-DWPi;)y(jhvle&al(a49ux2oi8l4_ z0j&}(|KO%Yh!6rx9$~o9BkAG2wTE3z#4>xC11ioUc02TV}$hfiV)+2iy>PtpXFd9AEM0Zuh{N!w$;{4Xm49) zCn1)d+PPbB^OF#A5)VP-AXi>pH4hOZ$T^5Zgrd6$`Rer>=lV~m*@7(0zzTo}6INwY zxomO`G01Eo(H69pg$NCwjWnXNRZ~lTh#B@DVgbrvH|Wt{-Uukclcs1(u|`mCzlTDL zFoHpEo)TMQ+1AXB#s;)N*4f$f%$LyYEC88h)>PbTMi8RhLmuJ)F(cs=FnK4T-dr0= z^D_C#rz`HB2w~{^4<2|6$q_*h9%Wj=DN?O0;kfXc&IB%{%O%=WaS8|FXwe}uSU}|> zl&CKzl7{k-a9ElTc#3D@Y>=cSzBAt@db@y`Sh zk_6wXE7(0+zx{EHhERZ%I5hRN;QtrG1#w*!cb!yw_Iw^p61`+S|s`$V)sMHTxR>ntyJ z76C*?(n)96Vw^0&K8z8E1-Bq5Zw*x%*{YZc5M?KuNE5v^j_6?*NFW4l#hIkv|{28<@Bo!NpArw}+6n~Wgp zs7mEJWt@YMuohiuq`Wo$a8SSOMtV@ofDQU=mv6T>R+_%GYo~4#F$Isf-ll;Rr>ePM zz(N==?YIBXoZ&cP>nA#VAi?Dw4i7GF>qbvX5W7p{kF+{elb$8!9 zWIgG|>Aje)B|}8gB5G-+AVvoJz{Byux}TE0x|JNm1Zl1ABJ-+*1eciz$%x?K%>*GE z%N?SOBLqYgWVF;dEja1r3+J~y&(A!3kxR8SIvDL~2ndtGrtrt0Jo@r%26A33dSF4lS+ zcURbnXt7d=v<#!EULo!3%Qn{KG8Oed;s(Ej*k>(BA~$fhPm?3;vIA7aiFBO*C8(nu za6kWb(H?sxBQ&qMa+AUt#VC}ZzGIUqnz z%0qNWSyk225SfVb5E9il-+c2MZ{E7LaIU{!dyYH#2Z9n(2YzPNNZ`|m(o}he?1MuE z5%tQfEKBs?IU0&sg%RR<(6Z5~yqHZ`Zd%mr%G>hpiM%nxO_!Bf<>o;es}*(OY#2ne zWNy1P)AS?F>`Sa%cxXmn*(oE0hx>}7u+2qqwzYK?M%V7&$3gUlKG;!R<<04#1B3~C ziBga_b{0ahr;_l~u$YM%euYsGfe$ak1y1-IsFWBJN!^IE%nmbsOwzPKWAZP@85l+1 zxUJfBWDY=B305WaOHs zPo@!XEGwAuv%sg+peY-vOT^g+2eR9!mjby%0;e!O^bK)il+Y1~(deyEVnhXr@@%!j zFyT0*QRJ#3O(yD*1&=7*;ATJsp~4Kuh)X+s-QA=PXH`X0W>wMC(xtJh0;{dS?AP7R z^uQ64ByrTlJfbvm9uk5}$Z)zK#7gQ~x3k#>)D(hB1<#4!c`IioX(hl}a^oaPV#`C9 zj^idMbCHy(0+Ib}?%!u&W?cI<-!MWbi=6&>=OG`8kq>|M!*2=@PU*XEeM>>cJY;VU zlKaOVjX(%C^87g^svL;2$c{|aS~ItF(3Dwa_c}!xP6x-{yL7|R?$yX+!uN&6$7@>joGav$Kt46xfG8IE>)`01|#Xn zOYlv^H_-n54DS;mV^t8jaa~cy6Cy-J$T!6YuzVy!KK|(Ae}oM&@@sb?BxhuAqUt%J zrE6j4Fb&>gF3db{ggmdxnWd_P83mfJ!iW%glj$_8l+5O!!%OCozx1h~t_4AR3N$j| zgEP}od$FDq(k@r({PiXLb<#d;cKE@@MUa{ogFb?A5M?|WxuN(FQ)5e=BFR2t0ANu3y5tHi|b@Ti-UeMg%tDR)nz5V3~W zQJbO3|6#=lIeq{_STar{wpi{OKqjwWzwq+eugF8pL_Yi``Vbi*WFwf!b`Qy+@NavY zG62H)vjf_(pC|(#$R{EOh>J6_5jKesAU6S|9}CF=@qs1_GTQcwNYhs+M^VjL2&XjP zYLb1#o%vuHNa%uzn?xNoZ<>+*BI}(HXf`@-sJl-yfWWZucVyomv=#41BOYN(|ea?%zf}7t*=r4TGPr^;)JCmRPM0x zA1>77y>%;(;(O`3EJR_3W)y@ZM46z2hs29%klL7-rdDyRl`NAqQBN^pp}2XCj)(LTmT52vH*90O6F07$LNNH{QRi zG{gu&JA+LA)!xkYa6fV8`Beu;hKOebJXClGk*{iLv+Flkp9heggybG#X-Ftf?< zts5F6R(NOK{l-$OK3_>!D48Z97Q#sM4&l14W#~E((p(`I3+C%7;l*TRm~ukwX(B%% zRh_T!cRri4$u>Q9s1tXZm^!8vX)I!xnTW+PBLwN2Rt?DtBYY%?mn2yanDlfEXl8bp0M#h#2YOB4n&#Km!|8L`awd41bztIcTJg5G5NG{$LQI zd%~Z}8HuRaDftD}YC^XAv-Y|X@CXk%ph?oCB2^)B;|zr0AtFSnsstc9h%$)s$oIeh z(LcWbxgO#TgoD$|-kGy^@YpfPfDx3Zs)(soT5JYNUYD+4UtK-+On-lYL={Gka_T}E zB3dbyUy??c&%M(__&I9D9V)6V8f~%b(c~v9iB`12*hYsHFxio%QePprYc)eL5>N@r zqs!KN-pbLHsTPF+Fz|!hX?G$Tv9;EUtyRV@>GBX%5)Eq41fCCT>1OSlyeKlK>3r*J z(L%DY&MJ87cw5-C(H{#BAE5jA37p77y7yB0T*z!E-rl5Ix;YW6OBqrT-PQaL;*yn! zg|z}Ego%)-Pyh~ES7Dr1WG9gnRss*mAwz|m7k}LuGU7v+9B}J$sIE$wIdj9g$je{( zMF`1F!~tRsB0~P<`ybuKMece+{&%Um%1I`IhpZB1VC2PBoyol@S`bD-mDP_Y zgK?bzESv--k?JB4vd~?JkX3qc@eo@ev6~S>6r!&mQDmENJH)SSNg*dql!J62By1Bx z9U-MCZA26b)3g%A08X9)g`Lh=6;y?kub? zJRl8W?q%j7^zJ`wrWz&mwm}lG;F0#D*b=^2p*Vt%Bm~kxgeZ!oHHxiKEJC!Mfd~o9 z-a{~Bmon#w2o7UJ_x;EB8zGv(HhzULb4@1ljj#P8gg6r+TXlRmL|l|{i2UpC-ZesK z#&`yRU@s)Ut`{!ff!7ZqAd(A4EYxTMo=imV*I%br_Tn+uE0Y&N+{J-(8?rG0g(N1t z1db4HdTPav43L#}t;QrOLBbDL8k8f&qbO;UphS!9`6iLv_39&U7K9y#!A?lF3MQar z8fB7+OxC>3&*Lp5uV@>#y(PwMi^RG^5I_0977E#)B( zwPU82h6~vkkaf3aKuoNeSZ8}QtdU!^2p}BX`Q3u3*$FE(thmcJOVNAor2b+}Op+h5C z860GU=sCT4uMH%uMKV~TdQ8`C1x0ltjSBE`7SgNAL<$xu_2yETRziM~Qo}@zas?Ns zkUt3~QlZbL8U`bPVQA>gZu|CWZas^Z_Cv@`5pw^9_b)gX#%D9BtS z3p9;pMo2AbXdpXE=aY7fyU1V2>S6*<(rARPCSs;xBbL=CW4gGVjQp9I2(?#iAmBg8 zlqx!cmV&Uxln3(=JF^CiQ^`SMgWpYNBrH@yh6E(yM7uHr3A+Q_fe<%dgylupW)<^r zLx$|h+uNIId!;x!+9krRw9_~gZ`#rsHKlfxXn(D87>A`K=09Fj&I&Oq+XMzkr7(m6 zRj4{Lpdm_tq@pQz*7wD+Ux2X7xu!n4Ex{N7cFlRn>90Y^Z-dD1??l8!nvc=(e-rm|efrY+FMtcw_PDw%PZXMIh* zX=_}pcb2Xfn2%d7Z$8}IypO1~Zr;CVd~iUBAtI@NAD)@>$N$z~Sf@=JhHA0I>}r#8 z#_$l6tEbf91IlNtgyIzR9hJ(Zp~|SXW!6d&)w#-8a)w!IQb47ifHH9M z+|4sWU@*b{dodqIiT+D%lASPQ zPzmcoR16LC5dSE-E?!sex9X|^QY!171OcNr^dr2cOm(xezCKDSTHd@xfKgW--8J3K z%R6+DQ_>Dp?oU0aMLDA`M6{U&2q?gT93ddWYf?@`h@uXws|9`XkV7n06_ixUW9)mS z%vOkFV*{0H<#46f$u@ZxA~F&q) zd-X7((!2(iMOY4drp<>OjmCVXkgH^;dU-{KSjpykBV0$IP^G8_WCrVaJT5|uH-H#6 z#k;e{GXD~Z$L6ND5b15`;FPj`%Cexx(6}V-HoE*JbfF`PyLD$I4LFhbeiU(ooAOZB zk{K#g3e?>JVWd*WJbSVxAU-hN=I@ZO1R*8r;WF!?svQ{py6^lJ?6oA+$8zN;nhX|o0 z!pnLR0ndU6={}@vCPFmt;bH~_ZfeddS`KB8zxQMMFzN(6E7> zVJ8_+))v!I>$NL63rC(84o-a})0V6TL4yJdu`Q0(vs}(&jMf&gkYXiOBSi88N5n}I zwW)|`tBCb9H9|JmABqs=A+%*^y_kXMRI0iS5%AFA$R%e@wE>YE3=)@;6z(GGzqk}T z0MisEolPa(gNzVV3SA5LP}a!J2)Sf7ax{069fVvLA(#l4FcBjn!}PUZ{QY000gQ=! z{Fngo5bX63xY+iRwR=cKdgeSL?s^7DKm^H8_(M$2jGrV;9VmD%bi+eTz5WI%ct~I+ zcNl>X{lv87DT$IYd54|u^R^(OtAvy-#v7DMf&^7;3Kf;ng0F$vKSst2Lv-yKLlTal#2D-~Jjll1q$y`0@82^G)3ynW_wAuM7|# zB&!S*={FOxe8d6r+)ruRasP8i#;fDh?-=>k(`Pi8dHCBlP#|Q8df65s>dK5`mzT7Z zhGd9vWdnV=XFY0ek)eXMDX1j0=h+;BPb;b4IV(icx><)2lFKlWe{e~16W_*xyorLT zr;y9WHQS__krJTzVTlk@5UcdDtff?DV^_DQfHx<@S$Ifo6?MDJj}i=iehe>mP4o32 zuY=|K$0>aHT|{`vHxa3n;>yq!yDH8j;NB2#b>XmO#2cJ7}i zTGN+t>+D$wi5nH;qf#mY$@VlACZ^qi%u_sk(?i7y7P4CcgA*|IsyBgwjZ{ju@*)-S ziSk4#=~Da(%9}x9b5kRnskEUh7tvt-~P5t1VTRgK6%LA(#PH;1S;&X8;f2Y1QF>ZmjH2y{1}Kl{q)mwhjNM=^VdG=79p zgK4AN?#5gt{Da?y{6t0fg?lbd<)qM_bFo+J&G&*`XwW?KylgG;J~VoCBBEG`8pK~e zl&G&#s0LIOST3_VK0YIj4-iwl9?xGPzhfG=-+myu8Y$=r;+*6wEw+_rb|J-u-;3?hh7OS6*$?p`vCWnl*skEi)~$;>~c9o zF{BG2x9G@Ky&H4}|0*0pJiG$VODtBi!hARq5(R>}I7(M#zk*hif!t?!B#n?+gf` z{h}C?vw^HQ^1=6h@Pkdw;LZb!G#IuuU?@rl6ESy4tauG2j*-yW2!sGGvzoQyoyzLN zbG_E+_2L&CA;!oPPhui$#pD?A`s}boH>Ps?4l#zA=mX6nDm{b)l!UU;2?Fv1QI7$iPDSGPC!&%7bnID z)q^0MD2ouaC=^m^aXCnXxdQjjprlHh8&VM(AjX-qAlJsc=!e5QL=iXR8y`PLP%DVXo2lT1P6pDRkil6D}H1rz|ijf9$Ssrb`X|!a5GSb zhHBZ^*phcS_D(?W1;<5%F#U(46k%(CJRO^8CUg|{|8+NmeM(kaL%pF@`jUWyX zv28336b&5(5xK~pdqVycLUIW9I!In{-)V-+|r( zRwK)E5Z*LYM4`u;z`7Sz6oQa?yG4c?YpXDELhYT;cB14n5mU+WQSjr&C|>Z-w}X%* zk$lw^KgvO!I8o`ew>5G3DD$SS0W!fq>IxBqg5)B+@XgD`i?n%FeDP@P;=_g=>Xw&W zY1F{Odb&=K>9*Tl?koeXz7mancZA4cHeEBu=-`D1Uqi?zEMxWTmbUqb;!8YQWH|Fs zje0;Fv)DY}3yRwAE_TCr!$Q_K6A-%j`1KP=UJ4MN5C6(ToN#~g3H9DBEZkgRnIL3a zNI6C{5AMx(zKzU6GD1GHOJ;APszRCM0by~~{i>{*+*5f8$q8+kQ$727sq*&bEttGT zqX9#1k_=X;uSy!r9RxAG5(qHr%vlg2@hCr_O4&*Maz?WHXu;(n^V%Ya<%g13b@QM? zZ+WR+XN>b)rBinxILSg-=PLJ*X)$q8jOpY;7qijMabsSSezTc;oCbri;3h~U!$W|S zA{65t0b#D9sW8p%rb7YxRAAq9OAN(Xb64NDyPh9B_~ak{{wh4|VMNjwW+z7}xCHbY z@r81>BrGO`R4A#J`-n1O;V3c|D2NyqxrB#j<%#2$*b8hGL>8_rT(Kn!xg%qQbvISyhfr0`HhF4Gd1?zlnoQD^(R(OzK(dCZoA4a8`Nu+rt_MtnNkbJL<9fo7uc>m> z$Obm^D1>ypPPnfmJ{|#*4+d}&a+kA3C6Wljph9jUMo79&GMs9paXZm4 zINnMkz!>l&Le{s{P?7y2yEuRH0aOfC90&SO(A$E8>`^f(a#Jco!M2uY%xct@jkKwZ zRLWbsn8UzuZ{`*{C4K3yC%(jWr2m{uM5kNt^qL(IB1GQ7Ma)7($gh5s6OtF*dD+{L z*+qyD$xTELyUkGu89yjODA!~b;;t;~7#X25IXqmHlLR56S6hVCm7vlfX>?jGl{P4X z7k6uLNH=76Or#No4V)(kY5z3Bn4B@KhYM?Wrh-aiVsxtltLf0bmu9*sIyk-bdYNDJ zeXiDLQwo6!iIGf$-a&ZL{Blzz4c7?)D)Z6cWg6Ie5RA$sd_#r9)4{jBfQ0cO%;8i9 z!Z4AzI8?wfgOEybR_hp2w6Sa3{&)0E%;O<(IFu}EMq)^=Ul@t8)`G`ou{Hxwf!+<;ntszQ{=o6S)Y4SSkV@(sn{}Jh(g-_C$O5c#S2z4;=Qb z-P}ax4xBnaLX6q!K(cYQqC^HVUbQWaqw%WiNUt(S#r4@Wnv$9_q!u!i%Fag2(AJx! zCXSFI*Te)}7tOXNGuG8h8=k>IlORt3>9OjSSktnPfhg1|ys(xQDL8MBAT`l^O*o>$J;&$um`Wq2T)VRS^<( zS+Vostt2Q0gOuz-6h*9mQrJpfxl8UvquZY?7P&8L=^&|NfvTdpS%&$p?|ti;s3F$|5}m+ zqs39nT6IHqQ;}3VtA|uk z&ybkdl4a_aFVC)33?%aox6-x?H5dpt@Q~yoNbMU~5h1z|ZKfcB16C%9A{!J6EqGJD z;g$XkxZ4aSQU>DtA!LRKr4UJc*f&IpOJUbDcOa7}9i`-Psn7K!t816OoukDvqgQ_}q~JCR;oi%> zgrs+SGcG z*iI2D&599AKQ21Y5H8lO#+8?~eBU0eYFZCTBjR0aITJ=I6BX-SCcD9Z^cx`KA=u=; z)ogJu{x=jLc@oRKDn9mHL-+!G00>YN%aze$K+sixueT2_J0<&GkwCND3<_>syGmu; zJs`P-e2JUa6L`p6|Edr{C*-p|WJhLdPl3Ue<6$SQ8JaV5 z16YT~f~c~pM726a7o*QYwmM^YMSc8FI3v-$5HR#7?a)r80RtwSHAyk2wKO3ja;ZdN zBBFTz$S;)TunF5*hGC~&vTr2Vbw#@;w@GhkkDAp02bc&7M+mad(VPU);X5t4Dq5)) zx^be`2cVJCiMAUFSmK#pT&1~=I7h=^_M3Syj5^^WI5@WenYgz;?LT~0hxrl0#-&3L zLYmFu1e3lU?U45 zS4EnZZ_OD;$b04?yIn+7{{=rj#O`@* zY%d)$l3jqX^~{mEQ&}$J78W^tz=6mNe8J1ODcLLHCQ)h4#)t&Wts@7faNBOX?_Jgj8*0xg zlW-qq9us&gIYbCF_8$zo>EMt;9&~WZUfg5~p&-zRHin1E4@gDu;gtb$6+qsO-`2v* zl9>o~F$f8Y_LUK`!ju&X?cPI>o>p;HEOP%|ld?!B9g$BX4OqQo-l4y8Zel~X6R{ddG?U!uMEC#@w65L#=O;$>DSBl;<0@)c@pW1`iyto_|1iN0u!*x0 z3kE==AODf@VTkBJ+>F633rQ|!{ct%U&-Ouxtu&>9L19wpd{jPUCgKmnM2w6MIbRtH zwK_t|c8NAmey-Q($YTsQ;K=pl90`aj@5Tr6aYmE!cM-DT2thd{JA8vps(Y*aQiRb4%)?REKz%saHybv;JbMBBQ#2bfh=<@-Qv>V=tLqvJ%fC!ly=sR#gcnAt3#Ownm<_6rZ zWq0mWPY(B~pE{|_Lb6HSMo5ULQ3?z1A!5X)ZTmQryF^|R;T_oxZ^Zc zEf0f8&WMQ@>zWzADMS{K@GuWq*jiZIw`RWP2s!_l`0z6S$i@2}Kzxw#o8djRe?)`` z60Re|DwiEMxnh~8!w?xi$-ZTGoNk?7Tc|fXtpc=T(;b)M_e#@rn(W~pEdTMc^-Hk#VK!oJFEbRyi-ZBggFL{B} z1at1vxB(%HO{TfT-w^#z+tKu~)ms zd<3WlAi^LonIXevTLQ!0?#v3uM5u-^J_J&Rdxv{$TDMMv#P{oQJvq!wmDN?wT<@-C zgpjJ{xoYkqnTtrqg}KNcMl3z$;T;5B!b6OYxx)iT3gebW+n)^o@L8!b!v6t-;Kx^2 z@7$SvWp=7V)0A=<)0hy5U?IB2t!lQB3UaKk&aa%uu7oiy-~2|T>4Wn&K^qWPFw zkaexxqq2zY$KscUz{B%sIJM_5*VzLl}g9s4Q zQwK;^O^1hvPmSfntT~b4!I7OLA!u%(I=jXi8T9s}c1*|alBFRijv)l)fS@dZ_aFj!~sWA?IEjT<&$*c}iSNdq6l#vXE(%5z=O*t-d}QtOZ7~ zyB`uD!A%lo;~w1wh%Ch}?;)31(5|_N*z4U7UAB9lBg7+;1ENTC{0M6}fJhF>yE!4b zhx~#FF&^>+^>ZQd-r*jg;Sm`=oJAQ*?o$~dk2{CU>L9u3f@HILX^zcQrzp9%>PRKC zrMTvz3~DV6?%>06(`^GhWD!Cl8x5r0Q?1TgsW&3(TNy}X3YL+me@|O>2NSJe9zTK0 z?aM4WcgHM;sY(RM&C=6tw#gHK4gpCGH9)4ekKwpIg~kKrz9P@bQ-ti~}tzUvy} zevjq3`KL_NRxY95&KI5#G*kctYGr)|`hXDC_JF-~R;ZX2azwJb4h>l4X$txKUW1V) zrAqlWn~OLjw>oP^%ML_(4{#lyQ=7)8AzXf6?Hz=?;&(#i^dZK?*EtxbJV`Y`yj+Wy z=I*JvBV$toejNTKRwi&$F>SGK&xJ3y_RfusM|_^HQ>GY zD8U{;gG4oFMZd`56B8?lMcqak#RQf4MzB6VahY^Dwnc_gs;@?fb@&^4z%zY_eW1 zGW1l@W>$F+PNE)hm(7$X)bTw>;(inIh+yFN5Ag&%*efaI*2gC z)-hvQ34}zE@Q?(GmCHoPsBxeZ*<<@$mz*8Sr41VZU8lD$TD?UpX(6o_%|19TD#o<< zy&(iA{%^X@r8SZ&isR8q<73bam7Y=qT}@22ptddy!st{-Ofy(0ZY%<#(dr6{hKjT_ zih>J67A|IGL*mNC2o0e(KA;!wvUV@{72?A0;O~D=-Q2{->FTQLWM(o+|8idUoOAEy zcZqP1ro5+j?(mDs+Arg+U8`KJN)JHk^nY?!jTqeh>A4r5cLK zuzO=ZQce~)IOE^v@>CV!ijXr`_gtq^AQ19#l7L8FRkZwMB|`mBd!WUnyg;2~zx^2{ zL5KLb*HsdgdkZFv91s(?B@q+6AVf1vdZTD#eMexdONU6z%BK9v+MRZOxgGNW6rODBl#NTM^=FpCP0^{g!W;xnKNY;UPZc$u6cUZ3K{MRaCBR zVya5#Ok0!IGb&5>W;KM6#_Dt$I_--i;*75(zZ5`1s?-ay>w^D4sq&DV>U)(Jbmo(V zYrn3Uc`}}dHtzmh$+dExevEA~J(8#ehOXlxQzc_BkCQxgV;1=Y@23J@@2V!TPnlt6 z4vv@<>*|NOw27#Lm9bbxVpax6M8g2S zd}GPu@&A1I)Bo~;nE?<~$fFy$)uujV1x;+^A+ovs+G{h(?d`SRMqRT+uWmcfNFi}< z$U$KQ*jwao>~#~;+S~83L9qL*x)9{n`i2jw;*^Dv0&#?-N~Z2Je4TN<_^ONPWcPS6 z9enc*0p@TxWR+O)_Whc({u-t<8sVb`KLl|zlg(A7iT9&- z9~B{nNU$qAx-11i{$*nRjYwB}Aaz?CkA+qZfAJ;mU1Apz0w%AOGg{bj6Dvmt!ddc* zjLdO02#GQG2qlP;t7#GYNIKAbsd0UHDniBwTD`ZwUb@^5 zQ;v>3N+#tzj>mG0M2HvGq|V@k1blns+1QmJt#}I4rwEr$C3DJR$#P+a|p2(f*>QlgdO zpguiCZ&KH6^&R3vgcQ@oZb_!h^MzV>2+z+^vicr zA%_4GbO^F?ed!<3%euy6(9_skzVAK)+bfSpJR$!fDlAA9wm;bEeoo!-P5>*bRpY_d4m8NOo^bZDUPH70jI>f<2S*mO5i3Euuu9JXC)T-wh!6WHo z)(+TJh%a8%19jq5BuMHpl+<(n(Z20%sPL9ReKK9tJqVeyf5@u&BG#0P*oDU5zUD6T z%<3el5IX`OUW&Hc>~4ovovm@CJlT#@BBs8rO88Q{(n@PZ?-Gt76ZIdu|9)!U$&0Ws zbBGS1yGrZ3s%U0l#Jks*myM7h?C^G|ac%k1GK3&j*4`vR{s$1`X$H%;hY;fPWjpK3 zTwQIMN7sJRBvd(Pc}X_431xzn;cmYwI~@yTI9-vDR2|@PQj^wEiBQ;c8CR8><&4cR zT|ZOox0->B#e`{=Ou)k+up8o(k5qF_3?kqgLr6)6tKyYRQd=HktdYyM(LeonV#6a6 zx7}Lvg`we+&&vg~U$8UafI|u)00$uqY34444>1A{m_GDP8M9_T`R<1kZ)JRx;!mQa zj%zeh)es`_iBvN)9S_KQE?OSh*@+ejL;lGcU3cUop9#s&u=dOgOK}{9?PD06MPO2H#aHtXx&&|zK;V$f(z1|uF69j zkF_?oZ?~L{LoC+vExHXQc-a2G&Cj1MeYY0lbcn9T3R4h)z{e8aSM?K6^(jt;w!AC{ zs38?S?_y+7&iP51X@FG@M|K!gDni~b2fOO%%OQ|5BE6d>zUz^U3q|%b&LB5XIe`U_ z2yloHZj20xBRv5UqF2q{29SZehRgTQ&)+@&K38UsOkA1sMbAq0^H!x<87NWU5{uD} zstj2V79pV(Q{q9eCy{O<(LB3=i6JyNsA3#iY!W5UH(rz$**ZGfI?1e~N~VRwSriY8 z_E?}-U9`B8;VfI(c?dB~9(?iv=FdD&X}~M&4)gN;58QvBdH{@=Jhj$aYu(s^5J2GhKUM~k>TzIkmef1D)=g=UG=&Ys_T7zIra`=^aXzxzvKjqQp(dvEW}a`I zzki;`_!}CbiywM^K0!~VRKvIzSB8P^_J!Ck1W4N~FD%=bSKn<+yv|BWD*PAPBGVeMet{cJEeH|hi?bcdvq-b57 zNQGc!Eg2#{cyj2<0$v(jBviv*qM>01>AM?NKN!b8R;j!rWKqrzZ@p3Hi$aJr$6Nh$ zagamPgh_&G+^)!50L9>&Is4a;5GjwSGOM#(h)DTFiF8PW@beTTI3hASI(bgw2n;YX z07C76+Wk*ZA;g+-ld(_FB@=?{3lk%s^keCeqAc=(+Y*gp=#H=cu%}6*D}t=&^zvnX z4bQ0QtR_{QEy%ZIMaDuO?Ikm99zb%L1Y9ICEN+oaLC_$0Ao<2MNHIh-7k{TggTre8 zCqS}ekSyVddWnb>Jt5qBMZ&#a=`^IcgSQwKp6&><)56xkONNpG;K~DAD<}_Rbj!idIZF4kwntyhO z&9g|Q4>#aMDnw$87$w|iHT8#VaeF!|-x%haQ9Fip!Qm;zmM-TH(#HT?sE+v9y@-OH2(ph3=1O!8%CWl^iA>jwd%q1)qJ z|Elvf+}<*+xaFc-F1m@33qsq{Fw;Q{1jT_m``aneX95&KF04&bs{mxM&ZnzVe>g~@hP^o-6Cw5YvNI`Y z^P6dIHF}Wu!c05ghZy&kCn^f_LmVGLn}`iK*|D3Yhb{ow*laY&DY48w8tA&+#~+ve zkP`8XY(t5fwe<4#HVG<~Cf0o};i+4zQMQ!3zxeXx)GCrW=X+zC_-+q}MI}Pa!q`=-{`r1^ zYnb1qrhZ?gf{U|xg_{f)$P8h;?EuzNwFBBrnO+(T6&~#%&YYtPRFr%NB9R47w95F1*TXN3rPv0}45%v`#jP}QX2 zumipi@VtabRI%e~#|W7mT_I%k4r+-mkFo&hJ$Cdu+NDsQpSx2bS2tWhjmXSM!|+?M zyYC2T5*S_#5FMsSmdJEHW zq?DQ^iBd3{Ard7i-Bn&NLgR@;CfDB0$z?+9Qqy6SRX_ds1Doa9>?$(DJauw!MdM?) zkcc;kT**eAN75l)g#=I4Q=&wvd8#60GpG=#=xVzfGzYwZhOcOR{@&WiNq2OrEp|-Q zqj7uXR_)q~eUZDunXuWAE-k}FW*kBe_H1iA9f-jqGh^#@00JI55|b-!Fm@Bph>*x@ zD%M;#9wxyao__KRekH$5J>bs59cKf3U9>_;)*2!LlVn) zOB^Tm)H#WG-u>pCl0*eNRZ*S5Tpk;i!^6 zafIw1P(O6H%!x(vvdD&Y?K}|D+hjxbQxfJ7k~mK_YNR0qZ4w(E+$OOngnh*qlr>fh zq^Kv>J;g?;LS#U??1GTQ2+@SY+MJA-cPP8$Ylsj;5ega%r=*&&uw#jJ?I&7i4y1TG z%@gDbAW6JeOr|14h~zfXJK<@|()ytr>e3)B5|^?%3@X_OiEpW2c!YW~=pn`?DprEXzeSAdXRHOq}ORy^hr z`E98TkmeRb+*AkyMB$v1LfUeqHPRtB5bmT{63P+Y}B zgdF3jUc|Z(A~w93W(jRp7X^uq4<@p^phbX$kyPZ`E!qJQB;9BJdwyJ%ICVumUc5S6 zOx`UCS!*rw63ZANA|dt@-8TXu{8S~eelKlt_iR2U3xa3tkQ52TPo&is$e2Z3N)p9y zQ`f%UNtnV8AugoF9Sww_CwO++>$ErMfjd&M)>yTH2Riu3sw|Y7g0-7rg|6mV6DGjz z4mu4XCz1E^MTl-&D=q3XSY6#%+klWqonkLaqTNuGei;!CkH}J^LLOtqE)a54fIJQb zGBOY{f)H7nk#q?3Ftc^GwRL(pqV2+gwAFp1mUS~gc=A{e3(gz4E4{3TO1{Tke)4>$ z!Nv#=_hihBLQJO_HJs9cVb80CAYoJk(h(t+BoQHD`m$d3ojCtB&WSoI${bnRVcp*a z-jxG{0Clc84=Mz4n!*yRQY3;LQK2m_Bz(oDMC2W@rwpDSwamGHG*KE2_oTK~8;g0B z2j!X8^E7S<$veTs$j9i%>&*)WEmtRt$vh6LHLhH-s%%W-yyF1D0X88Hisj{e4WVAkx86;rD3Kq2*oBKARJPrI z?bT1&=>N+vhnsXHw6x<))YGsuD7d0OjFA^fpcyD$heW;M5H_~F8}fwrLKMk7K6-rg zlpGNeVu+9@afC3Q;->!G>rrbv!%;O^UR_@Hd}v67D2awMF{0C(Cr7gqLVWnb#S_Bp zp|sk1)Jlr*Cv;w-Ob>kbb@9~B{qLOaw;oJ~vP<6|BCOY3^9V{Lx>0ojlJ zf`w_=!EJ4Q$Ug4xf4EI!WE(4^60@>2Lfm1}03*%f3Aqmqa-TYDLNer@7xTb=A^iKd8!5lgsuhD z0ufQC1Cf&@xhvpMQ!LdFjh9-+N5Es=Cp(b-}vKB|eOf;EEU_8m_#2PdJ#!HGRUesm&^{Jr3`g zy^;7Rr|aF2UbozA`%Qp(7$k00k*8=O$rs~Mb7-rlnzo@DBtp837s&CkGcpho<+QYW z7R+Ly=L05UM-mbykkVvPjgU~fIN0BV5lxCW`(p4grISq63^3$y{!UIqafn+tb``4T ztop6pZBfSbNt__O{S}M<^SY!7_#KAOSQmHzM6Vncz~l)@<9tdTbB2yg=X8VTcUDCR zb+SC7MA_uXYKq7JR}canQX*E^&fEz1-J)N1r*kO}6MrLKa#@)lr;W4b5gD*gw|2IB z+o=&eNp1f_DQFHM01+6m8(%Ee5W=ag#D@vj!|u(|J2)}i&c2 zgp;tdE(}Qec_Bjh(?-X-(V=VR2$`gETN^y2LxyjB@X6<|f{1*P3q;CH`4G=VAjI(S zI)vv9MY_RHl{-4p!i!#bK%5p4AS0w9M3KxBBmKEc=1iZTA+!`^K;Zrjzc>8 z>yI%P=?k-v8r)6{%i5CmdFI`x%C%^sVUe`nb1T0Ik}|F!2M!)VY;Te1JFLuaNABAX zEk}b}uY3R^GBj_$`~H{jz0iH)X(ME(p&{Vm>F}loLY7C*Unb;RMYE&EPdyAOM1&v? z5$C8{tUEfKefw>6t8Dpw?4U!(GN&aLcm;@5fR+`VbWz^Ko4YXL9wFrpA%#|7q(d?Y zku)0#V>z8TNUU2qY^^9-j1U3HB43)h4V=QXZ_Xx-T_!Y6$E6hcbTO9#35XztDv+!N zLk7oE!LcL6=iHqRp?AAl2(ke&i^HRt=o}#N4gZL=NoVobUq7>D7O>1-TC9fBkmTd2 zzp6RAsx2C%y+M*d$Wflg7lf>41j&X|4?>DyT_j$Mq=67AQAY^=57npI1LD(9*~|U? z_uu<)^9jnySIR7Uy5V8xiVkTWKBszJZ}{sW)DFX01$5nZjTpvx0A%?O#}Q1CJ`Mg-4!lR=oUsyd)T!B zMmJ6AMlZ^WG`c{7XRs}yI9e4YrBYk9?`q%>A$TR?gwb^hmfJzhaC5%0i*yK=CQMtNw;Mui za5D&KO+b5K&9BtS1CCvcke}it2#EBbe?^GkuCi12yYGJa-iIrXVe!j+!-87xqlSbT zPaUR1y3YqM#QfBtLV^ZqeAUOh(c|5xPThLVO#tcM1CV>$GnVZF5bMoh%K_|mkq?J? z=2kRD3iZZ&RP^jO2{W{b4{DCtQN5W+r5!N@NcZ`-KYjVxuYPs%*)!z(g-9?uK}NduNNuUz0n{ED)8(*Qy~i!8Rx|f3#gHcEs9s)Xg?!RY5OCLUmZZ=tKvF5riR9 zOTddT?|L!tVzL{(+l3eI4^R;NeBbB1rm-qM=j5D|wwrGG?ECz9pZ9(1_1U}6{&M@* z-4-GINrs1|ZDkUjz50{R%{;@!o4m|0eBAb4<}X6xgA15s#5tO0LFBzyD1wIgK2>gq z%qKWT$h~un@q3dpsAQ^YnclQ4NM>j%x{>C)6jY;+^^xjCW^LZN(!Vw}sT5#BD z7ajLJ)R&=u*_)cix{;7;UP3s%;c?g#^F}Nh)1V9}X?QQGFl6e)?pQ*@ph^WzLv*D9 z&W8GDr}lzIF1kr(jSz@10kH>bCi32aRECYvNPN0u%nmHAtu)L^g=DNlj>cXx1ExF3 zl6pK2$ zwG~^HRl6H5frhwevZ^a|meKOVT3H&N(MMaSd#I@CqDV+ndocn56W{ee_&!US1%%+9 zAw+#FxMI4spA5)ok64F4m4eItt_&{A7N++1)S^AuI`B&zUc3)(JfN#})gDkfvL_ zjKTjRLpnq)wp@|P#-f)Jz2w7!;+bow?9a0(-Y@5t@iMaUdU-z1>U+4X99a?);a2t> zcND{iC*)nWFK~a0j*z;jFfxkq@xLO~{J{Z)P%-m)3lcAzYot(d81ZiLSk)7km5rV%sCwoh_2j;M2!%Sgz^)fyyb2|NPd>)BI>O=1j#IF zV!MB6a<2CJ&wlSA&F6Q>;yXsv}FiA9r z%7}v;D=R)4XNpkJ|E`Rt$(R;BlY4Z(_IK4k&bO3<6?y#gQ$-`BO)$x2dNKtFSujM- zlF~f;@;mRmd^+zmq`hEfWcX(Y>FmKzctb)&2$86i!K2}?T;_;E+@Q=!7q3`xb!uvR zS?ikcS<9P}j>ztJ6{`-xY;8DVC80TX^IEx#6*A3;Sk&sm3W}~r_ zeOx54A$XdFaZ;AgKnUO7cxi2|R&B^?(G;`@5Jg1Rovt@Joms+aeL%uQ<~+s|wo0;J z)7=&pa&MLW^1pTKO$ccPCthk06&8Y+Wf6f(XX>+z5AMC0v!vD_u|(Iekf}6>Hh6q2 zLyM?HzjR@-ts}XM8B)!edaewT<@)$|k$-mMmhCJ)v3vJ}dYr*N7NxzmJAeJam{2n# z(>x)F9}^*E0J(jz+}R#+#Rs%4Lm>!OZPS0U-tDq-nZM7IYnysYwma8z?Qop>mDqf*YRJ&rn zf~Pr*2yab8u!O?Rj!?FObE#w>^Mo`Y1U?=%Cle}EH&>QK$d5k~qeLkcA{*Tzgge#^ z9+=v@#g4Z`!g(T50w6kFdhP0Q)$kK^{)eX}VZWaC~* zOrtOe;jMNvp_$zk<}_6IXuFMfNkq!*VS`T9>s`5eR}gKC|8)0yIPNg7f3!P4u0a_N zNlcuJx2Ki?*FD6As|e}0y%{7U3$~xT25Da2K5p}6bhNA$h*%slmpKH82q94A zV>bffyzZkcE9%PmL4Ryh^`qCLBM7vPg z&tdL?^U^1_Fe)GocZAgomEIxvC}g{{0D={e3Y?4>x&M*wSZYaxY&Z?sZRnV#pACGeC*+9`%^QV6Ch#->^0p&nu-Es8v;lJXgphX+OGuP|u1N!g zcYimWOf=AqYgS#QMfJJuZJbQm*6=qiu+Lr>lLgN zUB8VnW5$`|L)R`oxTtmj8Zk#B5utQ;ane1eB8SLm6;-3? zh7sZhX(S;5A(tE>uNW7+94j0Ez!L=zO?QA29Jb&H82Mb~Rfh`8G8ZA~Vj_`a(P{{Z zL;L>!cvsnum0ig308!ts;{Iq=Qy zc42e0&QMf_qbUH$LO{r~C&zlWnOFNyPNo&W-gCG;l@dp{^Be#o3E^zl5XB*UUxeUq zAcT@TfP9RTVZ9eu7d0?iS5pxL0{i*nOWy&{C7=Ne8EEi)#x&%UeEeb@yEt4FA&E$2 zIxHu#eta^|Srek={@*XDAdYY&G_4l~gR7jm6TbREe~BfNuojII+U!=IUy# zp%`WVW+4?bBT{*!^H{f)V|muyC}A_Cb8jYs(yMh*o3xnwvCmwn*efu2KoZc;{^Fa< z{a!?NrYrfLkLB1GcSz|ymR$ao1y=Yafln2IXtSX zI=ZF0Z7W2WX?CWosq%Hct4HK!moSxOILguxOIk6@Vs&_v2unMNHOx#uI6y-tLeAh} z;uksc`HL5OFG2`jlQ^SVt8L*xSF8KAwE=t&>VgX@)b{t+3sKxpR#vJt*5!e0jPJ4R zO0~9x;}IZ@o0SxZbjI{;XOGaYkKv4t@#riu#v&q#E=91NKE$(77CmVV(|QXM9$PMC z{*{~-rn3EeMM%Hg3~w-_iMP&*xl?snp1Q^t-HiU0wO}*zjF20SrGy& zSNDQNcy(Zl_2C?aYNvo*BIJ4^Y-F_h^Fr{ELPRoFH$z00&YpbkrOD&(Tz#((ME)@n zaYE8gNKCEk(Q7W(H9FcDv2mHC4cp1dXxMIh@AUTR@|jr(X%$HwAe@1ceCssiG|oi! z`TQrJfAYz9+&OqQMu=UtZqJLzwb5XC4ud5?({m-!^}ECrC)j78pv z5l09w|4&&$(gukqB(LH-tJY}OXMLMMLw65_@x&K2ZKj^@H$ni)MIs`jg-H;9Sm3I| z-LPE!+|@nlMAx}1*UyC)JtEk6-THd9H_h;A5ppFQZYhX8#ZodB7?Oret_=~aGCl(# zuU&m_>V>0-7$EN9p2LX@QAk^=%h6`i5Ol+aZ(sl5>eqE)maPOk9j4dNMDa-$Vz52r=pwyTT3tPKZ+?f`n8n zg=j{R2xEKu)mjB+dnSek(TMC-P5s`C4{MwOIWR ztXh|5VMbu|EAO3A-Z!qHI7x@hDTue3dYwJY1x$W+@Ao}DoZ_d)%jHKA-dQ>tnX^T$ z6BCngP$DlLNp!|;8W=ix)>|vl8YX_CY~XhwhCvSm zgfSKNLR-#1DFWhgEjRA~;dA39G`Pvc3l)@^59<&zE<)ITs7?rr+lo`ukMnIKgKG{hORcL6p!(E7nB!r4P3$S`Z+WD|nRU&g$x-iuN(zCJocIK=LVjJs) zEQyZ#dW*}a%gomW55vR|;pW#sv?*S`0xEMiW^K6+xp@uUE3&0$l@}K;Au>maCq=_L z8tV}uPpSo}KGTn&oNB5msosPfZ=R7j9h3d_Ts=RNQ=6jT8J~G(T!fJMH5myXZ!!b< ziU7G?3?EBqWe8hIsY4f)ANk8+cjQ4wh@6ZxBm*Ju9UL5WGG==4gop-(A;|y`-n#RM zhPc^w&?G>{%*!mRufZ45y_b=O1VIT8GDCrvnIPdB)XX5n?eCG7hyX}9)U#HtZZ0I} z5RJLWbV(c`GP2qbB8{cW$XrOJ5@r%ljhQIBYHS-OEz^W6*SPN%C)86{kv+u9{Ex@;I2IwJ z*Em|Ei+J#m$UHL|^r`3MUY4<6mg~*<;;9cA49&T_+(2ESN)c8h~)9HqD(YCsiT-UIzyThbE@ZzVzI)&rTeF4MILR zc;}HLZYD5K$Tf_C7Vl*KB@Chd-bP4L60*0=)OnoDyiZ{~AJPtc;Pc0^SRoBSbioXd zLt%*a=4Zr$)ia!v8Cc;{FEEWOq(Hp110fod0TB@rU07L#kbP&hEeKhP!UhLpyxj#y zNV@wmj%@6k{lnOT{_Y+~LO#`UxQK}L#JSN^>dBH3Q6D3W zn;V@taS{!AZZrcSLS&-vp9y&ZLJn8UY;J=Aa?~vL5J4w_g+Mhq>YR*LHommX=kHBW za7a8XEOvtI!bAukYlSfx8Hgkim|CH* zigUqsDp=YHNC$k}KR2SN1VXxFS&XEol*XCJ%kUMzs4z8*ESbwR&TBBFzq!d1CwdH! zkTU232{PtVp7ut2T`{7=SQFqv3w#y<|N@ z*8(R)m?i`QFfcD4{tJTSb8%v3jCfEKi_i?v|Kf{rEi^M+G(vDP$!Np~DKLb0v!qnS z2pL)DyXn-^&rfgNyt%TqR9#=v2THw_&$MF>epX6_X+CMr0u0H?FigWG_Ov>Ypu@6V z65_K1+9WzWIk6jNH8za;e!5Vr@q4aSTsc-c;wSG~ZxrU z2}!seuUTK!H9R;Kk&tu*WfF9xUx8||sPR1l zk%5KH%~~cJjYJ?MgjzIq3fVwIIzy!ziA80t% zpH8RKC6eV7qk5(x*)-7ygMoqZlS?9?vv+d-M8x&tcPA;wRtRHdYf=87ZRL7B__y|` zUa2tBSF{<&yv*4sq7)cbTh$XUd(s1hG*2C_nGva;LMiwKYM$>uIJkOnB_QNh{S@7a ziQ?6O_Qs7{WlA==((IWG*VJ8>kMK>=Y zRNiwqnK%PDc_P(tZ{+w(n`9ixWKT50xyJUM&p!?wjQsb3%sOtQpnu<~XipDu>Sxu} zREJ_zS!{ai^5rcuWO5bisVbGk0w2RKmEvnoWo+eO4R!E=2fAcW7q&s9UNc|g#KK9<#`TV!xdl#JnJl8Rob8cHjI;b`DMzen`ajaBPhuRBJp&$ z0Fj17qDV+3t>L(6B-uln^I2^zg?hM>SSYnpUB65MqYoM1RI1e~`o=LakxiBM8(*nV zFF;~xwMVBMA?49x*tG>D74j4=eDRHb{pGFd`5}I>-()%He2w|=I~6QVh|(BN}jIh_~m zXYWH=C_0Rd;aZH4T@KdF9Mzhrj*wYKY8@W>*bNX!;i8uV0fn&fe+cnIGlIn3bt@Vn ziG&si>;;j5$+K@S5v*1l`-BwSSDt=Mh^VD(7Auqr78hc`+As8C+^?R{H zhhd7y??+wpFOo3E@1I3WTg7;+-%pj=_`s-C&o zfX$6Mlf!W&1_`^l;$_Sri zplQg)cHPa7;82qCB_PnWQ2 zjZn5yc)GCOAb@ef#c+9Y*wsQ(eE8F(&a^!mZ7UFhfVeOOMcLW%ghZqKeyzw=r)pA0 z2xu&d5tdSQhovxr!%jjI2)T3Xr=M=!f(1uN(?kTDX=48b$Wgm76Hl;c)#9Ee%3RmX zBrRbRe#*!&LUcYAAj2OqrZD7L5i%)4-g$#a{gW;R$)p?f=ogK0N5 zP{w+Ch)>5rGxt3LgO@h0WSlX-V7>#i}a1S_m=T*Q=d8=ak5F7k*T(lXam=D&}bG zHh)53TWwS?Oka5G^35OcU*M9I{`e3?2s-1xWg*IwDy}UaBdy_uBN*orq`Egw-MDdM z=0@|*om)`y6H0PJx-kPC&_kLdZoHY7!WI3K<*Y6*KJMm~z4U8+KInMTF(Nel6i6QQ z|2a6tpbR4N^2EqV6htEO`oX~mu?LQ>y9*({b4X#T0mAzu3ew3&{h8s+aMCT$tWlZK zQ8FeMhPVYuRr3-dEgf;$3dTMta6u?acPe569*o{5$Y_O&Fj01!)4O<)$}D|oiMYIs zEEEbyLLIt;2o;fm2sL=+IWNDxRK<{jg^{J|dHhI9qPDvBEyZlWxrYJ2d#@z#e~^)<<&e z4C-Tq#N6oV!ct`#X`!6h>BogTg$HsXP^2a@Tnq$22#DPJ$q1RbA!|Yi;ItEh{Rbh8 z^r1Z45OQ}m&NG>|O&RI}PeEd{;CZq$Ha99l}4JaFU^n6B_~F^BOCEsX5C3Eqib{0tUGFv13k6s1xrKnMqc zNMvM9F)YW*+Q#|wXG=*k66^U$@tt)Si9YtABcxV{i4efs>Txk}BhnE08SpSd&=1fsY?{qGsLKBWgjy`$XdYl{PkhFzxdf_e zm2-r&;BZH{XW}GIMjCP=DMDngfAGNvFZDslQ7@ws2bnGlfhf}zA)|vwd`x2CI2%Fm z8YHXv^7xm>GnxFCqf@789#XkXc1atG{cM}~Yc`CZ>cH|)iccYg_V(E`<($f`F9YVC%;p zzjDPkehUcMug*b;z(PWjwd%%N&867;)zvxQIgP8)M*|v$Owc5vL4Hoj#`kyb-1#2C z(DNNx)4jX(3%#lJnRZD2!N%iU%2%24e^)DVvXBv!^t+AEo^gc{NeG8ugoT2tB;+|q uNM_ - - - - - - - diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof deleted file mode 100644 index b612d13912a6d6b0a177dc5f7a247a333d14aded..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 703975 zcmeFa4|FX@eI{7lYU#CP*={|{=Gn2KB%Y1@u;KbooEI=ql4VDB3<&!foE#XgjqTVh zfd{sU$&g7&7DRSPA{a6;dy>t?II|BgNjwS5W=VE(ahN%t95(aFady2qNshuHXBooI z@!=#BFH4gBzUtd`Z{PkeT}fAZ{d=$bR#$!X{p$CtKV4n@N4LNACP5j;=7_gq|F(zk z`p`oUKPYd$SzdSTl?x9%Byae@Po8|>efNGyzWv@0J@~)}-Y>7Z<~3KnrXd#|e&Bug z$g8fqT3*$7?bX-3_Ugt}*T~y%kvBd3zV}5~;fk|CdgJo7H{5vj)i+)BLpNL}MvS&Q z-v7`8554c+d&FTe2gE;*e*e%*|7_&{4u7~m^wN(YO$hxG_l!AD1PnqX{;|-X`-khr zQSnxXag;Ef`+M$v@PVIr|GV$M>%se9bN2)9N6epm@5vvX{fo0ddgpmWzU3V&?-b&d z42thy`@q8woqYJAci#tNAAIfNjg7b5_5KgM`;OaB+;H35Z~wpzuX*o14~Xj@eBV9q zKKY>!y!YPsJoN4d-hbZ*-gx$el-GA+%mEImQU2sTKltu@9{7oSAAIQD#~XLQVfH=u z-gnnsS6_Sg)mPv1p2j^t^qwC)e$_RzuMP9RwwK^~7NAQGK1RrU?)~rs@45F4v%S8Y zl_&3d=>9j%CJX!E2kx7d4?lSChu-sndyuK|$W0xwDtonD|JoG0ro=CNX~H;12=9w` zz||BVRhlM_sSZ?CdU7Yrv_Nf72<0pzLXoYKw1k)zqsW3LGpfP@>G2J(xia1WCD_Y~ zEv7VFHXF*-G7ZwkbOWt!kRh62WV+XAHs(+Q;R#KzcpcYu;$0Yy=IQG2*gz1pj->;c z*Th&Ta+DL3HBu|-VMj$d9Vr4Od|R?8KJV9>V7XXQQ!X2W{5|DkQ|PG0PnZ@NrFk4W zBuwLRSVT)3MsY7gtfAv^$48ONm>%ZsD}K?pUmoqiOmWgnqqwarims(WC$RF+mLR53^uLn4W^S7qr(tLD^Z4I zOajsq(PxG1N!n_V<4i4Qv)&HTMuSU z?Nmf5qrf(Qb!-8rLzZm%ZtpVBwp0$aviOKn(6&OCvJ!jyO0ZySfdMj+^QifAY&4bP) zT`|m(*@R>aAw{AID6h7NE|1F^1rkl7l4>Qfy>rT#+4&?<)|fACK@^iqRWH3h12dr0@s-FQXt?6nH>`xDHP#L7?L6X&6`_luxC*MI> zusheKnng{VTNPsy=TyWc6Ou82a8N<5rcUOqrS?GWf!YJL2Wk(Tu^!O0+@47j=ls~| z7f3~T>|~paA*4t&0p-;e(dBX3IS)uQiAt)K#L^*=#LUhoVU~n1Q(_|Oyx)py^QcT( ztV$)LqLOw!gUAYMHA(1@T51o}9@zID7#Vg8CWpO%b@|R*4``a6KRvJzAQiUw$u=27 zNRem)%BwA+%j4=!7=);zR#Is_qpIREdaRb(1GNYC)&sGJ4YIGGRHu~vZF*A*VNbX9iwWC^mwoZmxvOLi7b5?R4UwdF{9H5HngthyL-Uq4!|r0@|}-_VmC)KyIf8 z(aAO?rl<@G0LxiUZ9XM9$a(N)R0>{}v@G(r;z72{n3^m6WKK4hVqUUCBzM`+L_1K# z*HU}n0C_+S+Llh=8Z>Rqw~su)k#mqD2T3+mT>I2h6{j|dGwBv)RIIj&Y71*x5s^OC zuuDTgR8bqTk(na7D>=si(yEPI)=0#Vuuvos<<%DQWplL^Gj~RP$#6-v)~n33N5V(h z1}S_j?qJG39jFdwWz<$x^8m-tT1t6!O{mwX=%!j~57Zv0Jy3g~ z_Q1jPK$STLJP?~VFmFms8AnxATS%#hNT1=@sSOZS)JAM%rbzC#F{4UZwIXAYNCL{M zEuzcgQp`-VA(Fe2YOPn9r;Zc=j3yA8&PYt06k{QY zgp`;NnZK{7HlGZsiimU*>KPS%)0OOLk|L;{QFUEahiVV(Ll0<{IWbZz#wLbH#PugM z5;4q~FA{g%H6i8I<`Z6?!`1Fy>j9;)l~pT=DJcoInaCBseTgCxG&m=Y5rbM|AE)#i{P36~0+L?k{R zNfWvslteiDOOr`!BQ|pEm8d~0W-A{mQA1Ul%7xLDbM$414LkXrm^l-Zyp)(e4T(c^ zm-uK`tTw^X8=+CC01=vz39fDoQY%<%*~nT;?Sa|@d*}g8-HE=f7@O$Rh)X6UV*o1@ zO+XaY*kF@RKr$IkJ|7($9f6Hs1l5nUdaoydShlc=OxNo+l% z(sV;fml0Y^?Sa|@wFk}!5A66i)3@tIF0$6Ls~*tQR{n&k2;c6E3hFd@%Bv+hTO^XQ zx%TsnY8sZsyq#?(m!1lx1w_3@Rp_P~PH`kYS5+T`Qbo{8njir z<0;Kz=bxu71W1J~evVJZ5K<(XfbwdK=<>LRW=1uVe6pk1u0C()yQ~ad&Wvi(vV*9? zL{3vL^X{RX9I$TlSloa)hl5THx){4NPz}1&HQ%s%0K+ApnyBRr^?(|*-MceTX%^d_ zNfYP%*n$R-ityOUHW@=mk!S+St1Y6-;~JV7l`V*(Ii;$X?vHsp-(}>@6^+zbl}f^+ zTJKf}A}grXB-!H`)iTR4K+&b@XMhT8s@enl*8?0>1}S=wWHs0R^Z?IGgOuHwpKBI5 z|2%CWKq_qUlWj7FkX(VMGy&z+=F*ufnQX4^grS;RNu|A&T49b6WsN?%RyM9DrI^Nsy$G9pwI(D|I*>mKM-E%;u>&ZJ)mh>{(0I$fK=G(85Mkx z?=pHRnx`lpnE6vOhDk+I&!~z#RHxS-s6Ei*f!NY`_7#-sWa%HvMv|jj4|#g09W8<{DRyOLuZkXEgASu%!c z%oNF8%pVa#GbQFS99y+EAsNGbMIs3(ueOLTk4rH#jevW0G6|y+I&iIkn`Zps1&>`X<6iL z#e-~@F*R2vkebbxwbULsKps$owx!dz22ES@?IRCx z*FN=B#i>o=OuB^`6|1eH+QOPvM5IqO?9vbrRn$goWTr^&O3pEWv}z-lH4-r-EEGvZ zd9{Un*<5YK%$-qRGF(!v^(yo1k?`TJLCWz#k_{EtJ{_or%7=AfY7f*N*kKQ(4ODs? zI;9BD;oG3d&*}*cfIS;V69`5or%8&jkZ5{fPqryBAu@m8uU2c|{RF$pXX&OIV7du* zA_H{4TH}JG2{ASig2LJ;Imdu1tJWHoi~%fPpiUCeqgLs3GYKga+TX*~HwjhDzfWgW zqbz%$(DPfBxvgfb^POQHV7ETwrPSzC!Al&dYT0)l7-+S1I@Z8A_3pTn|(zro@!-W<|Ayl!}OG4y1Wt zOS=6T73l^&qmqWR#LfUqPHjriW=X-FLM%DST31V?X#MxpFvJYhn2WU8iCQw7;-sXb78V2?eZ zsUN-Zuw_Y`)fV!l8)>fDtY<({L9M1v=B;ICJzy`aSvhe@#>CUlup`?V z**%T4JHMtEM&Y6Qtv~ZJRMk-zruM*AJfNA$o=H=u2NnXP!WKW-CSwRG5=}sPwMBG! zTy`P@5>29#Y9+CDKt{O293#payANdxqL^Iz6j)I$xpSqSQRTX)POLppd!Y6}DG$`& zOfTi8GYX_>ZOJ(Xkc#lwIX)RfNUlJgCZN39Tsk3hx$Hy+D2=VGT1o64�zRgqXMU zUB(jUN(-s=j4Ibfbz<#-$OG(cgA_SPvYKmOdO!`j)I2{NNKu2fYIi)PS?v7tw1ohv zu*J{u$rwV4L=#Y6Z4q4_*U-$UMiMK4#byC9$QczCX3wZ5ZG}`*t4TZPvky5d$jJ)W zGEnoNSQ+5H!>B=*cy|V>L6^Gbt36PAV3<9i23@$F9;m_sYZf~?ld8lyKX&>BQV||I z$0uV5DH2UUq}I-P6k}uTrO(sZT$UZ&nhLU^F>58U;Tl!={7Rx=77@F-M-ocHmnktJ zGJ8+1ifXgTkfO1r8);0mo&l_aTCy6i@^_D9dBA!#?XRWwK<$At9*73LGT1U0T?Vvk z7>NjIF>58Uy_Fg>JD()V8k=gJ32Ril(`f15{VsC98&H?O_CW1{+5@!*;vUdE z%%2`u2#^Zfwr5nJ(Bvtvmgs!R<{H3^Dx6nscSdCkqG$@)N=Zevg`DZr#sRHS$wKut zp!UEnc|h-yo`a@l=wCV<`Uk=fT8pUdDenPIX!dj@cKQWU5gt3oCu0aH5=}sPwMBG! zTy`P@5>29#Y9+CQT%)oDQDi=tGb&nEOBoNyGN2j*59rzp6+IAJ8jlU(l1v=bECk{H z0dXV|!(91t8;%!z9-c$;*@V~thaxDAYchIt!CVJcQ5&&!)K|%hED2LmVmi#( zKkst}>L}4XE89^$&v>9fRjloERQQ>~#3vRvX=dVsg@{WgBx3-}muBbdh~?Gh^9fuk zWOLb1EP|xXE|Lwk-))RR3Y70?GF#>#MGlf|AY6mCa3F;$Phv)@^6&&wGNvIoqS``AMMSy@b|M2r6}1r?nJJRH zm_H(fW=hO6IJRnSLNbQ=ibN7nUTqOw9+zTf8U>Nul~ilJ$~?8y9;iJ~d*Dp)Kzrzo z9QwzXhTemf3uw=V+0z3H0lA$XL?_#nn4&T$04!%YwfU6bAm_oGQ7L#?(z3|giU-*) zV`{E&Z7Q2fF)!I6lDlkZq8%vWYpFeOfIOfEZA+(b4Vt#*+eaSY$T>)ngCrX&u6^pM zic_1!nRE*?Dpp%XwS_gUh)ADm*rg#Ls;G_F$V`#km7HS$Y1Kw9Yb0VwSSXT+@@fnD zvboxdnLDGtWVobS>s992BjKZLgA_g}b}(h14paxTGHR=K+XI7c{<~fNGe_T`YfA34 z>%s!tvtcxW(A3K`$w2I!isM8=N(}QANkz3qbV3o4Zi1c208vG4#3qC$d5S+Ggh`Ki z25_s^CM07>n=5d?Bp_A|xoUIijQ!bMikZm{k=$iNtx+)u^X!p~4p{Hpfca{=+5`K@ z101WW2#0||3J2<1&TJ1++q69#Emt_G}nUAT;CcEFgAHO-o2ZnZHmfsx9PGM5LQw zCo(`(Q5&(5nIgH1`6EJTro>!^W2@FCBx9JbNF)K})fUm^aVch|Q4q;pNwwCi%u`D_ z4|M!o4tz$V*t}|~-~mmn9d`0NF_4Pzp0TWvh#??fB<{LvLdvVnC%im|tKA)$fYR8? zs+GjjA)#pglrOO&yvI>V*AY-4?F%ZExPT4GU&=GV4&eZ5a+S1J65Dl@5EtWklaYyU zFP=oC{gR=9AR_jeLgr10VQj99RaTozCmMMs2>XdekW{fYa!9`JolRAPre`Z3Dp5mK zn#zUIm2>oEhz&dWotQZjgMLa(pN7OCx=VbtD^{D}=#9`QRB{d+m9#rDRa2{}f+ee^ z_CW1{J@$a6{`_&mLV#4*;$uuQhL9rB1e8}>M3={92U3t|5|vadiS3^Cp0ds4M5$0(K-4p;LO0cb+5_|edfPysw~@75&v*~0 zL3@DbhaDE4b#`CWpjGaIr*LxBEO!2R+CqR-*rIcMm^T?iNRem)%BwA+%i|iF8P!Pi zyo91TrK^{~>{*cIDm9~`;%u%-TOoi|P^(GHyn84o2dvvX7B^td;hhxN4<6ArraIun2Rml5+)Ct1w*`z{)4@ z&Ol|#YkN-OoF6;=qU?(0kDY9LW28o^#Fj6w^Mn@oUtVoKA5*ir1~8){d7s(Y`b={H zJmJff7{i;h;~x}{dAnF`4jX-*H>2u05wHqs$!hZQcZVdbf^72TG7T>~dm=^C{{1M0 z1JzE~)V^_=DlDlgYITJSX9^(j2HULkK5|@aq$r+I31^_|p8LpARf{_6&s5Eli{Bl; zYnCDZJZ&LBDs1tSZ6c;cNUmV6u}VOBwYhX=Wj>qBPGmr$Nt6wZ%^xMPoEAmGo|BGX zRVl(E2*ZgP)fU#Ml$duS&UKRJmz{`0$u?mCYgD__Xj5#YRr^Zh9+sBQQhTU>|ES(~ z&1z7t)g;=p-aIHPc8$k+s&GcNYegD%Me>05EltPgpQkMZNQKSL8#E6TchOm2BP_8M ziLZ(1SL-Xr_Hr2rBMYUUt6MHRwE>4FPd1cwoP;nBB~dWR*u=ysYAA_>Bvg?gUnXN} z+xcbBr|P7p8)=3F%MNG-Yc-jfH=J>r!1j0apA}_8bs*mZk`-4=2@j~S$(BH#VUXlY zhmArHWcX4RX50#3h^H-EFWMQ`Z?ABW@)mA&}nw?m3|j8^Xy^Wshs?=^4lAd}PI zRi~W&gUU#EAc#ihLW^z#qN3Vd&JBz|iiosvXtHY*5LMJhY-EO1$qIPCqtaqXnJdz% zsy3HSG|$R*RL@NnkW{g@&rzbymXP?w;wFn0eT~pU5SL6y#sHQtCq-4Y`FsL%xNH;b z2PHvbO+gX*zBHMz)5!_3;9%50Z1%(;)pDjo zBNG&$mW^SGzF~Y76LcxfC)E+3~fvt8wS%!S?F!pRn z`;xPO*f|vlI~j-)k};~-7?nP?aTDw%xI%3Pm~MhK3dkzfMr@&izB$G+V?cVcd0X)yS1keglFg-D7tdIgJJU9PWLsGxaY=t6;D6h7VFPp2an3-lnBzGm%TCXzC&WI|aWl;wy`~$!HQk)`Bus!xmT3kOS z>sV$b410XJk!skj7DS;1$&!{<5vR_W1@)`VqO$Rdp>z|hQ9xKz(xEZ65roVX$z3W! zGo{xvJhp00!i$dy@ywt4;?v(cBvb^IlE6H1+DWxKDwt-@h|82LkIJOc{%jKzF=U5G z?y{jVwbrZ5vt4=aEHHeLEbkqO3e$OgFOPaOtlT_ErL?(9=qg|~j6p~s6ls=)W;J!C zQ-R%xzK~k85!!|hctAHJ16O4fvQ51kkrQGYKOoZ608%VkH*sc=NlDF$n(rpCHEz3& z4~e_oX4kGns8dEMn#<9*xXZZBH^XYgrlY|A{vFXdRW9G!ag}~DK2+t~++oZi&d=YU zs{12$=9soBQga|?;Wf6N^E9s2EhLtJ1ONLfoF2^HvEta%FZ1V0;JA{UrWa{|NbXV*nklh429B*-lknnWvVj<>n|M>sLYXgLoT_T` z>6n^nLR&GJo`Fd2GND})Vi4xpx@eQt%%~7@)LYDlC`}=XNm-75k^K<|=~xNTjO@`X zQD_^#Y_JDY(BGCq%f^JXCTbfh5m21x9}MYq@GN6EI6)NtZ9_UN+h7>}tcs@!Bd+WO zL^}$cVZZlfw<;-G_jkO}ep%No8_}7j8Clb|LIG;o z7^X<>QW2Ud-lptAaBS5YqaGd&Z*HzXe}^?QF!nNUw&*TriLz(&^rU2)popSpAdpuRIwI@OVCdozr6uu3Jv;2FGc$iBBuVZ)p%-Q8&SRb>Z;5@x@ZqGCX8DnSCALU)Y$scyb(b!$T z7=Ok=f!f%qJcA+@t$4&S)wXzBQX7NRDrzG(GE*dXv4TYi&6IE6+5^FuWYw}DM{(EB zjbeCvIFN{yWqK5Wk=n59#?)Ff%W#n@;u4AnF)kZ}1c@yN8dGb%$~?uQuhB6Ovs>}8 z8bESeRVG!@cU7b+z6$ryU{zR>l~{pXR%tD952Rk^nPwKp9gHJQGtzq~8^;lJ4hzAt z!qzhoIE`@dB0)4{MR&D`OcjZ{?wTn*YNhSNJ?tF_p}BH7QhT_%;{mwZ-H{0>jV%+} zH6adRo)TGcu|gaR0#V%2gOOW=;?WrFv@wL_%i%7kB$5NS9YJI49km4I$d~f0x9lL$ zBq66{AYZM85K6fi+a?29Un4xyg#eZK=pka3&2^0)K{DBj1*!_w_CN{=8+j%OJ~`W_ zS0Gi?Mh*#c?#*5eS}oh*P>D(}jj6Ame!_k@jH0(uD9OB?fx*!{=BhUi({NqKsL+CsrvO*!dip_d>zs>(4A zF)A9U%u;cuoI&BGU%6&C#Aa`$Xk%^WlHnJoO-om+j42R@AEe$T;dR!o$YP!r?W28c zgu|D`t=LdTKw@C(mu7#&kRA696RNrNbyV7&T#_c^c zGdJ-dTsfCaBGqiUb)i6$qYt8Y-<85M~N#M1;ot+`QQK1v9+ zbBeJ%1;)<$j4YIF6Rc6-(B#R6#?(q;duuXLFpDrQyAG$a2oh!O`tsKiyx|-v{0|GE zm<*`So!ApZi8wV6^2<&{p`;thl6KhP&xX*5pfn>_=S&dzWK zr+7H?i5sx#N=|Uus+onGklY<~@o@vev~S7gZ$x!|bACdsCW1l5K<|ja<9#S9x6I1C z>W)EuM3uMI6C9cLmFN$`{Tl($uOr zdj!cRLuw0Kx{&x}f=+*=g-=AeohsDibadFC1=7E^R!s=f%c z-_z-;NsMjH?p2IdMMhyzVK26_T~A5Nw5I`>l`TpL84iNIn1kGldhP5F$Ev?SQM)RP zn&y}qiun@_(Lvg;N{if1+%8m2Zi6vp$Be4mu@criJ=PN92k>kN{#a^f5{gD96S#PU ziXU$OXcs(%hM-wcdQ39F22_4VrE%A<-Tn|BTU_`n)jd-yG8KqsI@QkN^hvd&y=vp1 zXC$;xvQ4l?fkTrg8=5}ZU>*u9BpqSOUc5$SyxW;kVF?scVxiwJP)nK!!f>Hvn=olT z1CoeSlh7t48wgS@gwPUld_-oW%o>$R?#!sloyKU@AjLfEHb}yq;5ynRWepiI-~5aY z(tcH1T3EK~mmL<5a zYDRT{6)}%x0ryWl*QgSvH%3NK9`DVlpP6rp&GQ1${xv}H!85;T$W|8!SA2OD z_n@b|K%DPLv3b7nNJ3FbKkdRm#YVu=u!xcYHXSoP-~kK3HL7(UbgWPeKYJ$q8h@%>4Tj)~pE|500$sH{h)TwAu4tsD8_8PldIsT$Q^yjl zwTw+b7+FG&kEB%VHxU=SN(KTi0*Z~LjbQZe7tp%S7joqSR}}uetYx$yiLa+lyl93v zSb4&DtVD5AA)3bRk*FfQ9FHYHSa@+^=`^~iiNUy~i}E5J;}aSXt8ybmAK61=!xC(9 zuWUZH-{dn-l7EqI9!W~FaT_!7t9a1OYf1V8OG0w^!)GrWrf>A8@5^K3iDb!pngE=` zXZaVTM0*->RN-sx_*jW?rzWjJKh|tvM$EVp9hCpoxlqfViyA~?nkKIuF7|i$(dsy% z60#+9Co1>Ho%O=^-h^rjIbt1DDswLL9wu@|1yN=n?KaMv5b9zX9=6?ieUWzYP2#`u z#pu0W;fT(2+-JR0*c*8|<$cq%+6mFAd+X}d)ImjR5}^xm>_|ILckcSRgg_K`t8c(q z{H@Wj0k!#z_3eKs#1l_zp-+6gM6;UmowfI1GDgnU3!`ajk)p8~2!C^ho+6hdiWR^J zg-xJn-53NlkF%kyp(KQP6gHBjBaA+?M)e9|r{1O@A4S%0opo4@;i7otZ(bglqAh+I zbF5ne&oRXVYo;iSXutRh*IoXOSlc*ja-C&2-8X4w>DHBP!T{E&;0fBDFUKE6`t`<_ zUEt{@_#O+x^3)A;f&Kx}GCXPxPr$5{Xa}%LihnQUh^Y zX43Gq(9Dma*%jzNl?78V$$aR;OQv0uf&F6NO1Q$H`^qobou{}WHOmz1$fI~jVL~#h zNL+aO+1~G=mF{On%zKqC(NQ4jcDO6k2K*LB_F4%EhIP9?oG`2`BgZYwef)^PD0!@-^XiTjnmU$^mNfb;nC1O|CT?oi4 zLa0snsL<-Gm_L09D^kHf{$U_qcn}VH1~Iq4$ydw7<;$G7?;ZH75Z`%B=(nBipGMiG zWDcvA2T{owE|hd5&4^_^15ZVqnuInXRV^UOC8VSrhxwRM#pSz8@DQ4k_~jeK%H}2F zi1VKTXpi}GV%nLHV^fa;PaKUQ0I-d#s4rT#M^NNCmtCWM}98|o)SMGw0PS0d>KclADzb1l)eCIS1l^% z`SH+I>CnB7m0mw4E2w)qdAx4^Fe!`V<8Shx zx$SA8*6zmV);nc4mpJAd;fmR#k`+;z^mHR>Ld0%h5vPtNBpOJJ&1CF#nTbg3j(3;3 zZJLJ})hkcG3dNW{ye^u*XbKa!Z4C3`{4yT`C(|3To$?lL(#Om^!IBSSK!)z#O3?yO z3&m-b*FyHuo5}G!UX{fUo);?9rcg%`y$r2Uy9mVFIgf`)beY1K_L~4ompxd)TK1S8 z4EvF3?ujgY5dqey;8EvJ+)OreRq*YQm@*B7n}#Yfu`R@UsAMcnn1eReaRC+6NF+!R zEMb!EBS}ic@TPLWU6I@d08xs-Y_+yzgyqQwI1~XLE?4uwl$5U+Sffy4%UMEg1R)uu zN>;!->glKe51m1dwy}OPGUkaxtuOZI_CDYA}A`3=0Oj8Psx~)D|p&l5s=Q+ zbyN>$I+P_(IE2iUHd7OySlnb%^ff{YL0l)n>3rf)`G}FD2HFrelZ~1RRt)k&LBk&l58Mc85Ty}Z4wP#X`xH$QmPbe zkf0LHJy)G%YHAPFOyTzy6|L|11KwcRMKqpS!Tek_@eeT=+ub8VinbymUocIRQl+bn zjmK7PJ|CaT$udEqln|FYf$~AJpj#d(N$O%KLQBEcFIqyO@mo|1Ev7KKZv-qzkp$uj zLfY8O0y7T|f&?QK%VJ$+fP11f+a!d`$yf6%Z$x)~_vg=1(?a4S zR2vt`Vsm^%=*6|$#1~%XEqvv7(URDh+E;ktE!6^R`TU|%C$MDtWY34>3Nr0+vh7{0 zS@tH)0?{>+flnfI0cx6@7^SW=6{CG|K&A!qT{C=H|mWh zNBXYeOt$5Mdgd(8UwxNW=J|Faty(BBtxhqvj3|QAO|V7*QDALMZNx@qisUX8p_#%z z?$G3#Hz5$CwvE}x+P{}ha}%)}-&$4ru0w%dyk2m59rSVHc)3ik+q_tnDu5h>`< z8D@6W7Bgm>pqQCvLnL?E(5=@LEVxEuMvaxXnEV1LA3+W2v({WkJL~u9Tl_WgL0t2` z2ppbTD3stij%j!BChJZM&EGT8^HA;MmgXjl(2x>0?+{RMsFDqH(aVG!afMn(@@fub zpC#$Aym$2#TjCg(!w~m>$9as05Io4iRZqI0K=e@pCc~akfZc9#snKA&N|3+P@7n!T zN@&fv)~YMQKesxIqXmp(>q0UiPt5sonX?L|fSYr$+tW^xSJ*0P$$_WE?=P+_MI^>b z+-%Wp+{`QYUKX>iz*ELU^whqW$&bT~#)#IP#uXZLO|U8!M+NRdp)uT#nd#;TOn&Y$ zG0~oc^<_k#dvtdG#H@ivo|0uUR>q*|4n};?C{ocEWYySARZ9jgyucC9-RfBT*?#0F zFn{{|v4wzn#ZxWUljqKBG4qPR@qcz*wHaF^NB#@cK68kTv#ZuTHzApo&a)+BM3E>0 zdbzqLSfhZjoaxY*+6Y2sisUX8p_wwhDTRCy>4ZRx+Qt|b@g78iiTO*dpSS}r>wWbL zn0%Fbhzbwz-}j6I!Mye}?{eA-JYy)>vNg(72-!Po8O#>~)BT{Bk!C|AciGUGTFb^f z#iF5py3O^l9%+98Cfxyd8M)&^ybSr<5>G>YOuK)AsI^W)&W|tJt}?yXJ@vS8e-3!x zB%!NCRbtD`%I`#S`9(Y0bVXOwA;Be|MNs_lV}$rv;v{cAkZkTr?#Ogn2d6`C<<+JqWym_aWoHN{0?0wr`Xj03#@1 zLch3y+ca;~_9k3AkGHT!-8)+f)^7Ze923W;9Pcl%>Tv&~O7dHiD3uBDqUNtT2V1Im!Sb z5TllBR7YQj`O`n)ZS~Xtyt<~Z|B=-V#>fxN1dR}xy;oR1zjXbOIRCM6G3Ngy%+%+F zYk<}$Qz2yNsLdv03NIy7P0&nL+|g`^WgWz6Yjw3UUwEOgeCzi*AqknH~bo2zC5ZWo3OC)9p?aLR6HIT&V+Ix|(+L82 zY>C)yxoTUP^GX_~ZO2n^51#J9<=zqk!WmIQdLF#RPf@jtRl~9P90dxnVFrV!WtGXe z-!R(KVUdq0^dKMe1SMu+zr@!HB%Gf#KLL!Npr2dt0HKxgML2_Z{hmX@#ozy5#9ZU=5%ZNd3-@b(i*zy- z$=pc{aQ_Z#RCoW1&QNPhR>Z}0#a*fi${GcP%G2{_v90B((|wK+G}&7)o6{_cjChg8T)&lbG#XH&VAU?YUMnaACBo^$AQEasbw%< zGEHbJW+XdAa+e7W+d%@th;l+7CgpwFJYZ+$3a+>XfS+1*#kbC*ZwmxP^`02POI(yw zR|{wPWu~GEvSlXYN@7R_mP&Nf$S2F1<$RGlRGrp^4Z&mfj^ILD;<rAZL%Jhl@mwU4WhE zhmBOPA!4{hZ?`z+bt;7~D{sC<^7RH7&NfVcLH6CW9OBr&;y z?9C_fXxf9XaL)PGU*f)2d&K8mzl@s7ESYyp>6incXQRV>|%}yJXjV8 zIj8XSg6j$$)tXvFOre~uumI6Pna^Q!@kX=?^X)@`L`zmI_dN{3XGb@9q_Ex3K(1&H zo)zM~XX7on4v4CIRP;j3U?iS~>b72dM|%iIk6HgWSJECYWP-w9H0!Sm>kT(S$LvhLcdpvg@-3RF^dOX^eqjO%Tz#d{(gk+kJy=GHeE$(HW7{+ z8k^}7k^vUpLJ($Ok-vi7V{cT#|9^27Tl|RduKgY!IlJr1)m#0KghT*jOR(Z&!Nohe zpfQQ!=TEMAK73HdOy4Cl_N&b%LyE?xuw|HFjRL}&Qo`B@LS~BOE>^Gzp_yVl!vG-= zqn0x&?}u>id%;mb@DJKuL(1&p4+n>5+qj)vEUsw&`OKVn&$*6%;V;MAi}>mgCRkZD zRm=xOrU`Au{A7nn?lPfqYpqwAXNz*=KOy5gp|FV$Cpf?FN%DB^z37Wi<33=au&A2j z`oD$&@5W;M;xC)UJd?4ErwcXo0!kF97e4Utgjo(%rX?(JI;3DfT3pjqOrbi(YR3D^ z_!a9C4`L16L4`%wJ%Chj4lV5n+~l6Zo3=AiMBeZFg%%E8BV3ST6K?~El{OoimCg*p z9`D}(J|=-Rsu}Z@mI>k9f~nB7a23qQG7K&U%ShNO*c=6W;z1RyVA&G-p2C+())8)U z_AtOYP?JrOpGb#>D>P43O#Y5f|E$ulIcRE<4`i)Xe#1pM0 zg=-&t8-QP#7r$(pBVvYG?Aeg@i$a?+3-%3(O>q3M5QLe~#EeRR{kMJfwLij#B^Do+ zUW>T9u2kaApHjS`UL-2G^CK=6T+R#p3#Np=?K>YUP+LSO6cMQ=C~FiD)|6Ce+}a32 zW{Tu4=8p)WnG$muj*Z$I@y;g_yi5Nc8Babf^yAv|T8ke+Q#p4EFF%x!C%@^mN5688 zEAD)oBmVfQk@&;>3AM$H*(NAvrcn^dT{bjkt@SGNY*B*fYF5vp1j`qydA|wu_hR6= zf67(51Z~iIohO4k#Ch%=$Kd~$@v)ABF48^n6*mW1je!y7nYnQ4gt8f z!9NW0g*{0Fhv$eeuEAHDj`b!Z_sT)LqdjQCE%0dd1CQZXT_0kUKir@tQgfUmIF?#pq21XuF`8oq9&HGzO04# zJ_oj6T`uR|BGw&EXAu=O&_0gF8k-qGBm=Bs3qhDeOY<|>O}zVG1FOG%=at zd)*ww73}kI_d()A3guXFD@!={;x8u>?tZ9TroBOxv?#T3xPIwK3%@w+Uw}$gjGCV- z(Wu0n(DTjC$9Off9Z=aAVY`Jqtr=A~iz1#3s@y0i1Mf;

{Z30H_la)Yu96O z>uFp^{``bQ-vt*lCWaD577DdWqB_Gbu!G1gd9=L%Pv_E%ikS$*5oI!B>2IgB{8YWR-3~W zCZ!um_jf&ma0P31l$XC-6payPZW$}Q`jEtOz}KC_`nzM`x)crL^uP6AOz^&r{w;;qZ70nvjIC_&{jfw6FDS7#>1NNCA$dqvjz0<; zYQ67*3dahY_^Wp-arIx!dV1uLm{fm;uT%dE`0dC)PTaNewQ2J;SfcVoX>rukUpNM} zPhTp;=O#k6^T?BYMmCSgre&L8jRIB^pABU-B_Yg1N%%uDHq~XF1U!GPM0*{NYc9MT zz9jVjxkzr(!-tZ}jh8MopAho!|>4kRB8aU|P~>)}FX z!@T0BX&2Vi+4K=xD9UtiEI?q!ipPXTcVHmzmVt%ZJ8?LopixP8N{jG8Dm11ejAM5y z5L0%AyU_UR5GGXyUBbTwtUH>lx5_@-j&jbPExjVb> z)7U`-5bF9w?qsz2gmBmM#FJ1en zXf^Set+@Tl@CK%0Mh=4Pj2fFM8Gmy{A~o9tYZOo#TMjg)RuW5xM3N|&WJ;>bY{FlR zi=`(1ssGW0A=P+rWs);)lnh!x-M75*5nArjW(RdhDB+c{Q=vdS)etb z|FGjx!kGgCfp7ku&K=vom<-{l+ayc&M#!s0$(Rq1t({|cZ+MHam?>UpQ zqKju#tH*sm(C(^MH)?-=1}iPso#(1gePh;rV&kpSFp@P#PJH?^ZQTF;nj) zaslg!dm+kFBt9{d(yUL~7_YgA@r1q&W*cknR(s&vE+9Nn~O zRrC~P37cY|gzVrFR|)MIkVkNl*8X{XHVBXIx-OPY=D#}P`hR@h$3*ai=Qux(`!=SH zWn^cxT2rl+mo9kLJgO$nUlY#B#}q#3D31x^s7;({+*gQTc?AhB?(yCKtFsu(Um^T|{Vhj)>VZ+N z`WA@m-LvuR7&xzW)|(Qui$D4S{O!_@$|d!3+&CTiC>EQ46YTAuLo+*$Qm`O-avGyu zwh7iKP-xO*Lu1xTV#5~B94Y>gj7@bJ6ISqm*!b7sGTil}%K*L57f0SBtHt z;5)FynVP_JwX@n#|MYD`gwS*G4U zaHg;V(U@<@meN0sh;O};(U6C+9p{6Ra|mp5%r6jK)aVNG?^H4dDu}{{^#qg=OD;`3 z3hYnwg$11RU1K4Vvt`yy4P+o>u*fdqi%Dl*sA;~J+LckU0AI2Ngngi!(jQX&5yNw= zIEK1X(P3Wru@ns*Q+O8lR#*az&}! z$wQShP`J zu6@H>ymI+f>vZd^`0|Bb&^||-jLwO1Cs2pOzY#d+h2vsAB^fLDMy>nycgWz#%~-_YlYck;>^wE*3rn1Bf;9>#O`>dQ%vwn-9TEwfd^#fG zG9Wdw24+Tu`I9)d46b=Rc#gMi{O~})yKr0n|#Y1;w$-r~QlBQ}71cE%>1D)W_XG zPmcxC0P}ZB-#9vU=!>tzqcdn+;@)$7|H%DIzG5!-OwCx11;r^1 z(h_S_Mm0+?WHKdDAl@$K?S36Rw!wAe_Az zBC#oK5HmYl2*cU?sK;g__9EpGT)e1xIOXNAi)&QkJ9r~`bwanq^ej!ot(C%Zq5FOh zj|1W4u2$Zpls7$&`#m?#n(Fr(Q<5{PX2>#HNM-1x^+_H&I!8NMjB?tHAuxiqrdNh#dT;$2?Pr3Md!r7}hkrj%!HZ!Ityg6^adFoto z^wqy4T36mL#5^_Nrk6$t(PJdgB>X|YB#5?cug4W;tycmVr zG5;joZ|?fxrmJ0gDk4lblC|FT48j$x)lpvlZdI10;Jbbbt4O$o`TJ^IKj$stvIdRf z_=0K|(`w-y6JHhjY>C?rdSYP|cSAQ0>o6npALrjOy&g?k!+FNuFl~SBQGIiIF>J}M z#yRRMC_kU0QV!m6N86q$wxF+cCV;jCO?$BF(;NH>A#_CzjCf z@T0gh;$ZJ$rEeXsDkXl#=xeZB6Xn&duR9NJ4jtK3y=`!?2(U7PHvhZ=) zrV_=n0SJJ&>O`R5gvo9UQma@Sv2_YiB`dOETHS2HoRLu}kIeBDHLaAAB*Pi~$Pxpj z%oXYIPj71l5U!~4h#wlL#yTIBz;CFHCS7@3BQJtMhw9U@sYg^fD8 z9<+6h>PbQfr?i>Mj&Ks2=FAwYDPj|wz$5_AjrTwh-o%Ck9|`!wq0HNfAygqoBdSQC zs_iHi_hJ@zL~}as!RykTc4Y$unt(|_nm8yB7Ms9y6Rc5SsbZ~VE6~29$)y@fg%ZdL z*xiBJW@^>N%ZN7CvPoqEx*vpFq7Vmz*i@{i^y5;G*`@NNa9GI6Om4|^_M=$Q&?|(- zhF;MFVOU}}k8KWkgM##n7m4;u6%!}rVU(tVb6nTE)R%K`(!F>I?YeP1o8YKram(Q) zdagjEl3Y`H1dXO~UQ;Yz60C?nU-Ygp*{+Azj?zC^w|ADqS$qK>lY87-0=)$Y`;THi zYNC-E8>?7I23TSXL6`{*G4kn;joc}maZDgv)34Vpc?xIh|8UNPck4f50#8hVEZ%vd zspdCdg+F~TjgI)7IIS1X?K}$n;)u|n1c(1oPu&0aZ!PD7CX1GCf;9?dvZka%W7bBH z$P~#yDnc_Q<}w`fsa~_-^3zng z);#l8p~N-rHy!B)SRyp-ppb4@0oqk?7#Bw*i|F0qh?}{ZyXN2uY;uEf1)^|${TQ!h zTs+Y56+SHki5eqr|ApBlege(9*_T55tTrDJ3<#_VNre+6li=KY*H%4$B z!jK2&L>Ya@cxMt3&1{OYDUGtgf#WU!BW&wbds}0*lR98(+gvEGS&JE+Dhno-Z?L(K zpDmoDO>?Y-Ir+7P#$lUh4vdMVE+qkUgv5U5q^EV1R)R@W=qKImOk++-M<}(58P#bA zW{$Is6bV=sRxxAU0ML6ib~nM+dFJxaG2#0)!_qPlj4qIF-4y`0l#DT=dOm+{QsO-a z$2(t4EsJX~z?{BRtC?U$i|Ns$PU|&+y1f+ZG4W$6n3dkO!uf0yf8kF*KDlmMr{L3n zPm@DQTp-P0S1gXcyco1)L|FISD{{El*vK?C)T|Ja0T$ju5I(1ggvC+OdfObe4`Qg8!L}#{poX+xkQ%YkSqSbe~Fhx zz@l0%)_ICWs+)c;e2pz>f{g)INKk;$+PEL>dC9Xh}m_0cTwddf?kgo^$P}8e$d;MYG)KvRxmkIIIH)Kn` zh|WBE2}@BzvQ1FTO`{-^yKHF8TI*Hj*@8H*5HqT_7(;VfKneWiDeb7Yh)<~RxTD^a z7-m?B1@ipiZ=AKxHM~!YUkEnNUc3}b>Cud;@mic6j-i}wO#RibOBz-vY=A>2EAYH` z6pNChO(EwHFwI+a{39jjqeU|3VlfLYm|ud!bxSDW;Rb{1WE*#pG%AGTF{^f4g5vIt zC}~{NQSL}sI_HjxMXk}ua5QCltjHDTBKmb!@lEiN<`D&_(4NpK9B=qXd@xXX>Mm4- zc|-xpg`x`)TL)~d!c?fx!H>(^1%Vlw5G37_mOZiph;1kLH;m zL2ckaoP`+`&a?O}k{oZUqP(Tj%M>D$2$y~$m}#^7VKy|wmyy92sLTvD0sRvL+$?VN zDfBvXcMQ*Th}rcJp^VErXf427Af29{fr7T}%2xc;5E5#yhxR{r9&K*E2F9sN#cXTB znH6tylp_|Ft|~SS9qrTy+{^J~%WYzMX4!53+L~Bh$Hy;elDcF@IIlMSQDa8spcxnEE!-iEd*h{RUom7B>o0#3itmklBfUWa^p#{qUL7Kos>^}jhPBW ziC}c{qe`@&#?95)lely_jmhV?enc9zjW3V*&gXFt&0PBZJ=Sw~f`rJG=l0>YY- z4vkqGLC8#z+@&HkQ&`QC0YV@b=^Mlve$`j8M%D6Pg}+??-JtzM>n3Lv6K>J?-nojf zTu}}N|G%4ZW}LHq*Z*6!`P`RZDdg%8`VNh1pEw&|n){&NybI?*{q=KQ)6#MYIZS1m zpcM1cY>4D86B@VHdX;&qi#NjY3G(bunE-5Co>KnO`^Bo58xy{A@E&&wIr6E)`j|L@ zR{RB_e0_Mun|Wi$8oku=)J@><8ffLun-@+>Fb*H2Wx503yG9?>0nT;7NjQQ;ECAN?HHsNAr^naR=UC+6I+%w{m%c@&08Spwj&iK|SB;3A4< zOV;$!8vBZP0?(1-bXFh=863S~`o;JNjv!cu@uit-gBkOVz)|=>j%W(=Qlo)n?~1D? zJMRvrtbkjg=j#OoP)6J&Xd+~uzs4c%sF+rVs62s-HPT(=P$2Tqb({V=VvyON)0|Q9 z41=mD!)C`(WEvttr7L_kPdLH(;ZS)63a1oo77AB$NJA)BJid79AAWP#CdV)nl|Fpp z^075s$S7+#M)S6SQwu~I2N!_0m#ivhZ}|RUvH1t{n)i;Se{>GETrk<3xtdK4@B676 zee;%VE-@S+PQCRo)~F_Movg*9;(W}>|Ld%Wp$`v`Vm;3pky!7;1CF?lI8%6zkV59_ z+jb&q5cS1+RODi#;P9id2^u3A0HO;)m}^v<|1ggwkt2tL@ns}``jn8(^(i(GHSxlG z%bl#;NxYzAV7>ML9 z6B@VHdX;&$Dx-YE7Nd8Y&oC}dp&XCF9_4JfZ=cdq7tuOm$=f_@VG*Chxyv~{Hb1)f zKjTg)meh?sd_A(w+6Q|}{kkzMpAk>Lwek^rld6xR4i#E5@37GE&uHB9ZJoq>Xd8G# z&-Ye}%H@e`(N(=goH?}@R%5W97<#XSaCU+DJ-%j)Ok4sCUm0wKeF;C4aO3^rNYK$zf^ujf(_VO=4j0OpRbgFs z{TV#nTEZ~>6QN+| z8r04Dm}`ulBUUf|i16Ac{yV%V|8gyszcwz`|IKB>d+J&(Bs7?k@i$jk^lTFp^U`dH z&B`%f;E;2af4d9Hgtz>gL$ET9DeM=* zxh5Yl>fSid%)FlxU}CFNUfmF`Vx#32cqP`|m=SAtzL4MGdO3daBB}5e&_>sgCdj5;^mxDtv>Hayqn{yP!7l+UqG zQm4dKSl+}_I=r_ z=8vxEi9hMKt^zV7>te_t5UfWiWhyIvNoOkR&GrBg;4vkqGLC8$IC4W;9nkg}t;n=9fb5tgwi5r}a;PkxESB(W?`dUA@ zs^z$n`Etecq+EACE^hy&HSdX^p3t}Afn@LFuLIei%3GiP0zSci6n}N=GIFqFr6kjY zwqkyo4UybsLgUt2uhP%7dnRGn%j#wUUq`OZIl>ckipd#X^F1aL@^W! zf_oJoK?B7fWE0Q8Me63zw5=8}EZ)HO9Y1fK4<{^%+?aw!pUp$9!B^#E4eJQ-nRp_Q zLMxL;nmzh^=ZxAp+`m6TxI#q(xBwT*EPqCENqAK!BJZamct-}`pfcGfFB0?3CxldQ z7wu&i-dkthZC7Mo0d_CVn&C7$_0Q+VIHSUSn{vgFQsZ%iIe_tiHuEUA)~^)7MxPwyLIJXIjQExFkzhNT&pFx57NkTfzMX_cko;!ObBXDbTk5f9~D~O0MF% z6Ta{D>(-mr2)!B2z#s(F3?tGE*lq}MFlO!QriBMZAuSj=vJdgLWNfgF6FD(9-Z=K1 z(FhMR&JILLOxfL90fBw-6OJmbXr2W*qyQGED;LkoAqVn2UMiT`Jc?6eVLxP(=L>(Gp%~; z$}Cu7kZpkBZDrIJZktk#Q!wSK0S$y5m6anKknKFau+>osYClu31N-}m6iYoR%aEJ zWdwSAOl%pyJinAWE8bu6iCQPhfb$rdT&Uo?8NQ?$DyzX2Uegs{p);HC8GEV%YXN`{ zMLAVWlT#I@Onc@w0r%NrYkHkd21gtKJA6qn3iP&?nD| zGPR+o3|%J)wUbPLGRZ4ghtBk1B^n051S8l|ahTfy*oWef4tLBiVD|*?^OOl}3@)E? z%OXb|A>11FnxG!eYp7W990R)5fT=-0njb7lHY?s*oXqfRuqTs2@0WLoP2u$=6;$nS zKs|3z6&>^h-PKg~|4XKD#xd$@A3sUiWhjdf;4*YjBux~!8IhN_xd?su{YZdi);F3T z!dT2zL$>(iABubo4J1QYnUUxI9H+Bl)=%w|oYtz1=$SV98q;Jl*`riUi4tzf{<|R)psU<+P*`(6?pYa{QP}wuvo?IJb zAG+C#j(o~W?e3!VPe3sZX>>s=&T3^AED`GM*wDQ)>WT_d@0yu65F0DRt8}@>}T~|}0(~awkeXUuT zdP4Ub`4}Z`g2864YpPIXo9m^R*I zA>b0vA%$WFRWWMO?%4tg9h085NM+9LTPRtkhA1wFf*%{ZZCw3noQ9zc;n0+!qYoz^ z@wXG0w1g@_S+2HtKxioHdGI3%{33VaqQI3Nh9&~-T_PG{dbn6`78lA@TL^()ajl5D zgj+*gQj0*#k~o#E_asNE3Aw2uZ-K&_Nll;NTJ7)G8~wzE0gN&$ctgd?ywbm;#a2aN7__ z=e$pEtc}UHt<%?(Gj;l$0^2AJ=2T+98jK}?LYpN)?Y)gr2ew!ThHZ|}cud5;Q+L;s zCB>4qbPR?RSFCVG5Z&Su$0fxT_o46xjRA2+uLNi=!gg(NSr3zcT&SNXF;Mq-`Bfd);brx`(}7Ci=*P$TMV17<*Qw-ac;y?@d$P97ynM*PH zQbY(yM0%|gRu^>6aTG9PToI@Gs9q1^#pDxrF(jA{YN?{rV;8^j4T}}6pPQDj2O}^r zS%-qOq{FQ;F>G;o57y9hVQj2S{q)2r$&z712P?W`gSEs;;m#H^TMDpzWqyt4{ry{| zH$USaJvw%Y_bjO;fYq5zDx2nm^40SjRJ={H|FiE$r}boNG`tG0N5^rZn36^pwBoE* zW}(Rx5S7R)qmrrRC`?0GMIT3WC(B#G6g`LDg@I3O03^9ego8-0wXzi-VPo7W2?Dt~ zl6?Lju<_f+)tLTETKPS!cZJPefBPf$a+4|7I243YGqV&gKqq zTKW73oU=m-v4;HFDn^EVTHG_xfN{*nALQ72H!z!*$V8q-c!RMfg+~EYAf;HnZDR7> z5IMJTEIy}lC8XhF`Gq>v7d#85JOS>U=mZYN(aVvB8&y!eDZ`poxgCOLGlJYtA<%R? zd(f=)GRokX3;V#U^*kJ8;QXD+#?-!HQU^RfOe*M5u=i5DxB*eHKQ9Pqb|P-Zj@p4$ z8TiAnrMKUm37pKPXHnbH+eLIgEUF0(>1k|d6?H5*`T2oi(cA!m{D7ahmWSDxv=|*I zioCEFA>F`y6h`I^c%6A18U(j!c1q;uCd;s>X8jY5r$#rV&Jd0=A2f%!bD#*QA{LiW zbJz$JmqWpirQ81z^t<>y@G3U;vCxfjX2{6Uagk&FgM5oigozosSoU7=^OI6Bn4s~n z8}X$d@w7JkX{l`Jk@D=1w`QA2umcOyUSda_?8#I*|U5bpTuMv0>TF z1Q&hY9~===><{%S^&`xW$_K2B&GKEj4hybE$a(Y|S{BwxOW`=g)>wd^6?`e$E-k76 z^&5r1CZc(~GOH(6Arr4mx-}5JK)`*95bKq3JsUoAggVb4hywyiV1Zz8X%OYnW381u zmItXZS&YEo*{RppM`3mYc5V9uZ$M|)cQNZ1$izW!RHyI$I2z#mC6hON z?Oox{@OjZcs$u?UB(qwJ%0SL+()>kFu*4{Ah9E+5bwFiHS&qUq1oj8*G1%i+Tul_Z zS&*4$#aMbqqOuvmA@4!1EXCRtzUTXcQX|EVFo5D@uITeld@HQz_CXUo_b;;3m7SA8 z`oI5T5I(ojVCm0!{|9)-t&o%mG`ug7ik@y6@!e zZaH8_yP#|_M2t-szV1o6nvF~fpOVV_W$Mda5aI{Kybfbj7Y2l2)CoMS`YCArfLNpG zdwB4+?UqH1{n?uJlonVNrs9O$dm##%i(tB?b?)LhTfm}x{7#7VU%`%4kR2L<$KcMu zu?IZ#ASq7JxKuJMItzEsx45qo%qsEEBznDU?6nkE4q8Dsz`DnM>|XhfLm)YEB^$Ql z7FF@eh+lsOL+Td0ibIZdFb&6f`|B^1ycRn%6c@%(w10um1*BG&jlDJ&u605lM;Mku z+6X@1URVE!u#OqyuY6xroRyKzaEu~9d^r{K=*tNWG3yuTAm+_IOx;QP39&aO$;$Fs z^{P|{zu1rD4`VPrzZp9d`oZ;fB3txJ{o<|8qB=8@BJZxNF?3J_D7{!*!UB+M#pO`& zV{v&t_PIlZt<|m+wexGNmmM;8`@BCrb>}|QO}V3*y*#6@91di+cq;FHgV}$noiz5y z8Y>&HzrgG%ob~e>OrhwCt6OdjabumJtBpJiLmSjBJq?l3?II?O6PbMe<_Md)Vb<>t zKdF7iq5V-gyRm3m$TLkl_=8P;e^eWmN$JIr=XsUQy`Gxk^%DK@W{gpN4OLOkRu`AH z9Vr*qQl*AL4Hn#Nk_$m#gB69lTagjORUQ=iOWLk-MHnKyOu@B>!| zy?%L|+>GEu2Xs)d74jlGqCcN8-UoVTF-8^V(A8a2U7?*#Bve}tLc0pA>of{d1kW-; z3#lVm#0FSKWH8s~6Blmf7A;5e@!P=oS@Ejpqx2Wzp3a0sga5@N_W z1_Q#8I10}}FxwHV^dOy+b`h`J14VREw?fZi`9s~fa2xt*IiU}T!#k2Z=OUFtz~y97 zR(xXX-fS$FPZ>nzAhPL1NvVmp06CAB7o@}^z!wjGg^Jc8jb8+X3_c&=K72Ekx5m|L zqr9mH4Ft6l0(#V7qOSCe`VV6Apt@g$Z_Cr)0q03NV6mn;D6JdGAN^EVFa~S3_goVN z^RJg-n|W9T7S(^iI;s(LSApo;8aa3Pbrshk{?tS%$pp9z9jrt$YH`Wu2Vpp=bq&m+ zFk&9v?VWup`NP9FN*)Ojf)Ti~!Cr&b&ivUMOpH$gE5ZIqj!j;K!!AA{)vBy1$iKZ@ z!lC;rm3Izh<({iBL&kMfQ&*NyvQMl;WKlSE5hZEEf|Fr`DPSnB1yp)g0VKw(lxbS> zd+xJ!ku^|=#cXG86@Ppf4Ea|=uFqVAxzX)72I@$vZ{$~gy^Avoke!#k%;cYXIVDq* zI6NbG$}d0hL^||I{khHFv-WFf$e=FKHxZHq3Iw+&BoSL!aO&L*L2QE+CF-ezd$lbs z)!qyrS6)VL!5eNg7#z%0M{wHTpbSnv(igemv$iSgftZXD`F%f!o#a7gZE2&;VFk>K z*lEcjU=Pcjv#4&7(cmD3mjFus=es~mpmVCDeUvMdr!XRgvVl_;lOa|VCvu~I!Pg=Q zU|~{-nek!*`Lw+!5#Oh84CC>UmKtLP8bwI3GnpGPV20j8DM0y>5oqXJ_ z9^C0iDJ#5wo+TKkP?mtT)*G0^>+q3J8?u3wj6W;mVPy@9`MmbaTf^}t$4infcs*O4 z{0?>kIGT~mC*O*_nf`84E}q|7WFII&sXuxO7L~wi!}`xpIk7IJZRcW+&tn$s^n#K+ zc{X%-xR|fSC0F&hh7cE(D1e%Rn~VEYANv6;Dv6A5t9L~9(+`T?G-pJ=AHZNw0x#UA z&tkl0;1kob>j!<)z5i)rl)3cnSowmK@yc|pqdK4up=7YA>RGQ$b;d%?59ivjfWj^? z1*g(adWMcw0*RqxSVDv%dk*`PTKq}_1x{teu}_3zkAYPmi+NI*^-G6)>~QH=YIxYc zGGx<90#7c!%zF9b*4N32@Y&uU_QI==douYuc%yu7vrLbF&ETV)n=x7Q%_LHYS6zt( z*19`I%D_Qru%ga)%5L{kf^9&5keQRpnqgQz6xx3J2r9f3Nu+JE#Zb0~lGD9YvBHu5K)O`nA>@WFhVy(D%V%}7I2cib=ehGSHiQxxOtnqZ+1IQZ?} zE#Lp=e>wR-|KrD|zw(nOhVOjf(qQl>k9Scui3REcL5V{>F?6tISv3|{TZ`&`3?g8e zwaN{L){1i3lRa`PTFTv%a{PrpqqX(&1gRy!=**6vkfQ@XuTt5uUPr&4l^*bGZbve| z`K@1Vz30QCvaWcJV1VK3i9)$t=o@ftpQFj?LcmgIRNJuNGg*TvIFd#$WUx(5_AqMOx8TxsPvwMFL%NB1&ly}9b^z4Sn-ks7)W@Bi5CQg7|hpvQ- zUq*BN-X+Ec^ZmxK7{B$IP`EO^xH%oT3A6t1h+QD{vl-d*o8R+B|M6G<=J(#(ceU*3 zdq;V2I}0uO+6I}TqlE?6Ae$kGMR^yvCHkp>d)twL{Ui}y{qUwCUJiD9`EnVUd|`Sn zlm~)9`qI{wyj{{oVV=p2!kfNxcpf9A&x%yohHBAI;{Ji6jJh!@xPy65<2Pk^9FyK) z5R`WmCa_nSl(*n_!Zr77jbj<-7El56ED}oyrNFZt5)y+IcNU=;?Ei|NB*@@1LF|!U zzys6|Jq+8C>^qoh0M}t$7FP@lBDh=R_-7;8^NH_&?7d(38QCMhvZLf}iLs1Rr$(AH z`@2FbG7yU*j+JP&UZe`yDWI?jfZVzOAOgxDgrp|!PDDyYq{hoOZn!|pMs=6-#y4&b zU0URKP8>wUHZk~Xmq)@$%cR&s_)w$wZx=_L^lZEaD9xSS0 zz=|z!RPZcAyD_So7I(=(jM~-q8CX<`B0iWNm#V+jj}FR^SG&lqQA{Yg7XAJHG|q$Y zehVYSD({#KgDOuu+5v*4{@{yPM-{=Ms>yL5n$}RN@R2tPXRNv2Kk{{$GDe5zqLojB z(+W!JR0u-{Go>b3T(SYi6BS44Aqt=(8GQ8F7s-*|#0a{xsOHg3_Wy#&U4J(#v%k~j zpqdWeCf*lsw&o?Q-S__HI++=IZ`!|q0t;>Nt)E~W6|6HnUw`|?M$XJVh)Vn#w`_5Y z1Re&~qKkk<<)Yrwjs>cJlPNfre&QQCRtY55A`e3X6d7jy)Y8*HfzvW#++vHsY}R=G zCgITo7(Uq}mC|J?iT3}L7rEjw-O3PnFJED9y6b6?Uz?hcKPk=k-&396lFR?6YrXJ~ zH%3umGvvTozk@#v^37gg%=fE$2qQMx>i(=Tpx=yY&w`6yESUx?x^mfGOU%8^*WlLF z?xoKLW!eiYq0FM47~dWU(%x7&)5TK=0zZUD!-;)pNSg!pJUCgCUHB!w`X2y0vjH0A zEeQA%S5J&5ptJY-Ja1yLMOCt{3^BslyI0$19Z6NxATAT)8Ko#HYfq`!hR^t9VCCWg z5L^>$^k|9Q)HS|%ikRs`F#$uA1zUtqM7b8HiClenYVPnHmK@7o*Op@Jvv`N%uK;EW z5yQA_jL?T|KH=)w?#e$hdc-5lJJ{(JF@j2Q|y1)uiR|icgw994T$zkhM z`MP1~_Kd+X7$^ios<_Zp?Be1xXaz7HZ6%-sTCyFr2J0A6Bo8q;B?_u+gm|5xvKG%8 zAhytI*7Ye86ju14447=edlV$kZne2#Ayxk-Tul_X=Wz*b0UQp_2%jO|;t(Pv0J5r4 zq1t3y3qUL5vIRmf2vu+;0gCO*J#AQENt;Z;-DHK_o)5tKel0M7^)!7!*+SPO+5#%* z@}nJTF|UQ#sZ66wi8VEvir56IH_P6D*@oja{2HcNpl<19qjI3faz6&CY@8Am-eO=okD7+X3(=#NMJ42 zm=A%GZUEa)Sx{M;t;I`m53I9smu+BhuCN=UXppqXmPJZq*fT2Qk&C|wvtTl0je2{+*Z+J8)Snfft!ZmnL8& zc18uO#<+iw$BsA|s0(h4C1a3^J$&{FcH+=WnCk7>hE7FMpS3#%OFQt!XLk&^-+E@Q z+LALq4H(+2;3dTM_tP*kx$hKaYfO36?izk$6$;R!R$g(Z{4Gha%xmMN)|LKV`L(qp z68%fXTiz5#H8+oP(>xIz?%>IK@mmk>ne&COewD*xGl%y>dHo~%cON<&*V==W{Aq>u zZJXKVAH$6*-9&+#5tWy{Nf{nO#Pfm=)~=5tGqc9XXRhd-KKQRhdx@U_y7M0btv#QT zYahB>^oB1Q{p^P>F<*P+I%NAO4EHysRKo8Xb05XF08|?U;D4!H@O4<+`4dH%f*6L5 zW(eF(R_cv{@!f(UB8$^Pgw$)F!w^U_KcWCOOxFwY#d*Pt2!Ik(F3P_V(>0ZsQ~Trc zF=}P-D^;vPzv~S(xzu`-weggAQ-kWZ7e99Qh+9h)kh;EU!^%YB7^osZ+p?gHvb@zL zW9V+6(tT=RPbNT*u>`!)deBFC<{@6yC`)Xuivik-#fpOs%HTxEVsAk_>q41SaIt5L ze~o#I+dBp`Tp2lByxmbyf4(>Drw#0&8ueob-ypqSEL5d%HC{jQ2ri7)qtCe?zQ7Rp zT@^lt5kZ#>*i7BxqZ>)BLVI>$s~# zhH3l2F^N#_lN1^HgMz8h(S&i|w-|FZg$b-uDD_R*^t{C{uz|Qz_sCX!n!(D<@*Geq z^~Ab}0HY=fo73q*ge}fS`Y}|JjV+wzNf$!u$sr$waMH7g!Jbs{pz1I(K&XnB7yNi` z7O0+7M?gF4OK(p6stNOtAO2ePu+%>o*E-Va`AJY46dIDLEY6$N&WcB?+gl=2&W~0X zLah8c2oQ(4i1s&E{X^51-%?Wa*W(kdZ5ZMLb{JxNU4cCS?ZhzQ6634_iwdn97S)y= zg@K;h_Dy%tvI1akFj6{B6WES)SG1^Vzs#EU@WL?$ZO}^;rZztM+|kolko(8cTX!Vu zBBN@^N=VD4U%ay-dSFKVgOT(cACzf33cmk@ACijAO5C^C2^#T@PKj|ARaW{BjXm=6 z)c!a-tQ9)VzzVj^gY`%yQ(VG|YFJb-H0vmFgjRkCg-bz+!utY@4Pl?=>SxkH^<(R# z2#cyac*nbK?zzLMQTfQA*!-(fQCL*rBiD_DH$E!Uf+O7}gt}B(EvhV>dwaWDw~Vh##?Z}VL_Kw|C!@Ml_E>`w5AjJR&d%#S<`raaz2Adv=1p+; zm&6T+CzPTrFW-eZgY7o(UkyC(_@I}ozA3cnOOXx-kUmws-Iif?2)CIpB+zovRrzo? zXlE7&qEc5atW0ZjxEHWVwNpR1LxWoaL>34EtHGnv;vwhXiZS*6w9Fci_8vo^<+T+z z*L?V!0K+U)8(7*<+n}6mkW=fWL}Dc~w3>XIcA8uZJjlr#t@j=)6u5;@Sn^aYFfE>V zLk4j*N(9e4Cl^J4U<1Cu?t#P5mjo6K3KrVg0gG}k1@*B-g00JhTv>@9N8`l`<`=WTgPcb5-AhN~~bYB9H|`2USk#0JLx$2X%cn#ALO#5FvF~RPiItz~fL* z5|{1QGr<)0Ns!SK*u|R`Rqe*itdGytZH6+97BIUt9FLncFx&oGR>F07 zE2?11A($00(`m`L+9rMhlq~}B*BwyRVR4)-Xbju6$$vy4C@wH`5a6N5@2rex*(0zK zbOg&@L>~u^4aP7Z^JqK*sOZ6=Nw^%qqKa~rx~KCGD#>=nIK8p9&ht{vQGuL?stLxZ z7GTOy3DVFp*O@Iuv~wu}F~=E44dUmzLRsuoi2)Y>U>#L~OJUY_B?YY$W5TlmuEchE z&PE^h#lQ%vgTW#mC`3;gVv5;p#I*elC?v(OiU=M9Qcb}D**lRaMhCed)`7*H5eZ;i zd>4obbUH-a4soKis0b}erE8|7cDa`p%tJvJq5zdH4|!wqa&Oe*dHNG!V_t$u+XH#h z*U8S>m8pmQV!;mVfnH^vaDyzW&!7YB{aCh#N&X7?(qL~tMim#OJe|)|N2FuIomUNY&Q?rMvOVF2j zv8%Pbc&%h+H~CLZtJHe-V2sLqDH@g&>vBb+lQI7rLw!=~Rl|Uea1&$CqD56N9FB8r z1E`nKuf&~*5xm`6ayQN2Ub5K{0x^i!UUYT4FNR1AhA|B~0w}DpuF65)MxdpR4i&i* z7#C@^6V7RkTd9Dsv__aQB@+0LV=O#R)kZtPTe8m7{H1T!ZAv*EkMT}}NQK`r%W$6? ztFrD;HsexYaN+v<=vt{D4y%5%D8X{=UyN}Y-58_wtEkv2X~s6<~$7-NL8ZACOV=iA4}$Q&Crz{#KTr#X9-N4uR)E6 z22Ua~HChjA^oUCTBk&pO*xvCh80=$MXYdUPSX`QxqQP&#cbH-iKAdO(B!xb#;Y;{! zlqUi0bVV!Vf^+Wia%Lf|kJPg`(b?j%4kPYW!!zaJ2qdnolca4*`4v@=5{&1p6VUfJvw)6W^#^#~)Q*NiD$T z#;8(5*x|b-e(h--gaybz6?VP6!SyGY^)pt2rv+<3hl}Zd@!2pl^nQ6?qNGgV+4p@| zX6)hD+npnCG3EreXPmri8tQpZ?!Yj!nOyIU=8hF|_kZUn;*~HAToIs;_9z|@tfCec z43jiN;BMiTk*fehTx8Wsgw)+Ss@`h!_&xuySL8px!Aa`GVPCayc`VD}6VSN)QF&&n zIAF4+Cq=4HdFmEGk?M+o>?%*Bv;G$jdvou&zb9MkEk^RrozZCdl_~QulJ&yGm5O+3 zc5(H35|ID4EGSc2-Y7(X;jMv6_o;zB_>>4TlJNUiAwQmu2KexH+Y<_hzfoH?u5pe$ zV{|OQXF{cMneX4}qB`D~dOii~5}$y|Az!1M$m=k0Uc}fs=i&hmB3pmscB7mJOadf7 z`;dht01>&brK~HCx;a0mU?LhTH|C&VcS0@8KpC&spTll@t8gi><-r+iXF8OHUPZ@UYJ*BR$3ejXR>FyV zXU&vy7i)rI`l(i{(rIyF>6zR02TuPJn@a=Li#*FD9ifcJz|7Whe9&(y15w}fbnP2x z)y6!7p;XJS!W>XC`wIJ*c9$c zIkM;LaZQbrIz*;9mMjtQy4juugiFoh=7fkJLwAE)x=#)4$+&VxGP~wcUw_022ir)i z_w;&;S3!WX7A>$aO5|DV*`c{q&c$@Rd8idXr329=>ZcW`n80{w_$`yy$Zvc}nP=ne zk#Svs#zpG_^E9H=2T|hpmnHc?L$t}b3H64EGPmAoI+zSmau;W_A7gVR%n)^HF&X)x_uVGVxy@>_KVA}&1$aC zp!zVa)xvr()jU+gYb09v*9Z_{lp6{rVgd!T`lcb-3^#4jdfI@V^9fk3wHix|4$6ST z9@kMh`C(Wc%dDYH)D3VL{J+FYfDw?H9>GMYvbX(VVBgaa@Pt$wiFdlHvJJ2MWl=Ea zVoR|!t$1z@u?6Rhdz?kZT<6lL^D(fGaW?Pd4~KozL97! zO@DhZS$U5)Ejz6ZU+l;G-ocrjXdYPhWLS5Go>6w>ZXmVc7 z#3$>ZVptNPAXqHLR~H4Ckz$n3q{pc*5`EHa&(Bl*uMBcS*4kZmjg5=v2%>!6KoA5w zyovM#=Iyt5+&GU>mbYar7=|{Pg1d!VMy>)3aUI+Ewe&RdtKEM?EHZJfkF7qn9Q%5RHTd;sHJ z&to7jA4u;@ZxyM06vawmZ4EMsLEmY#JSV}_|MQz4tYz;FqDuyML<3t~Byd~h&=inm zJedL*-WsTMpBiYcqxv@-C+J}YP%igl=(ISn2eRz@p(*R{f6;PcJCiv8{ApL_~+Cok@)VO95(b^Y@*;5NOtV7+Y5tUlrF$SuD2KP zd-egMaG-u%7Z_Qm-^5~rCc%J+f;7VdG4L8NO$Hnu95O`&~rR0^Oi8Qt% z9z+G&ci;mi>wwWJUKTC=&IG#A9GgHV^!sM;g$-G9E8a1&}|GyyRgQj z3$ANPhxfS;G2&p%APpDRY9S^qjEv_lhqMCg%aIY?S)!S>N@6dkHzU#znGRCjIFzCA$LaH6W zR{s=F`hRzkeSPlZfqe$5E5GNZaQaGUr`fB=DSa3exB!n^^(ySWapBu}K z;Q`=R9NM44g$}S^6IEXH|M|39G0H!3D0KK*gObW^jvsmVDHKWn6M@GQW(PW zB#rG}EUU~}Rtq?1%hj-`kUy2q!9$*=>P|z8s!E&28;#qnMeWrqu#VIACoA&?Xu}** zwSnjqv1+Vz);MU1h2PG?5oj~#{l1?YQ<)i&{l+&e1#&(IpsrDklGIm{Sr=TWhnmq4 zJb{%d@NEVZ&-$Egr0DRg5Qyn@4^QJ;>ImM93`ox^#)j#`P(7$QbBjI)L1eM}Fr`x( z=qsetcnM5f+s6glX9AR&Fn2=-3&}dPxCGoUbch3S)hZ*1?j+{Hl2QvlQHNv_+P>Up(%PiLon;OEcf- z3h6; zO*K;HN|OqT&CXz9dqx+5eem&HkAOB^1ioAd%M-A-Nd#m?L_@O!Uv?p#BGcMBWcYC; zu6RmCh-n3xL~^w6=83h81(s`pDY#p>W#l;y_O+Ie>HwMIzeFb>{-I8vRfv}4Zs{M! zwGdG+J0ngOlvE$ZbrAF|Iz2!D+uzXPK-8$G;t z3fA1vjDFz|ay|QCFnU)*CGicm2^gcAZF)volVC71cyt>Ex2}(AA})875d@iAi{BAE zHKQmawUG*Jh^Ag(nu{vZ0PCz=!O%__yYYL#gl?D9RHw5F;W%pR#HYo$W32Yz9gOfG zq<3?DxELA)m79R!@ba}Xz?JOsvag1`Cc+JTwY^#nn=hFC;Xip>hGy2AS^M{~XcpAu z>6Bd{Rn3iRbxNi}OczEncGKMc-E)U&g`E*l=E90IbU-`meGZxg4*L>F;scpZ?t%7s|dDF1 z8k4u<_ ztF4#Tx5WiHO}XE?qQQ-K%M7`*FYQpWr(VfYs>B8bfys zir1$@Q@*|)7L}3Qr9IWh5iycj-;MMq_p3}!DHuAKDYf0=atDy+72n?(>{#7fon~0Y z`bOT45$;%lE=I@~U}68s0aG~fzp}d&r?7R?F;pJYHCgHXVbRK=HNO1P&&VuxHa6Q% zq5{W1*g*AM5SjH zKw^$TnCP&m{4t0~ z#pE9AlgGY@xD$*ozk;IB(ZT|2eIW$y7H%1NYT#Z8b5Gx3Mg9kJn5XcpFT18CJ?82O z{v7+6pNAU05QN4|$-e5%BL)c)A!n+$ZL`66v_w|$uL-B?ywBqL=G(3j__cB7%m4Wq+4fv?c9(wot zFeRhc&{jYeRS;A>J*W;PTn1NH01a#lwr%LC4Mdp%#<#c&CwTP)$rIev)DgEg39~x!9WANr`8QKwyi}fwm6~XSt zgFfZD?`Rei4Nx#-h#Rwmo^=HsWof!1adJrGkktH-fyTEntWx?>63fbiVHEDh=i7h* z|AeXG={;qzTl(!6Th%8N3c;DKB#7A5!s8kLox>@yo~%pvV-ZZP$f1F6;Uipm7aThv zvQ228=Ljgv!8$Z_pnOhuEIk~fI`UtPOkIBob~nFyq=GPxQ5B|c_V^5!*%}5K?APBW zlXt?#I--46ZHb?OCIGhY(78LpnN6=+sq|jbCn@ae{if~WbBrpy>q@-f!79<>v$5 zNEJ3k;kSOlO6}YD#`f(P&Sct-036rej(iW4W#5{3!SIkLsw7q#4u&RHJ9)`|Enfa+r#>pA4hu(3td@Js7!yAD62&yixXbK?^9| zwE6BRy#_2i$^kXrLe{UP%d4-^chfbfEyF;9ox=Wh&<2gJF$<$M4`9b%E$qQamd0rW+UnvP^R zX2ds$HARo@DG4kQlw(mD=iWRL9%;nyB z21!NNVgv69bsC_W@oQMi8b+`Fs*%~fYl7*&U1Mv;VRpQgb$B%57hRRgX9{Sq>%9C$Y4>%@Qb^hKG} z-dl&lVCeR^rZOm*ciB9MbtA0yEHEYB2kLr&-xhA+KSl6f3336dT(MMN3bAQpQAO#e zr|iop&*3oI z-z=8(;1eR^u`3^kX-=Y+!wCWCIXkQQ*eJrE08DaLflbgCC>1JS@z&467-(}AOqJob z48X&x2IGh2slk$VawVy|(@S5dYzAX@Z6%3|R+?if(Lareql7JytU zE{B32OZTD(i%tszep5SxnSKo?rz>mvQc9doP!#)6UmD&2O+|nAzVux1wTrO0<{zP$ z&#e{DA9TYqRzYHbCF=@SW`Q~zcMOYIF*0k@qYHt>TkJk z%>h5N$?qjfN5B)pyX_Y9jU%6uXma=KCAbcgW0hC0k{jQ>-pY8v^DWaiN=A1_P{g)W zsUdo!qzih0zy?hv#+ioM1LEzQL#{_R%Yfc2ZVaVkA^?SxLVIqk!ew3_vR7GXp&8ZtXo_c8VphQ zJ>4iCKY|a7$^7{h;1~$j!vS^fB$ZK20dlq{oa}*l>(O(;0rt_Lc0@Syeiq7L$8%04 zI`Mhj>U$7Bj_XAvr6FbEb{3VJ+_JmkekPF;QUTQ6&xczNEUI7@tm*(t9VBiq56+-Z z(N;FvNGb+?ZnjE`s^%X7$DoDD4hYfEalwF{$f!T}LsGSug@Hde;^h-r^Dr=YXcU?> zSa@P`tb(tl27FJqLZ7gP1_DA%A(6-;1jzjifHIE&m!X5jWWE-cpjEI7f}Q|~0K8VO)}CG)PCu_J#0+v@2x*u>*2lCIwGSsBi1I9`y=7W+>$OO`+^j&7+^!>~q4 zu~DSNLd0(ix5VleNbO`H8dS6I1@Uubj>sJDf+V=QPrr<#6U&>W5^gQ_%EY92NB&H* zIv!Zb29m0rY^lzz^orsr>ds9~#j1og2duV4u$;=t%bw5x)nWv?l$9z|`PH6!`#&?i z5A!@j;Nfisk1#_4^&I0zf3}O94OVh+Ac`;wnM58WZD%cA955V`k)vIS+)+69Z(t15 z@)*1{>;WngL?cpjJtiX(0j9zDLOWcvQ)GR^?`?vT9ry58A$HJg1CJY=h0ktuNjtT0Ig;Yij{0C*qk06 znT>2Z8yE?XW-BH2(11g43|4|}z}l+#Y3}58PBcSPuT1vGa6>C_u`ji_(4tt)i%{UU zxEc{w>Dhf?Z@7K$O>#W?r(!Vu<*RYBHiJ6@CMPa|QU7Ln;;*xw%4Cn(IWsQlW97YA z(}DFI^=z%MWL?3^EHs${9VM+MDm`5S3$#oghKbvXY;nXmJxnW)-Ynt!K4c2DSF&OG zwksrGWN2qFcI0AXw!b1%lYf4RJl6Zen7n@hFEu}RWjHi`s~ojV-Y8jTQuWxDDm4sj zu+Soz22CZ#nE`5^6I56p=$Y_q{D=lmFf(=)CTx%x!GVT& zjzwa@u?*8L65ryM494JNz43~OVqnW~^ng=aqu5LxBD@d*v%eZr8U7kdVhRu$M|wMh z31NP@ZW}X(K<$H=@4_aQa2ktMBQO@=B)Fj1+4&x;bX%Nr#zM0w9(t_6^;%G^@L77h zfFJ^%XT=uLK}{;;TfPXb*kBU>C}}pwsMt3rL1OJB5jZ39J7hdCepryLn4NkV-*=|E z$aeZgHK{#&qOu&oG6n1zVIiWjOw?p3H-xPR3JWx-Smy#L3(?ea)*m(5;u7ixD@$=X z6#Q7aTOxLQeq{ga3liRN92>T5xve|KW~Q$Ui_Z;7n7KQK`@O#(jpVWQ z^1Ct!jBc{lo>Tq;9eS$YKACQICiHHcP^%xGEC+9HON_fkjGwyp#q~NTl!ao#=K!$7Vs@S z5^6nhlNEBiI%rASq`6ccu`EG(Sp=INUP;vTzs6BZEQG1ATVzRni#HjtIE7&wYzxF; zJ>)@zX32=w5h`or@-gG(EHpz9+oYLT#^o8-s5E@l+Jj4J4AJJE$A!nzqMZ!uZ)3|N znjvUfy&?-(50281DL^w@a(g}i zHS<)0IhDjt39T+Uq?)b{lu1ZEkM^PQ$ro%Xrxs3@qG54Z(w0VyRw0VZmEX`&=YM$w z+6-g&H&9E&?hF{8GQ{AF7C)t_U>rd_<6-v+W{VrTtOg1Z696L;u6*rTuuNU=nGD@z z>C>cugHrtQe2wh2l ziBwjtLPoB(L&Y|olX!M1C6;IMx)vl+Ixpg>#enzNUBeVlb=t_>9YZiH6Co$Ze!8gg z!J_!{d^Y{2Ez8`%a8K#tl9dBeTlGrD#2ilnLi@JO=3q#j(rBWFZ zeV#k7Dcpl3$=VPF;d@1n)z(Di+cplHyS^Jmx(jd1N56_}%V@q!vt>~_f@Lf-MkfbV z1vE?A3_)O%mEBpTJu%DF(maN!E| zS_s-v!{ns6Kb;bejf7;1wH1G_;`ucTeyY z4|1i#7}tR#k)eT6$>UQT2A?WP)Ly(C5T(n1C4UPqNvySSN za2qh~Lf}c+oZ5Ky2bLsRhwLB}m#~#u9D2u_aZ<4w_bK;IO7KgK0YjF-K4YNu>)kiWcW=4ON{m`G?@ZMlO|DD z35NJB@@StQQ2@oI<&aAAvl?i~c?sN4xKNu3E^ z#07zw@H&V~k;I}Wr}b$tv=Cbx1_2imV8an81Gp2sj@V?*>L^bbpf&{i@D|MU@B=to zLtsB7`R@^XD!`r!BBz2z4Mf5UmW=JE-HEnHRujNyeB?vAeHj1iFNA1sGiY`5*l#z- zA<-`MG9ima(Jr?|{>MQ$tQCEUdmxD zlo7nkz$W#E&UW|GhKUv%BLadFi3K%uuzH&)a4(CHi7~HSt))HLmz8X|#{ww7TcBTlm0nPXcu$+Zv2r!zgyar7( z%%VjeB+{hbBAIp(=FV5JD)#2j$VhOD^zHg4kJw89igpj~7-;qZofLL*NI|-Np8e-#$v(DY{ z3p`HRWjIE0bpd`CIOhb4W6T=?^VF-ZzyJ%ErBHfyb9uU?>}*fnq6$;6s4hg{aHmpD z1eKfjF%p^pK*h)wo0tQOySakWLQ;zs%z$Pu?A)DirgQW;5{tLB%RM_hW`o|UQPFG= zCO)w^IchiKQ`0ewaL)U3hy4xNJM~g3y0Ie91{|Z3p&Ke@v55)Ik!)LO#G(NGp^33my=+<|BROI@Xq<^}+ z*;k0nuw)cF=QNUm`L<=DW|^2m1Q^~LsC1u62z9OY>3-?;KDa^U&<(y#%-xe=wA0C{ z=*nn3sN&<0(Kq;79h=IBGd(hHM@2c_P-iZYTD&Z-3$(M3Vhql^vPR@O8{h#r&N}M| z0Ynjx*KkNL7mmR9SXwe>vm7xwM83yE@197enTKh*&S^&tT3^B#6<8Fz4eV`YBj^TB z2u2Tfr>yvq6tsrzc`cIl<9l$9;*2<*3c4Y5e&8sIX^%DQ-&vj}sp!~40I+|S$zWo5 z_#`XXEn?s`BeUplj)~MPe5-*<7zS!qlj02HHiYEy%>+*zm6}N`0<;K2oeI32z68}i zF*PWX_-hfe8L!6p>0<5zSS_F}zH`tM@agmz-c2Td9PDSko`&#NEbAy1FxZlo9bMFH#cA}V;=OT1#C+7 z5{?iVA&suIg#3T{osm0+*D_TL3(XKj7+g(m={}R-7kcC!Gh6L-I_A~b!6bJvXjns< zD$YnWZ1g*Uciq5`V5sxSz8kXhkc@8jt^K6m&2buaK6Ci3az~wewH|Vg{#cf)j0uD= zG}tu>pUNf_w6+kBIT`M}XFcp2>uTHEEnfbsO9H4SVaK?YPN?Vb@{7o zlBDpMgX+wJwQWdgayV$kNy+9imUE+_0WBw`F4lwqGlt%U1I`*j=iF~#u|Po-<-)v5 zNRcIg=Lgtkq26VyehBCRO$6vtPNADaA!Ww^-jW1ge@5~IE+zgs3~I_4S9%z`CqPa^ zo=Gg~ke`N_EbxM<4Ae2eQCrZmbr1>^4G|kt(pTZ0pOjMK69PB@?K_BjvREDR8NQh~ zztJ_;ua0=WR7)x|x6d3(EG=f(eY^qrQ)5z;>J-F$xdad0EPA~i^eW~p@@#J@HdVUg zNP$+v(XRvJiCK3?uss%PS1hBL%Q7oK(DN*qA#k(O4X$QHF1Rr~viaf38fX22+@DK@ zu^j=q4CZQ~dGr8MII}Q44BPq8wH7?qgWh-Z}q$Y8&!`y&JHILjtv>&q#q2u z+{Xf`y&Z#rH>YgAykAPQAH^Qmqa)r;d5(9nWL-fDTd?vNUQ6u7EYzAIh%mUC+|qp} zq4&v2Da_qb3T^IE8|_D9O3b~^elO%6$=q%DoTNXv-9D)~DX$wbIzG+)OvJCGW)n#* zAe1p#e=jTYTn2I;-H8XED=0NQ38;~*espgV+7VG>NF<9_L9A{lIHiDo*t$%KoeZl& zP9}HCF^ts2!y`<6Y@`V4k9SkAhQ+X)>)RzV4Z+xPXpugIz%r#c?LlUIDz1Y2fD^9g zASlZOjUae9rZDtEhzu>jb!9HN)6FSWu8v{f1Bb9*pKU&fzaP2N^2Cms51%y^=gQH7s4IwAzkSLai*?h6+U4^hQzBBeUQR{ruB zUMjlM!xnDnXokSuq}i?bNuUmfzw8TJh%~7;^CJpic|`QrftjlQHeS3A`|?!Di;EXy zuXwMRr@R6A%Epp>>j(YQc6Tw7n^WG#{PSY|RM(>=j!M-qbv@e^2{j{9p@Bu^&g_bN z&}K<3aq5yWbdx5zJ%hmPustUp>*HfM*tm={!YWq|Z`0%=g!1CXfa6L7-uFQQkIkKh z>xZ#Ry@XduIKIv6>t052Zbk!)Q6=Ym7BNtF*cM5QNA(l@TKAiHvr0i9;vOYy@V(3& z6TqU9e~0s{Lq;_~8;*{@zVy9@7S)mDsg5L5=yjc(xT4(BTu21<)F7o|M%_-COYz|3 zz#T^PreqYspT?7-Nn^3tnt@9lPE1|5)upM=h`(`?F^XC%BFA91+lB*KU|@l3)OI6X z4oNAKz8Yquy^P8(@U0wfj+GUT4xosOfZ0Y?wGPS7SX8~Xx6QKkjWAnmWy4J<6JUl7 zjoqwH(+IEz?u$fyJP$$)uA{0Ks7FT3qrUYf4Fv~_3PUhAX4QNQ{Z?tUg;vSxIc`zOL$`RctJ-G;d$$DR zAMT1pb+unRh^>*b*v-0s4w?vyDjXP-`(B!uG~UBF;8)#5T;FaRp#twSecKxmfMaFoG18NZ0??+sj{;-9?Abjw3p`O61!>W)Q ziO%4!jfWBfr{65>XjhrzKvtKcLhK>&D%37K4#gXR$lxyG7v64xy_rWErxBF2sS<_` zX4ca0k$J`CQ1D~vCX@AuJYp3g=z(3OPD+Xz(Gg7AL^YBn9fN&FlM3TaWl5X6!t!o>J(=sgX8kcP-C*pk^d6tdH4JmahrY0^Kf;vN9Vnmn; z%USsUxqBNZH;(H}^j4#R=@~*~&k&?WvVIB>NJA8@fIpIHMUgIspgdw22V~?}cH}k4 z&@!Fq<7)MSUJO+Zc)(&0;7)P&fLr%=%cxN6SGw90ZwCMA2n0b6A-10ZJFQ z;glB_BhLcsF`$X;dVwhvmIByeY%MBiY4AZbFuN19x=BOJrwl=L$|;OiJDhpe4yLx} z()x9EQYGEWQygt|I6w?(0a~CkRcdXfgzN;gZo}~>Z$whz$(}b1C_Spp9V?+BmpXMi z0zIfl&CJ(UXT2|E>;o{(p^}WL3z+539;%vZ&0_}1P{V9aQj(qHwTK>~w2&{9B0zcX zsJ+&Pp!#cwq5xQL?SLAg+D(2VW)q*kLb!DL0hXt3@hC!m%krd4l^51IrE+qWOMfup znHTeP>WSJ`&y20a0=6m{K}vorSxt2?C}RF0+~%;*@j;;An&DO-<^gTCMdN6CRvmNF z3+hR)02{l6+;{IV{tk)J&WWq&@;0i{=>r_}cE?{QN1l(OTsZ{_IH{Uw zq1!lJ&>q(68wPE?W34cPJ+{Fj5R`ZZ58438G{bRGL8G}AmMx?-EWyOebU1?+D?St) z>k^LogaeZ_7?Fo#++jEYEtKX%_+89YTmIe1I)bxAz>`s-+h z|BFbzJ(xKttLA&+*kc)pWUcYMmoF(z9E(wj-+7I3>P~uyQ7h>$GJ(x9h|EkFrljYq zhcl5QxkRy4XRSI6E^U$-7oms;TU3V?i_@1Ey*(+9j(Wlb*Ef+Dk1zM)&wWy;@VgZ+FuHoT(mjYPV&fjX4b3U_86Ti@ zm3|L0XV+^S%f%W6OV}PcMK|N?#}SfqpuL{=I2ONFufy)7ofA7!sb$Vqey=Ih`^G3= z%Fd(ab<*3PkbLK1X*$r!!)OdjY$T|{A=$(@IchdGWFZn3$Qnxd6L6G>wyFn~SdnDg zuYTGjEC6@`aThzP6j7BVkP!Hl$TbG}$Weka6~qv2^s;E--7Fj!n`g?v7w%@u892gj z^GP|3*5ZY0!{3HHIE1tUu&v)gKW?CXTE8;T9&QPQrgIK)bqB168j)>^9%RHBM5`5M zb(XM0sVjop^>pqZI_0Ov&$J%%nukO1S=#WN*=BZ&I!38=>tTGWU>Y0O@E9b2>?3o_t>sjcYS}BF2gNTmEBz@1}T$HQ?GI-VEjV5J%Q(WaI>KH-b zk3e7;qrz?^Q>Pb#{x1qO#d#R7joGNkZv;;?64-2(hcciQi*W^YM0H2>K~Vs#HwRZI zfJb2k#V$vKe0rG0d9&5f!Qj%*)PTV+?apI`HllA!5@U?2&hln=yN*$nUJ`1Qhngef zgNY&3;!2fi!xA5(qBS_$y83k1ZJ3N}#@>Ttrovc5>l8@4hR==4UnMMG@fC-%n>-r5 zZbgy29WX*O4o$QQL`!Zk)Df&D2aBp{ty(+;RafJ>9X-H9S0O9bIfMp%*1b$Js(ER; zyq>c-<1`#9isIhtnw1nlZC zwhtANQ73fS3!~~Gvy4ds@K*LOhfD;~F-$D#pqgr(YDh#$soX&iSJS0WOAmxbzg{1$ zJ3FQHULp56Mrd5UJHF1Jw#KLM#_>( zED{8w)kzFZUdxJc1v(bAc&*YC^;A@=j(LI>h-$iMybh5$=_!iBoPcZM%ZuK4nf&5Z zjMgPCk5&VMMWJ8%d;y~nLzGH=#o_cOOE<)pQ)2QHQ?lb5Lq)=Y*U=}mG=Dlq<;`JX z#s?@}+$ulk5Yjj#VwxTtaV&HsLC37sybc6#r3IaJ9w&bPv0o}u;gxiWR&Qd5-|Ids zFJq{kw}Ao{g$|_C4ip&r0qjq3yF}YzOX(L@5VFG{?O5GWo}!u!r!))0E@g#kww@Lhg&2w;dtyACr*>_a#YLC1EWsn=Kt?!@ z(Wh}gj%zJ08cEXqqK-ru8Aa*>j_}&q72#YyXjNm?5bJFwAEhc$QimR5V2hhw2!2rI z@ZucItU5J=T9*szuy~kCj0^{>^FWSFb&(KFNt=jKOq73hIo@f02h9FxI{dqujO{bZ zm5YllrjO}whevn9qPo`nYNALF4GCi@RSG72L(#%3mF6OuXAHo@an?YTvgX;ULvyAo z!njbj&1{N3C<=h})()r@&`0s(4%1UOH>>nwyeR)RL?c#l1_92vtK?i7DyGpmeQ%o+ ze||Y#s}@z`Rea}A-s&qH+F>{7{DXkrw-US?QeeCa-ChQ-bi?uIUb0S!vuIHv3DFEd zADYEs;Lvm#7c$6iX#8BI-LVk;DkXCwzZ{Sl

{@#^Ehk=dNr;{YJfDMp}J zQk>t%#fS#w5gcI*@j%dp)%fw$R(rB_4knxt0YW}ozzZU5!KkWxFg6e?>6c?>T798GWyXj2zMquPH6GIC}!$W-HoqhW`jRhtk6_&p8J4!OtR9ZB`szVOJ1C7ItMg zAgVEFzpIw&77^@eY?Sc+3Uq=A2@48(HE=}&%|_4(M#4T1NY7!~*LV}o_YP3 zLqhI?qG9}!gG=)&xB?XXu(~?|g`X&)2IBFL@hOJmpUb%NN2`a&lv)VH)uLKmgbLba zqgn6CpR?P(k;j*^z80h1AXgYWfP?y#253cVO*PS_J4VHScq{U^?GkUB_aSmloN{RL z@+&Dfr7VWQE=(%a94Q|D&T^AV{vsK>2~qy+N<*M!ia&xCpTPO@k+rHME-b2zJxV<& zWZTM5r;uCE=jcZ$qxRD`jZA-+%(c&KGa_3|v~i+_>L;d>N2<%{LFZjkc2?h48lg*R zOisDj1sI#uWs&n>#Bp7WQOzU5{7_ZI3kO^Bz_!!u^DEI#oJ2k6Jd)1fHISh@!8i-P z2n9m$Bvkn-^g~;NsIWgkl&I+E9B%{?BA;U%7z-H}_2t=UElab#%R?9jjf|)bM}(j}u0!ol;Ob+_PN^8?bJZ82lSGBYmm(R;b}V43WyVa_{g5fdU{M|9JE#)RjX^#qY0RK2n;$G;i)vL7 zmUIb+xc$vdI1u~^5=mj=Ap(0+&7pq5qNO5qp}#IqSC3D;lCSqunTFLYWKVG zVALc6y{37P-wjl)Cz=JurLE|U51gXUE-tH%d4dvCafr}{YzRTy0{G?J1bbDcSW7QpfDrF zM0G+Q)k@CIqTb(W4bG8hGiPTpmx3?k)TE`OdrVQFysU|ch;sxr%Lk!d+(seY#sTRD z3a`)Ei$e(6f~$i9_i0?z6=3n=51z22AF~cj%4Znt52Um_NESPjV}gz#I499k!B2EPg>m5x4&lu4lQ(4)igS2 zjp%@Fx-e3u3nX3c1T2Q5E2Vi7RGzHHQAbxLMx#T5Yj)di^%((16j{Y)alt-hA3oCZ zX}~WGVw3t-r!~!QoxO+{7`g%3;Zd2PrHO^n(s1o80~Q3CQ4ehcIxKX2;AwF+QPpv- z!MtCyg`9fhc}+Wl>M5F4iReKug!k(p_mlV)NU!c=ST4|jh@=n?;JOghQUx7iYVuUS z6WqFL8%31VF_eD8vxq;6-V>jlp=~N05VUTlTqy)XO-*ue_~wy zxPv?W6U6oflQz3Oe|)@TDjZ6vg%BVv-OpuZ8lNJ1<#jA7_|E<0zK|Z_@<;C@Pwk@+ zDBHL&UrX8X+*)9R}u&HPk~#iD6W6kO+bY7Qng^88?VVnx(R!SFM~1`Q|IPA=DWoP}S0!!)(9!67ya`P0K>AAZO%J%LRR z6vyeEJ!`=kNkL=k0$J%&tR%m0x;%}~Wtp;Hqx$E~Z8Y_O5FNzVNaqD-(V_xRs<5p2 zfpTayYL=l&!r}^~(LurU?0{hKP7;rm#xuWB$P|C)x`KH7sHV}vAdVh@IECb$-zMj0 zj^cn$SX5)v54*?LCP&5j&sTX@crUkd7Di0NS#L|%qB?DjL$%KosW+)|39&()Oj-otSnpmYMNpYs1{!sh!&~W^ONo~ zdH^C;2>~@w5Otp`z)W3g=%R8#YgyYF7Hd<-<4EzAVnTkW) z8c6s!&NPD#P#Xemz-%C?$l_|o3&_sCX@hb8K{OkzvzRuk{G|+59YoHS%6Axh+VRs| zBHLyv4F|*54SEMfiv&(&%t++U0#ad6Q&@oz-61yk?t+ZUAVpcx)9F6LpsOPlz%Q=5Gtg7hmEsce$rK435Pu1f<&c~ z_n72&{a?{Bs@T2c=HMsv`n8o({ij*AbRKZ_EGj`Rv|V9bf!d=IHA_;nXmOD;(?NlI zc7Ss`!{^?+iTHu(vaDb(dRB%KA%AkReA}=_SoB{_Uy+g zW=GOG!Y~-vkYh(u?82fd{?5KBXFE78ZrqyoJ~Ty@NEn&!~P_6 z=n#xhT>#T6h=Ig3uq7hxdzT57f?-pPxMa{jT4g-AsUr*$u7shy;c#uB7X;u2U`xbtj8WkPX0p?MJ@6cGgWBLf zjjli^q#ej2$Vi$Exq^jR#SMszho-hz{0;`&37&Cvyw0ZsT(%lo*&&|qzTf-V!rP{N zw`j1Y01Z!Q-qe~_8H)}8{+DG3+{W*cg+*02-@lX+bi9gL^l`x$6-`HKds-=qbM`DM zek;SfTd-~h3wMHMXBlNMr!l}JU< zp&mG_-n-<{Uu3{kS=Mm{vMg8cqndxf;)`Ib?B?VRBTo9mKS?I1;UQq-pmiH1dna#kM<$96nVSrSk5YjvFNs}`WgN(1#$4Cu&rZAbM?H>zBPMzhc#-1P1`Ej| zak8a_<=h<R20hV$l~4dgyHxZS4|o>5xE3P;v|hOatHq=07zn}gw46DNbyT{8IdcOY*8{)} zjErD$w8xMVWPhg~FTOND7MKmI3O~IIRIn-?Vwx(P8nJ$8EpSIE4aLi%`cC@pO=L>{ zk&fU0ctS?)Z_r`$m<7E!fph>Ww!vUkKhG{O$ZHzx%>Ga}C4(`lVAgN-ZDU;D_3a0I`Pu>hfh%sHT>0-Y zY#h5jJ#~A52>;Tb&`gNS>h8@#hbdrmSm}-0#cdl!DJxjL^~4Yj>5>ed+sW27puF*| zXi&b5H@LA!(2w0hm6yI+P>c{jav5yNf<mWP~*VAtBpF!`m)m_5o$slyIqo= z>zCQ4D$n`$I`_v;Wcjn#_~g9Z4#PeY3{qj)8C9ZXFc%H&JYqq8w0!c~V@+5nptZzS z%VIE;n-XAPiEc_hg)+yd?w~?sb#0zGsr6(O!GRsCX9ICqytd+(0!SMJEveH-9el`ui3aN8 z!=h@qu%u9n^NtD{-X5(%^zFFH4&_{j8fv9o_)vugKuAf4Zkix^*3h!aUnjUiK>No$ zBvdg}8$0ck8#pv*Y`UI2LD}D+_sFe&fy$o4Kq3BRClKpEiZU>C5dt;C^RY3kqN6@g z-~a>c?Pn}Wu;iCt8=~B&uNa$p+po}WMHvX#Hlt%y`E6*@((ky`$(5u^(-SzyF?oa1 zir3anJwOZ;E*!xCLl`IqE0Tjtxe{D6IqmqsQ)@iq>VvAKG6yAy0j8|R>xm&6GFvkG z+(}jgcGrh#gMTvPicMr$$CVzTp`iUy)B!MIU{N{AofspAMK$@vAFd{6dCJi7KebZ* z&MJRdsvajBcZW4+no>CQWud_uS%nC&dh3hY&22|yBu&0G?i&Q(@eKO&xTQN+ka6Op zC=kcCGBtoEvO1RZ%Ty*zBR_}rdB-x?u;fgU^E&2tJTB-DveaDF-3!BmLVQ0x)xC% zB@#U7sFWS`L8FYlAv<5#0K!3J%S2mPs1G6oCNXUqgz zc9^nb^mrVt)WJ#`C@S?OBQ0$P7*{7(q7SGDWYwXSp@RZMbrd@~u|vOJ>}mA3=Ez-5X3j=M=bc`z3DA4%59zW+H>EKET~AAp<~Nk#%zEJO&7 zc@}-> zrmVUna@(P%ao#v+${yL3enLM&wCtG`flU4JJ-g&<4T}kxcUnWm zk>6b<<`l;I&_!5SRP)=E93|QFgu_S|Tdi$&%#dgvTnG*7U2)!szFHw>t!g0 zavxXu=P=f=7C(U&Xt}oaL7nFb7Ti`cHbYv?JUT0EqJn@XaonI+P_GC8Ez)nRaM2&4 z0;{;5qDBT}GJ##DqH>i?ATxDb&@f{1+IYQ4cTy4!RT&edoDKLJ%oaL4TBj%}H9%35 zUB=sR2Krc6`BkYgT2_Z%f@=ZLSU}uGjOt?x4J<13Vrm3oyadaiqDTt37|x z2iyUlJHFz?H|OsovpYxfwtcoq4FLln&$ol{&p^)GZ@bER8(+efiT~>Wxy!BVKHRv5 z$!wv0m>8__03uyM0b*|!I!qx#hn3!_UEH=&+UZzz^u!Pi>5>edJINwotd7Bw`!;^p zOr6~2((&S6%uZ#~x;!xq*rZ2neGO*)Mz*|*CZA+S2xC+m_CHHSDX;RK)UQEN<2b*$ z)vbmR9K-@^WMvy*^%jxaj#M>{^1TpfE*}6D`4-5GVjZIU9zAd{9Q>s3+p@SN`GS_l zs5xdKEjqO<<5yRBchJY7Z_Uj$}Nudr`V-*R0Xi9IxI_npxLQ5ai^MVBfrYv%gmFbRmShX6go>C^}n7^;HT_!v11 zH!SYKfcL&OO@@_$2V?L7NfC^pA}2~q*ZPSP#&bAa3lv4Pnzb`nXv{DL48he#oe5y| zoyF7{C>lDys6c0p(?v7z8L_BF$fHDSR>o%M7}Ovql{V@z_;o-_Uy6hPc#Z&nd zW!(`S2#FF?npPcW$n*@g=%BQ7p+`QQ#zjN8u!y4jz(P?4>7c-^dph^y>I4KnKAwf- zGUS307zQvnJ2VXv#mkIg&5%QPLrO{uugM*hFmh^pomQltEX*(kj1JA7s2!%ds5K?1 z^v^x;VkfCuzNCQr=f*-8ShX7;nA2`6I*6TJ4X_dfQK45N(Md$ae8Uvm5t~_~D3w^V zG^ci}4<`R~h!??*msYI7kQZ(37!nDV1l1s4Aqd+Fh#AkNVO9d|oT+;t2(kcLeOLf> z0`(@eX^K8}0uUc<03l0&IVgdThP+|GnMxYBL}+-hb{ewM$Ed)Xqf?qk1(+Iw9Oc=< zoo%6`xVpyaYoq)JtbQR`T zku};91;=w3f-=^*)4G$Tzo$&)xePg>7L~Gtk2~n`Q^tNRe%d(@UjCmzF805|Pq&wFfwsHuzjk}Jf zA3bIoG6j?F&QHoDXB^ABs9Z$e%Y#WZLxY)AQTH4cW_%Dj4{kfulhBoW+QIITWveOL8hT;N_yy;YqQ1;C2f2{1S!f=ky;(t~&5xTO~y zs(fY$TnCr~Z{>$zQ57Eny${w$X~UHxj*K(*+J0`xbtmo+%D;vqK7g{kmFz%b8rV=o ztFAdLbbJsfiqRjni(9L>O06l09`djnHYdGyU7a1E1C%hP@AN5GN_wYGjbPnNDegIe zw+8ix_Z+2Ze#l`yLRUCDioaaPO4+x2^mWh1$M0}uM`48QE|QUUvi&KwLV7c)r9LAW zxI2a16)>BrxhRUdKnMihfJFsxvF;^~!@F@jOEC)B1{rn}I3URLcx2+8P~HsA*0p$_ zNz~oCna19>25KNcqL5p{INZ+LHCX+Nk?%l0s@KsV5Hbo_bRe>b`FGC3@kBE+7VN~5qxrl@M&B;Cv}QhAy$w4 z*4K=%#P7C*R;jF+)X@?ld;+rF0IFvnz=#XuP){@ojt(iH6A|=uywtaGijm;kwlD_vj8w z7c2g1n2QKTs}@-OG{er2+&EwX4_4Pve(-_c zbld0d#oJKnQw`dB$pm?yWact7>8KvS-j~R%fI8g0nbcB8rEci~epNyHqcTG0qBLV@ z&>IG^8_hb@Af@gy@mu}1_C~i67 zG$~??%F@LHHLxc;wJ-B-nJSa?LxPas_w;5Az% z++hXbSfD_r|GW04T*#6-e@QU0r-u`E{h5o`k@(Pn6xxIu)ob3+Cf z4BFRg#Ro9jgc265pzR8t2j0pEEujOx{R6(-=EnU{df{Q7<7GoiQwz}iTik};a67% zV$$_kOGHvlA&CB<4mDc_Fg*{8>aepqE_}x|9<93)Yhzz0r(!-wtCV607=cE;>k*{- z$P2^8*nQ)c|MuI3a$|BkkFULQ%It4^J+P=)a~28OEbtr_f)~50;6qtYByEt$C} z8b?7@w>O4TXIvc=biqiTu7)-9Iqa_}*}Zb~@jpo8Clj?N5l&!aI2DqvQ!P z7UaW0@P@6RnOUNM7hBC4`n+H{absc+MhKc)V+Q4z3G#q!b5Q28A+g}pV3j&o91QM3 zj*PeEv|17zCUoEcTKQ-`EXg~VQuXxQGE7IcB*8ic1z25!LCp)5f?l`zmT-dXCFDsN zkCzhLoQg>ynxvYz7sP!MpHyier-q3mWH>mpie1K0>k7Lwuw5te*#4Hq1fs=WErOP% zF#%|bM3*mCV-civ9&ca{8qw|*j)3Lx_CmC?5SnmtM}MH;35KP3LcI+oATG-mzPJLL zO;OG-w0h5lX2Pc*#;C}n)9fCr)IzDT3gi(iS1hBta-GWYx|@De9a5S2aBMf-LRjpe z%`>d{*x{INxD0`FP)W5^H5d48w$7TtIp%@DGeE%)t6Ou1MyeT?;V`efj&H5LOj12G zWYuFZjEq#}FE4dy`gx2mj}I5E!6L|OKMsW~-3RO8YAX4!QW;}Zf&*#ub}+G4Xnz){ z%@i<2vHPQTnCe1lGvCE_7secsX)^6(Ssyg6sLyk+dk&v|d$9UqgZd5x&CpZ7MYQ2* z=it=W5@ZkFOdqOm^8M@LSNSrz!4dudWk-(kbg(pJAT13PT)mkLDouwepv{9@r5Z?8 ze-{BX8-O2S6JrU-N2%CCB~?=9t}A%M_hKgc^a#!yS~ulU3i`xXVxndf*GvvetQu;j zeWkheOVj8J5}IP)sOLDqF_2eh<_V@kSo)&-KE}|=*#b&^bOHl0fi1n=keO83t1bwx zx~j@W0}Q4LRBjGCDVr8Pp@8L&uJ&sakZ=PX9|_tj#=4h4KYZ0q3?v8Q3Z-?SAb83Z zSi*VfDkpSV!H+uoL5YlwS;z@hbv0&UvT%&&(JH9@3UZQH5(mgy{6qkWfU_h}*rM)< z)}Ll&Y0lLR%35%Sqb*`)om7X`HD7|pE7LGJYY-pQ&888c0^^|17)0#?39A$R5qYUs zmsvWEMFq|evG_9-(6A*&1oduBk&ML&dfy)|j2w&6*PMGQ9A6$|w=_h1)K<^mj9VxK zeOxHkLJ>p>XyI9PD4Uut;|j`K)kO3`Q2?wrRRje1q7VEu9)I{g>`LE+*O7l&p`BI; zjDltk&D6n<677*sk^BE%?M>rjrqnowFG%f*5!ZGr3dpRw+%(2>5{+nHdbq72P;oioJG;vVx;ZavrZ*{d0x-GyjM_ZNMy|OjF@~= zv-cb0Xc;Nho+6y*nE>{b5z*6|VMvV!V_kVPb`$ zc3`D`85Sl^=w%$Yi#f3@hCEAptO7cWtZX%2^;{h<}Zf)HNUdVnNo|YAThf0Ju&j0 z*-f>>sG0TeN3p1oMID{*!$OBCV2Ea|KWc}mE)9 zJ~}`hO0bwT99F}@;_85UTokYmTre(a&eT=$vJ!zW;1sThUKbS?!+H$O(&3MFEL|v_PC74HrbL64LV1+ReCvy3y7B_6*=G zBE1LSpgZz`kuInLBmTARD*z zgZITI6fG2f@JaGFyf)5L?;3I+e8gfm=_Z=;-?_rSf8Pe$gIT|vE?%E3-5yFQKsf8C zC(IUEv@FfRf<^{`DvTnwV9Ci*P$b7Ha}HPm6LYBZKsxQf@-h_^XyKY$)*T;&E=`FY#swH{~ zBjB{&x&kb5BJlC(Wn{P!!JyhtKx7PQk)FLscozl>SmfD*dMjB?bpW8rQ$*06IVJM6 z;%iO?p}{GP`023H8?}qu4hrI(Hwe|bdFIT{6aVa^_vSFKI<2596)Y-%)`AdF6x*!B zA()F8+|K74C5a5rG&`&h$_8o$+73Wh+kxjf0cPBnCTfDy_#h2wWetJmxbG-hHSGma z6`j!xnykE1cSIwfne1SK=y-!wW}Nr}*_7SRJxdpi>)F%Y9s;IdgGYb#&EfJkJTRMeOvef0Ly2 zHuT3wKF&DkMI#OdzHEPm8vp&l3>_GytpAM16%4qH9lqJ~GdCgW%Mz5Fgc;8_Y6cqjxD3^LS#ja zSTh&{b+Jyb40^{jK=CdH5M|AS&btvUyQXxL^Pxd2CEO#6v_kjZH*EB1Fo zH0xtjfDt;bt?EStm}{Rnk)(a*V~!zvJ(!KaT)8f&Wgo`JLEN0|5|i5cNi6Q?S%30>!hB(&-Jm`c|lZQ|%2L9Td3d1dv)(r=LX7^TWiyb#IBos~^T{ zCJU6?ery6qPWkMQ9l&?EVNor2e;oDrCd?vViRKR$mCJ9{3`77{oZc*G$%zI7I;`|Y z?c%nL(u~1Vhp|?(e5YwN&wA6K0kHc8$l=eH zJM!*QoNmX7*g05Kb^jH-_oaTu_4g*YwkD2IzR$Xvoe0oovY@zKEO6TgzgFFCRMv+s z)Gls2QdP8t0XL)nIh9IVbins-uxRDIwf%u&63HA+|)WSNP(6S=za+ zG>j=xY3wmc97~L$hFuHG<5G%k+q#`3S4uF3w4)yktP?XgJJUJ{aV?>7 z0@U@U_D2O*8P`Pt433)Pcc@S3-#J+4Gnh5j;7J^=PE&cP@dX%A+E%Pz855d@>!9k=TI>L< z!;#e>y+T2on56;IFB_OW&>CVXz(|od*$;EZALo0i;+(+iF+3Ai#b)*2&<iKaEy89Psw*`s?T(J4v}~ijF^C{W9Hz z_Nf@>G%TtdeFQXAfUu}^By>rDmKkeK99$^KrgCWREG`uBEHeb|IRUhH+@7@{FvlEHH)*-n7^)c);i&rg1cX?N0O)ngzqhUxCc z7}eOS-*xW);IoE{uii)gOtx<3m60c8qc z_0}J?!&DcF##t=zUmgPOZJzIcb;PtX6+-)=m_NO*H%XJ zu^Psx*vB_Y9hV=v;O&I2miB%X3l13eV0~gJJzQ3-5ukj_yw#X{fUUq#G^$@0j9sE$ zLQCXYpp+Ev0*5Y1ftTecz+9l%e(1?vjA4Ue@$gQq`;*Fq{&Pqgfk4>d;CFtv@S>R? zy|aX^?UKPa#i3N3i<9$6lz!O~t#06}qk_nGvWz>%s0eEjC(7Db2(EoFK2Ip|3q%gc z>0pp%Fze3(L3xCmkTh^=$1V*UzW}(9r zQ0BvJn_4?vtBx*tv@t|Ox+H_=PO|L)zjO!&(vPn8$;GBdn^#hyAjfyVBR*{Z>2lhU z`(S}itw%S2F)EvX)=Dk4l`JeO&;Aq&>$4F~s}GXv%R+-ST$ut`z4b-y=C&hMjk9oI zJx$|~d3l+W#G6cgyg~HVP^t#T1O^kBR>h0->WCf5U(@&kZ;*+RQ}NtoTdwimeR9tO zOgHVqo>E+)^tOazED;22CP*lQ<#iJ%E(!Xvfmws?rR55UKZ70H5QWefO1DCxdze3L@_Nq!iV?$bjJ7`lJki1CX z5%DqW$KYlX>(Yx23FFJBLm13*+Y%KE+1!omLF{fYS=7QDsxU%*Ok}WaqlHmj`r)T; z#At2?`5brIuP-O?9Rga*xQLBwsCQ0%Z0ex@45s-o;NmydJ~;h+p7xu2o6TJT>!`{h z)KE)9F&2n`k#A5y9U#V~^(Ih#(FZWo%z%NTgVHO2)~|ESWB(9$^1Ju3dt}#e0a$~< z+Rc;RPAZ(7Se@H*+b!&k3Oh$IMztz+1HOz1kr8J7jy^C!mUS6h6ktW_&4MbWXfU9| zN^jIIZrdpB*j5Sj#1IYX5*eO5$#w$N=g@6KO#fkHV?Vx>q5&a*GpCSHJG1T9iV3F1W(f%q^6q?v9j7d!@lHdIR+S~~v) z@Xez*GKrqSH6G5LH(J7k7awgZ4jIHSMI)mHhsLw5HXQqR(ShLokb!!Uz|%LqPJt|)w1o*Dk(GOXlyQ~s-!o2a(z`)@Y622M}+Fh;dH4?3`@ z!a>4O76W5GHU`pjaam1QRVPsU>^f(IJqGjOUvDMe_s^9eR5AJj8ftg^S3D_w@zX@F zyl?Dt8((s$riU{<@@q_w-b9krF)ICRWF(79kP>TZT1cwsf@>zH9UpkkhubzaC8pG@ z{eQ0BdK6FV2&z)r30muD+Dv&&rNYg#9lUM&gcd|ws49pwqFT~RH_2oi${_9AV z%Z@E>#2WrTddiro+*Z@L_kgntBd8;E$d%M!V$D#eFAEJ;3}p&n_0|`)o7;|5Rr1RB z{6GbC25dtURlN?LpYR=Hhw0=Q;d38d@tv9;8~<4hv*@}H!MsIz!z!T{a_nr`N@>}{ z!GHpl#;gUxd4`sp7nlp5gt6eosMOJ`v9!x?0NqiXEXWLtssKTaqmUaCV0)CeL6IYC zvIbrgxNHz~G2GCk;43t?g0rdV0FERMba)_R5G&4Kw5s0NL?XVu3=POh2nRlX9a5@m z52(RqT!HBH_d*8&1BV;Lkdus*@)6l7Zw8{E#drkk%-r2NVTyHB4UL_}H3pSK$UOG> zsa%&jc-ZCVfhSZyJqrO{duWdRbQzqns*&sBh5;CW4Cg?nP^9vxi?4>jXA4FMC7Mo& zQdsKn;%YFM!D_oNkILRg*}1k5p5w_8TH!t5WKj2B#M(uv@=?L8pJOkjI4ml`Ix2CY zpt>^MLiLsesNS;X1P#PoDv1>sxc|AH~sAu&AC$-7qDOVT{VaqLL~WVNYUZy0%)Oz>3kE1!y~D--He; zy-~GL=AbmiawgkKuRjq4c9QLg>@zSNpMB?$&tJaP6wA*Utx)0c{^w$b{%ko_$M>Vc zPEJs1V{H1=m!2a3NNTHb_a??98)w%Xa)WL)oM2BTMdPvFlqrDKTYuCJQ(Y*X*c$l_ zfo;axHtJGo3l7HpH5PhkB|nu7r#5N!#Q1UlOeju4V{9|wOF3F2fvcXRf2>Xc(8#;;TQys zL4oHbOy;BtrnC_}MlSj*3qwgTd>IA}LNv0FDuz`YtLPqDZq!?b#4Oeb1<AVEIG1e*w9^Z#s*`)R>CtsZD_0S(wk!t5N2xd?I$^aF)AMxm99)DSX6dc ze6-3 zEqOZ}yZz_yb4ovZW%}vT>EVY27S+Lnj~lc02Bh4wsPa25rqdJrf4ZNgmN3a3*HdB7 z|GlAJhCoB0;bV+yigtUnWBe0_3T1~Z#;831m^=I+X8jWPCZ@4#$@gJVg^+3ocNJ+@ zsk5S}55VfJKk95f=u(hChcxbjVB4^ys{cESv(t(%DMYs2sFqVZ4pw&F;m05XUGYU_j56 zZ$c)S=D16l6 zs=a_SP&-VWfugAMiwbnsI4xG1$+9LqVl@@)CWd}Q04zmM!U}L3c!N=D#)3KNMO~Q+ zhd(}pwON8ft92=>N{#! z0B6>2tM%Og)w4_t6pa-Ec~OKN4!(5n!--a$9oHJiQCc%XYv&ApgFz5Y^Cybd)yM>y z>G&YDO|v&D17j{qkSh&YC|HZ+4KB@AnH?K}Ak1=qBVs(DqRG30Tfi$f3q;!~MxNIb z1=R6)KEW2v!$WIVa@5wAu_@ERuzW{ktAs3s1n?R+XOCBv0cC* zoxICE7!cS8b{fBjj%$j%Tqmf%W99wNF_Zli#kaTLIIP;8scW)mOn(4+ocSyNdbvt8b?2 zSN?){`exZu-;^@9Z@UB=M>iN}`v;KdE;3d>?x0DALB2PWfq*(pfk>MbQG%U-IZSm? zQ`E%(9nX!&pGy^TFeImBi=K!V3ie8SBTC`>hS?e#;XBfFSt^FjAU`*jN^HtBD0id1 zyrDHYyA22tp`|zxvCjwEgGe-oMI1yQ!#V?JRXA&y&Lg~BQ(aIaOx2?zj$)OD21ae z0gQ%+s^nZYO8J0ocOpWbT;SBvv8l;QX^5;kN(>cZnnbnq;#8+A;fsnF<+BUUc+Av2RRzrGT6` zieIz+7N4GZy+D=ce;vy=))LuG@s?MK&i6{%IU zHVZS7f#*E96(yolT`Um)(h;T+oW-G*0?tC!ak>x~#TfeAFcWjvD4XgsFf~r6oYgUJ zh~HtXyQ%1|S-+Ns8v?$vCeYjvf)_-vMHStFoK|qTjPdaZuYOigQJhH~0xv;l_F-@# zsPu;*G!LH5T7q9z#XuW>aX=Y5bT?XGv@wA;6~fuV<}C-(@bTg9%^1fs(^!cx0{Z|p zMy*n!2Cm6=O^GBbWMGLwP~=^CQiTvBBY_398yd!4!Mz?}4mir1Lqn)x41E+Gqnd=5 zUz^7L!|K9Uy@NBURALt($ecVs5qu^THKiFMv3>3woHINuZGb+p4;h#;hU=b1_(A>B z3S%uSer_W)dyXNojHgICrFeZH-^c&ldt;0WZ*MD&m4bT*eYH3izLP40W~t~_+|t?y z?~x2=Y5!$ZI)+2j=}l9Zr-{)cu&9EA=k=5BQ7kHZ4)_*LL)E~l!{E{y#<)aR(CsKS|9lLGrnDYm8G!*6`Fqjk|0zA`a{ZP@#E5X8dGO`-+}ek|7n>QKLv~G z0MssSt>UUc+R$cO)T4iT?UJ1%OXtaD z#v8xa&3*Gnm?pdJU4f+A#C!jy+!Rf`;wCq~I6`uwh<1D}`Pofma{u3t5l?QIpdELU zEIW$q=qKGZhXbLG&=!+CmxUQ0pv{9@0eTQue-{TXbvXWaYl*)90Y7K2cRG8o`%)LG zUQxDK_4wr}+E@QY*I*c;HnMtIImz$yAC*lG#n=C=#|^^aa%+M$w|z-nXaP_~5f{Dt zv_q-M@P-O|WYFoMeDQG+j6+Pp#N;xV{w)-ug}1E6Lq1fOE;KQfX_`tgYbWu>hS!=X zkkwi+t}nr^pgP+rUE8S^)iAl}^qSzSL+RC<&japuK-iKBLPnLVU^Z9{rBJXKs!>E- zdI&iB5V2!E1d0_d{R>2vu&6vbj8|PgR467&j$j0HFfhq@BA+a{&kPBU ziXAqW99TuB9-}Ny5Gs2ZqqAe5 zcVUd`PK+}1m5!xN)8Ze`V*jp8`SgMtE-49b%0e@Ab`jcBCnG!2oKH`Z;BXtthJS^I zRh)P^ehaD@au~;-hrud>lt4qZ<8O%dhu{%qf+j-ID7EtqWn_S%ryho#%9wlds{)_F z;Gf0r;ojP5V+P|v;~IG_uG z`4Mu_VTlX7Dd3c0OO3)g)-Y6Z67ZesOXG|Hd&cvUee_OGh{zS#>i1G`X#C)ea(3FG zmjz!bxPix~wpjO)C+;VwQMaaW#{}cRUNZi(H4BR>BA^O);OuFaXc{gqs}4;^Eh5GR zO+mAROK=4!_+fQ-1*i`n_+_c?e`$mcd})ZotIP-$PBbuHckpK8?fDkQ(m%7@UyD8R zcJg?vnsTnD>ex{#J%}zt`}kv;WGM7!p~Dnth|=kg+QqF^+}VQfVtX)=cGNCeDlymF zfkX3O&XE7qyG26>gFjAjSPAZDUQbU{K6thBmBZ;#yZ3qX@aEkft$i^`4Ui*PRLzna zObnsYLe$^6a+vW!=sdXXP*bXl1rEW)rp67ZdY|{7KsPoRN@N{ty^Q%c!)`d~t>P>F^XiPqUck`7sq#zm+h&`Eaix*P^x85n}gx)?mz zJB$xZUWWc)3`$f{wsolsXdz5-a9!XaGx?8+co=BHZfqZ$*25q;TW?E^Tz2)iB^RRA zO6xxiDOrsi9P0@wMX1VQX`P>Ndq%RI#+$*_R8y7Mo{4ovBs?Bx8r7anPoi3dVRXW& z`)YuSR@`*6b+RrTWfLQYUkFGud@=-Wi3BrjPrUiz-EUWDLq)(cq9dggye8sf1T)vws#yJUb# z?|Uk)8jX?)u!e>{6q@QBinc%m(fHbYT6Gv)nl9s-+ozrp;EQ6hUWqGQvIK zE6|8>cM0)dJ{Li!z`gCwq{?vVj8O%}bP6*E<(0N<|G3#Ot7l(e@+?-S)5y!i#;<;w zsuTAZTK(d(;0-1xPdZM{EzzB#<;FnG1&<7zR0m__TzqZBf2`2 zI*u8{n=#wtDZ^HX!lCG_Uw{Z1kppq%L1!ACO4M@+ z{UN~SaTAoog$`h-0|zjeqWy|kKir}kb&6mgS~Xol)pM1Ob*L&ztz<9@n1csG;_AVA zyI7!3Uyb>CW`yJ3V|9;S7?|5BSs_!panya@jpa=lvFm?96G6=H;p^o7&tY)~p2DTx zN=nP=EQ2Whpj6eNny$5iagnBuk|n_vpx}qq-4&od!$0^3yrw+$09U_@Ee!A&3_jkc z^RAm)|2A^cCgz!`%lOe_pA5!Kc@!3v??3LC=^V-QY`q;wv_A_SrVwA0Mt{^UZmr_Z zuKHbU4<^!%+9gY?#NNHtGRCN!J~ny8=m~M z5OFHo(H$mj;p_m0G?GM=0CeQ)n*Nb#*^4# zHM=1CB*APQkM1%XnsO*o$*o-q3+iuZTAtKlgv}*%jhM-JHln2Zq_YIQeUz3kO7=D% zZB{4%1r{h-jiHNzny{m-j-OY(Zwk?RYg?fMf1+vLKoVy=P+AH2;4N)W5!M()NK&hX z#pPR=2--B-{|(L4|Ign0fLBsnccQ0TEvco^3@wcY#Q&1ez-3I}f-wPup(MmuCIi0U z!NyJ;Uoa3h!Onzru*t^eTp_T)lk5fKWXL-4WkURBd4B!|uU|gw&1NqcyaONWH}V~vh1AQ7d@HPtF9j;MB&sj)FIbg-ydq!tItH*PXSc|j7u@>CZ4Z+=B($75K} zzWuMg;L*?a2L73IV)hJ3zs=!$Jv%itaa)+ijp5COj4N^?6v&n!t&%O{#-QAXfW^_H zVfEx8+1)ke!q8$uLFBW2Fq(l;<6UcQ_il8tY(d8LnQ=X9Rto6KdzQQ^?G{}}5sAtU zJr9=SD1iSc&nZx}-sqxZ0O|ouRlqS|G1H)k6+z!rPC1B+VR2cc@LWN`BNA@K2#Q;d z%L`;m=eWULE_;KB9mQ2Yy$Ig;oQCsa>cf3%-Gmz^&0?*R)K~jz05%R1^|RvB97-~v zjFbX?C_2OtXP&IP6iZz2;%FJOGwdmdVSBPDS$L(O2(z@L!EZy8#ZGOtrN*zjvC0HId+ zSj0oM^AH&?E0g;H4I(|-0S!n z6oIK~(+BNPG>T$|sLFyyzulI|q0lXvS&qCYj#M08O{FI9OyKDSQVO2#>z4U`h1{u_ z+B$!=o%Qd+hn^l>GZD%463l+V%!c+aO;}oo9mT)1mLF_kWnMl&IkK5q=xHf0SVo2p z7JfnkcSAtzli#~$(pz&3_G@`JcA;dv_Amo)y+-ECul)+6*+?ElyE&tjPJi&!@s>miu>-GHNUd2U(H)D8;##?*zpY++WYdQ zgEH0+*2ozXNJncCEF)JlW$12Ang~@#!Z@8mq}##jwlS42%{cMYZhYL~4|D^bM1J05 zyqm5=`-Elc$e``Z-6kiL?~>_gpfb1Y#Be1L#a4z}JXK_krl+p}>B%{oyXDWWojGF$+BK2vlsDmyMSkD?P5%7DgSV^gTggQfxt)5I2oQbv2i zZ)a7SVg9ZP4c{6Is(BCW$&De?dTpn5QSdZREC#QA`VEm%J}#k`p%Jn&z83hh?U(&w^r&9+ z?MSp4^=48wja`|->j(tH3ILuh9aJ3ZK&5dA;4nDq@2R+M2&njEz3{ZJoQ$0!F82oe zDvTMsCAn&M3Gx`^_~^KK$Kx>SJHH%mia!Lu1!FA_erQ%+#~k=%Xc< zMFE!X<{~6Qfnq7r*0_-~VR&LA?7#$6NwSH`VxJqZBU!LXq8r};t5nf?!t4zrXM}_Q zWvwR{e%Q$L54vpbmXmycHzp1B8wT4sUpy$M_gmp(q(QQ&@LP*u8JS`OhNsq~iBNSU zjME_)Gv7buNoK-CuYI~`nO=MN(NN&)T=X7!qVR;2!{Q%@M$hXu!QXVB=jG0dvU(SW z1_!WRl`GQIbmero>9mpn2Tn|STCvqlS}bb`2k}N%7BObJG4_Ht;gqqkh2W6pAb?MNod6)t5o= zy)E04RgsO}m0crd8vEx42HzSPlGki7@Z;Vn&~>s6O_&PXFM>65IiMmbazew8a3~I; z*&8~T&4dK*h5)5c{^z|`)*KTAXWlVmaNQo33lp|N!TFxZAHrtoKYAk!^t-)!@BYie zxe|I*(!D*JeFvUsCP0;L6v#Swwh|!`3KWSb4${_0$eA!a)#;-~p$w_h46c)85#SqA zz{kwK_RX~(z3yZpYMVD9Wo@oxLKjk)g|GWgWg z4R~he)H`xkY2({*tP-}+gJo0-1sI+jrA@TTIEMzi5FKAAmx-;z(=xxtV|&vcR;&!X z$y58^ur1n4<03kPC5sn^ull8Tasxc5FJR z#c~!7%h&<7;h*9!%OD+baix(dTK&V{HB((T2g%B+18n%G~$90N5qBVC4) zbTc-$aV}%L*nf&l9r!WkvWM1k_>Qh><4fykkL@bhY$~EDKn+&9#TdAC8+uU|iV8TG zT1HSrO{K%6lN=oiZAexNez;4X?Td19xx=?m0w^duPzd~+$MRujJvKP|zT7GO{R+dx zaxCda7$WVtp;^v~oy_JO-X8W;M`a$>1x?m)HUM8F#)kex< zzV;w?yu9TSPX@nZWG)j}2{LNfqw>4)@nkmc6EHPqAr+Psv{IV1;#kOO;sa00Y;m+8 zWl{|!RZWOtyrvY&kUGuaI!Tt#8-^aS&(HAiKXsYPEl-?=_B{N>w28_Xr(>8u z$Mo6XzdI){AWJrtZQs0~?~?ZvuajY!lBvP+70BeKz@277V&>mJ9o_35*MH zgQ!#x7viH!qn>gSL6Hj^N+B&XQ}Px{W^jz+P#i4j@wu8gW;rXd6~hU!0%+H5VcLcs z&A_TD*JZ&JLdkLqX1l*4UtMU!1r&PuO(#LUXLMN%1k1z&STC%&<;6q2&{Kpt98`YR zlvRXC(U*!J(G<(h!e)wWkQU~{IZ?R@qj?tZ7v->@j$#U4EIesNehDY&aP=TAb(DXR zBF%?13m#z|&w^)+#fp3o$nb8OOu?04iSkSRQT0 zSiPw*;cX2^<)t-<{dK`sf}4ls=`(^InDw)PuFd#!v4J5Z4JM7CGvRCxELovs7kU9G zYB4y_(k|*_z}*laFXu%*FK-X<%||c1{&&Mk7fZNl--je$##p(x^G~F_`>Vz)JlHGO z&VIrUV;z-@{qO4nGk3WkS|sTNORDA~u$C)>fu%@W<5tyA6MfVul&tGCgX<*OT7X8c zVOpl^B+vWy&oG(^62@;2=(6<2nkaMHIg`7-ykdj zN|}JpqWHeat^u3-A~jAEUOm8;iV$Wz$cUMogo|RjAPD-Qr7?6dpeZl^3@*?s8251% zYlt=$R|6MjD}n}(vsk@?(&xhmW~Ll64YTRSqYTW4ug6DOzIB85g**cWmEll~T;;_X zto17b(_%#w7BLD*bM^58y??=K`-gcLwf@>trtM-lDL)7`EnU$yZy3bTojb zYsnGi*w_mYW-}pyJ2nLT)&k~a>V`;4e}NS**UX9RyUU@Wf~NeDJ7j47rPHtt+$TiN zdrfAG$M^d3<+o0ZZ2X#N)E7pknyfOlworsxQZyF<$-(3+NJME)S|@FdB#sHW4IND> zlp%GR!F7^sEg&2BsIHii(n%M4!#BUtCFyfn5G?;cyeRtfwWG4*pLAmxUvImn=Te)= z{#2$5&&#f!AOEMxq0;mCCKPk#gq9+dE#p&c!0^|hg`Z00bA$-0dOq~jsC^75 z;g-hNt(|~Z*%jq9gTl&$*TQyhV6;BT$E8;m*`k72Wj=t|Lp|RR;r!l!;U=%Gx*oU)#* z(NrcYlAwHoWP;bdY@`G6y(LWud#k;|3;b@hRapsDHbh3gXl*1t=!4>2zi>D25!E`F z#_WiMqa@j`SSAK{+@2~gP}G&C!h<6rX%-OIo%|mo=bZ_xtvJ zPv!i_vOkjG-gAS<-|{xiW7bc@%h%GrPzS*YZF@Q_Nt%nmTf8zDNJME)S|@FdB#wv> zLq}5zWk{XMaGfNZ1VrUP^a@OnZhzaH8J{962jpK_??qjG_N;wB56qUlFKc>E5P9>9 zq0BsQWP6Rf=g6*|2$tEQ*nr{5QQAbSl^Be9i14OO{O*iw@uWNIo33Umh3l>%8L*!* zq5RZF_$W29Ka4h9`^Nn1w**>?%z{}>sNAPu2WC{nI%#SO@^3a5bA+N~k%<|@OPJ@hZsVkl4J?FPjnw(aM+6DW6rNr!kCDu;VaRHlI) zxkd^l8)!*!F8bFq8lH+XxYx0JwHk3NBvz~-^QYFvw{<5OZE2l}WLx(&C%krdl(V1p zMg`kF59J1NCtW8Q|Jzub?!@Q_5+!#-7G#7&nK-j)=zyujRm<`MXb6z!M?Eip3&=mc z#zzb7hRvC6zC8J5RJosCCefE(75Ss=@L=%rxRK9)4No}Tr;K6(2Ti^kJ5*N^HWwig z3KUC`w#JQ|3ByyJK57)okUGuaI!QJOh<%F3;7zKxSTFzlM4VqnZ3~0Y?tea2hVk{b zsi&X0TI( z(9msuyVTO4t;mlw-fvWw8f{>EWd)zjNY~(3J&c%gk*&oAxSLwCl?}q^*%lmpXeB~OnTU|)gD83vhD6mNG%0_ z*-nI5*lGn*GIEsGkaj^)m2C>=>Dh||i%i>XScuSuO%I{BTd1EdWxoph<$@>;m~;-L z4AaST6Sy8|ZHH&Mu)2Z!d>X4b9Ey$!n~Ja?6lnSFyVj(NeoG?>{EixIr&FetFDuyQ zxv80G9E=I#=2XpmJF`)Xj6C9zer2REZU33vh7jCT6jd*{twC+GmWnoP|6@6U<2U zK9im&1KP!!4Ts_xzd*)jMJ!ivdrKOiNKf%-Q=EaKH$CdxldbihcN>0*sl~eTO9QP zcE=hlMEokc>hm@#W{-1fT0;FqujunceI%y4QsgN2P^kEp%RAo&Aq;QNU*T453naukpnmmO>4!HmQ zXwdJ8WTx=;Fx+ysZ*Tg3x15G#i_Z>>*(?7&j1)PWM&5OCZd-D0E@a#kB$`3wB}l7G zi*Y*!yAFDNOvF$m^B4y}U9bVKz6}R*W9e2uo?u1sUG+_bnqc%c_8jEqUu=;ISH~AHx4dY+l5vGi-II7;qwKP znD&#kw66*bS;YfZHJ`S>E5QP(m~M#cg|ba%(MmaG8k(KB7WI`QQRtzIUj`eCiWltk z?r1AHd!m#QGdKF zdZB#6_x+a;q5L(gFu7#~Wp3Ei7&=%qv=WO$m{nSc;)qG`V@X&SVCOO3@$U1DocBhb z%wU=Y%1Vb`)Q!QdjaF_ngJu73Pmy)u<$cl9KRr%-d@d_@{$JTRS==5S(OQH=C~#78 zYfV}wtsyNHQbV2C?U^u2gD#~2)z1A?iG+V8j2qES%gk5EY*KNiT-xQ83K=;@r06+FVGM`hsTQUV^k*i_71G2QyYf09o$8 zO5|0GCiuJ=YC8>D@-{@9=;XwalC)J+xKMeseTEcbeGZG#wiN5+CZ5UN7)*b zAome=fq{baw3rD{hk+F_rc&q?Gl&br$(|5@MZj`BP?wQDPvR!b)fV_AI;(jv9&?Fi zu{>dPd_bnSvlZ73Jz1ibIH$F0;Clw6Ov+V<@y)=|4A5Wt><}yp>Y5rxuN)Ygrj|36 zqjF=28yZKBWU;IfV8j!$@bg_oNU9-4O+`$E37xr(V&i?T@1vGbg9p)E`Tc0@Fv75e zerz1M`6=7n`)K&S1^21Uy-?DIFwn2gqOYBf2%Ssp6m&(nRxdD*pwfwyuJHQhB5Yat zBHZP7?>cJJg%BY?}TR7{nSzf^Fg9pFW*<(dz! zm7b2$8qzXu4P=&x-JS`f)RMJuv(M8Xk5PrMS$XcSHcRyNi-IwdwZ*X5e|7(fn0NS5 z#^~N&u>;26vGI-drSbm<#`Wxg_3Z!K>qp>j<6B@`W(X|dXf48mW;Usc*)6$l**f=5>x&&y`RUyf#@{k&e$u(>#R$*j6NHh2h&Vda&CUeFR1o_F#t?-bLeKgaQU};@Vd++qTRQXwnFlQ@AoS zp$>N8O=FjQjYB8Klea6onntWQhduK~*WyhO%eg?B7eiJRSnQ}ViXZf-Fti6Hq`)#< zy-7rcwxnwUzcz%z6b`6t(n5E$B#M!4SXAuFfckfIVN4F69`|8UL0YCQ=z1=g(Sf~x z5v}z~-6~txhJNXtnzQd2@}d_R-v&F<*erw}8BHk@q;Q4~4+qQ8;t*z_?)Hmoi=!?; zW1sK7A3dt?b^EI8$RZv3c=wdNe3|Htd%TIvzy1R;JKpeR%ina#CiJMXxso3!xumL{ zL_}*55}`n)?MdsTHKbiEO@lrXiUyU{qSgg)kXLqKjB4sbXqxZ+Y#npPXa*Jkv#7P` z+wYy+V0Lf6Kel_bGFyfJ(TV+RO z<$$GSh2v9nwS`>>wKPG)Yy6HPs)aL@^`bT|PPZ~mO_2#K4+LU3_cQ@{N4njl6MR~$ zr;H&^**w%G*}(@X35R$|xy#$;o+FOk8%X%0gZm8dK0tafTf zkQALX|x}f?VK8=EABCjWUeF z89LZ5)b#@DZode$ID|P%0%|^*eHaTe{?~CaGg7t1%ssuK%DTpTlc_j}6^0dwk$?)-T_dQ7y2w2#HW2Rg$+RO@yi=sl+%y>^h1Dl`)wHT_!%| zuilN7FTXY#dyupxP_g7*sPy)i#aHh=vSoHuO#Wq0*1!1!B6DvKqw-^2VmF_v=Q8i9 zP*5}%k|Hc<2JX5-ZZECY;_|nnh{_j~@m9YB&m41q_UI4%J$=|B{cMPXHr>zr7^r&K ziheAZ4RXH$^BuDe%s`@)e+5BB71i|4=tkm6>Nu&5Q8RR^-5p-AMm1tip&y^ z5gSJBVm16KhT?Ku2JEyv!3d}K)XYI!_EVlQGoJc3kXgKtqlQLeM=)~b(Sc*7!_*1T zFSl{G>Ug}eE}-Fp78G|hp{4-Sd_I<*VKbp<%=e5ajEV$jLQ4j}4p0Z0qfEjgKLG01 zv$oU-*8Y1IciT}mwHzisU2G{I$dIB(1q~{@rmG8aQ)2A*A@M%gNvd$v@N=iz$n;9S zbg6FhOT#i|?$=1}iZs}@UdHr(EV13^+EgEzrc5apg)?+e2BvFqpqMLdt@5HOzomOo zfX1Y8_dGjan$~N&d-$N-9!O>O_}=i?fwvTm`FTNn4`ag*|C;Diul4#D;xscRh2gazt zhEjG6H(`;Uo*fw%rg^N4*>t zn9g#|(nj$SZWl!{Wg2sqUnQ`p4~#V*`_da1Hh{)4H|Pr2R9*QUPP*g$ctup`CfyvS zV9Hq7Vns|5*|HW3VB)7d3@{jYNdKV3zjUYLu-Q|3(o3SKHBDHK4~d=71?`Mr{|%B` zSQy$_8+6kNn=mpC{nObH>tlTvsf^^*a%U#ho!Fj!zbBzR+f(__r20>EO_7DpzHU62-m-x*Cn ztH8=YtFSnPGS}UHacyzb2P8gUdc(6?z$B>)W^|oAH8n2YO;>xqfA=|qI`W&?pZLk% zAosohjCUl#WA?RED>-xF*G2@X2?ah%UXIcl(lTxh4f-$)sd2ZwsFBd!5D@#Io@A}P zTY^{aQp~`o!`>drS3^XMqp-w`i(6(9Kn ztUNX)ey$r^3e9knk5!5x44sTP$>!GxvGl`sp_#f)>~P3-srEbsy;*hQwNi*!K?vo5 zKoB=`6_3=jMfc)^SecIcY7H2~t)hXp=3q-&#u%36nAfVoqWhDnGz2c9QNKkTC8$XQ zDN7NZa_tvm;aS0Cg`#$O(7#TjiwDj9;37s|T=krmid8nS4)IMS+qVmi=A+QvXaPf= zNZQ~OP3JL2r3aUhWgbh*Cu4i+SEcOI4DasQ2SpVB*aUI``Uy|>dHH#~FhBXBu{mf- z&bNOy7h$Ik7gk41Epf3q-!O4+0(=~-KZ+yq(cBvaG%x4GAq;2-qdTuQg9DdJ@CXL) zHhy|tG_voFiD0ta8w~dhj|^PNUKbiabq}&RU>ct8#A0e54!>V2e%&8X89t%e?jGx z`n^H#4u4Y0UD)*OI#GE=N*ninl4(({`OmfR9sp(D2CQQy-tswCP!Bu|Baum^ z`HO$()f!mnO%*q9hxl$C+ENAsRi4dB>ylj{sl;4TR78O!^`b2DL5`3S2Rpb811&1P zWn7X+I4k1@Wv%CK5tjlG6?;s?q^!z6m0x5f*kbBndFjQ(ZvfgRd2N z79H+%dQzeLxoUKK$Bw`~57@k^_ z)+L)LgmF3x1-mgOmX0iR&IZKbK*sq~SuHb37e%p9mkMBbT4m_EW=R6!j@Ne{daKY& zHbj|wxALZ#$Lq+7oxAoxyWz4ytiyxda` zpZ>gLjCh;9A7F()K4xkhSRFBvq&Bi9AJ__2Dndd6iiVoJ;B(rkeUka1_XCHltMonJ zxAVE5A2a9QczhHNe`R7;&pjg;*#7%w$k>9)4?YmeiCnvZ?{ZB}l6VYJqGGImSY{7v32Iqg@mS>8tjEkCiw z1mFFD*mGY$ZFq+UQEA}f32Xl6RpKFMOduVtMX-!a%>ag{)})D0btH__S={CMa{roG zx#^5wJRvCC0jKj?ffP21hj-I+ZY+uy z3YozN0Yk4$+vQ+74CtYZ08p6i5liRlL2XpOB$AIfAmGz<(_kx`RL&|0qw^)+{wo~C zybP`}I0zO~fnYVU3ok}#gKV3yqZ3quk~o^8wQ0L{*{~k=VLT6dfJ4u-ARWO&;~ajK zO$xTZRNt3zA+OlV@VnBXiv&2$vQ2cc1H|GOg2;o6PDkh|DzAJ2pIw%jIbTkLUq*3S zl?b4yV_+TW&`8&S_+!{cV3TiV!hub)UsgcXiA|BsnZOulVp>Cnvb{t zQrN?P=Ll0eg3|}kR6X*xiOimV#st{Ym#cU031N_ZO|}<)((4D`zD(qn$GfN5qjE!Q z5iA){Y{2l;nlurrj)ZYKiO_yL*z4Ut$wX3Nxiasx2#c-?uZ%yM<>#{GB5yQ!6{>ml z9Q(X{)cc^!_4~Ae)rE?B0@E*Sm(yWH)Z(-g^&!MfuN7dypd6|J?qCDQ#hCZgR!3UEEq3t+6Eo(A%t&)?i$zjlLTDFN!z@n=WJl%2D;dE>> zZ{i-NsYJJyt7a5CF&HOaDMUqxd-G3;V>u;;(tUWh`BJ1GU zN`yoxP$Z%_NLwQzXTtDQr;i$iGNev3xK5Hy0urBxF7+kGHeVbG;0=x)khU(WK^h%r|}OEd5=PvW#2^QHJ%lFDNG z<$BUZ8T0T?T9m8Ex5&G}$(9o;HL#ux*2XFvahnT8Dch%jZ4^D#&00vhqEHfZP3gCd zNzF7hrB{maxA=kRwZ*V}>R3^~r`~w3+ah}PBX!VIy4IN(q8tet zRU8XBO?=?F6lrVR$c!*Nc{m)HfGSD0rl9!EDMg43pWiR}*GK70MU=m7bX>mk2NI2Z zXrc!_D(T;OiVU2)cMU#1a*Sg6Fvh5g-VAeAT>_QDv!w`S%b3*yG<3HlUC?eF3FCAa zcxmXh^$sR%USbo{fk%E#GZmL8J&aC{djO!dKXI{b6UrJ+C z4L6Q-he+noJxEB96r>cxBw;_5La+IR&zusjw|9?2<4^2lElZ+(2-g2L1-dMbJChn7NiL`x(UNmQwn8B zO%E{%u3KjWBLlL#w0{r-zG*;mrR-@&o;+Kk>BMT-@ zH7_->Li1~+; zFk1ZL_KF5+d~Km^Lpv5o)3xNr3{eKf5sPc+p#3K#a8nNkM-o7vVfdKnw111mh&L(& z+XJcS@h=8r<;S~%;U5lqSLVKgHqHcneOvCFG3JTEFt|KpyRZM(wvw~<+`tS3mPE}( zNQ44KB1&`8I%#VpNJDvB-vU(cERWOCWA4?ae?_*w`{s=t&t~gm$~vB zmWm#W{(biE@CMRoZ)S4)B@&cg>3S^AnG3(xB9txTQ*6NSTRmSNkEWWKmwYfn3 z7y1W-+;>bM&sp_sfbCDiyCd&2-58RXmUAa>$Boof#dBe}qG+%pipAgti(<}U?4^W` z)EuKJ%blvpb3_L9#CIQ~0{MzUh*3-Hn&TW)vyqkg5!CP<&`~gq#yk)^iME`bP>Fd_ zXR8nFNbQDYxYrr9b^eWrg*t2TWBYPA8yp^MF?M!b#V{CpiXTMMWP~arnh}J>OL;-D4IL=ig>DGk4FUB&JN}J=C>_HicZxr; z=S`8BIeDmt!_X*KG*Hf%9=2+>h4*nRh2N6;c`1@PEyOF)zJ1|Cd4jg0mi`>Y&#A-ximF)fDKty5QrpA8ry^)Gq0gX&d2jxmeu}AVhB}gZMs~^gV!+!Le$P zT_j0p7hSY>c2|Nk@5Qf0b+Z#<2l0Mwh>lcf=5X&|qY<|+hiF)3@>y=+OT-*{azf}^ zF6<1XhxK)`Bw8_{3EnrbSEF5JCIumD2(SuR%+-^;8>YhQZ_QxJJ(?ZN^`VXWjy+#Y zPsuCy_^N-}l?h(=B0IfKE=px|1PMCVqx!ASn&A46RBpp*0@#rcOYVvs205?%b$k7r zi&p-mYuscXdR@c(E-x7W&N;q@Kk4#p8lzfxOvs8_>$WWP^b#M0E=AfJw^|a04zv_5 zOhA<+n{+-F`-JoR21}qyN9p!oDF-)%(vPoUgj3Ic5R)-rl*7ky^@`(4cI!n(%`H-Y7%EvA#$8CAVfq&Ufgrpcisw8)m){u5VQI$2i^Ym=k1aTWY zwF@UM?YOUQu!)-|BiD_ut>E9lT zOhq73k~N61;DgX5NSkmY*G?jkK*7&*stObbg#4MyJ%w`~9jgMRrM!kexCmkgFIF2c z-4a`vi(G09x(2pNy5<^ut6cs7J*wgEcZ$e z0SRfYR8icNHi*C7tqw8t$0b_w9* z`|3h@kvIm1U0ihC);Y&ee*&ifYt5*a9FB1u1~)YtGEyT%tx~2g#kGUa#CAP zu%4-EDx5;&ff?w4ZG>W%rP#78e&(pW<$=Rt;;cVT$PI{5KHg6F(q%kM*I*G0SIlG( zJ&!|iEEhOS<&@!fiGS}G9CvW@o(Se-@3H0`-k{vuZwJQBu1)r=iJSeWy&0HR@#IO- zx$4xPQ{so8NSOpRX6T@i@jh>HG`(Rq1|&Y&SAt31{q71A#%}|o-@L+>hW{$C^ZV}+ z@6&zWNz?DayMGUzVddSIc_wm@* zUv|E+VZvOuE*J$KgsQNAY<$;^8(j3-r4$(Itpms&I**RzV{tZq70(}7U*OhK?Fl^D z`^$ltvtdeKo{%+~$5Or9QSOdLM9l68Q?(1BbZe2?ne8foEb zJ3~fof#mdIe3^CNT${foTkyN?UT3`#>-*EY--&I{PO-V{JV>Hmi$OjI7|^s9ArT5x zilYr_Tq_z#=s~dDnp4m?ERKYP?gm{ZKF_1Sh}YFgkOHh$l&_y`-uF8{Gk)=Rdq;Ht zUq`Z2CM5I0wUOTX6HlI1wD0$xtZqH368Nw>TY|I-@;EMkJB!?BpxcWaG=*d{ z=FMo(EEc%vt*CV$m%z6MWsdQeU}r}9a^n`fI-9@cz^&FajiO{jKjV-kRu;6}k=Fw= zjbG=&s$t8B>c#e9sc}-RLMUX8j>W6QYg2`bHIPfl<_4rV2OR}vbX_s8y%+6Ynl5*6BDjEjP(S&2`hG^>=nq|peUP?a5D}zfQpM%2`q!c)+3dEcRK+s z1N91=9z{EDr?162^l55{T}{;BK+lt6G)i^3;Rq7Y_O5MlYl zRI;e}$zk(@bXdF&89*6DIQ$|sY-X{NSg{Wy;u>mv2FGfKg&}0!1S}c0Ei*7IACH3e zai|QoVS)^N4Gs>!tYWCBlXQya%zG51Fdr+pU3%dN%n`a%s4EzcSGziKTCqgBk7ETX zun5PL-l$CFRE)vpO^fSerE8 z%eFWjg(rGt?D;~Kv>C8hi;5B){QJO_`UuoVD)torCg-H*)}^Q=NXdg$<-U>}`Nrm37NDQo4Y#W_x`McC2xu4yba7Z_Fv z)I+U%06GaGxqd1Tjkg022Vi9ezM9Hpr4l%nA8bW;9XopFN6wI2yiG<13Rb1G&L8eN z{&ERk+!U4VuR&M)F#hQTnBWnBG7Z{+p@a6$bS(~4h68TDxVAXz0~Y&Wgw6#>Wk<1B zhQ9qaHKqN%Q}XXmFtcZF^JdDoclq|-%A|yhAN}0XZq%7%1 z62>7K^kL}RRAo&Ak})dF;CoMYE8yZ}WM%J8AFt+KF(cbAm1%Qsw|dX?%eq_dK$U#@ zZtHEvXX_lT^nfBY%t}p!Lms0dvreF17K2wgoiHlqMR{o03+C}fhl$_wCggsDmyJF! ztFjZD=ixKAf>rKLtWt<BIiepfgre*=j%Rz8-3mI@SXl>&OI z8hVO=ctD|zY6k1qgo`VYZ9g%mC;I5-llFn0lm5unyw)oQF*bmD;GaCf&1+%gk={_hF}x%&j^AF;F1Q**w5<-I3*VGa{Cdck|J zABqF7n54A`iBOFq4*}LQypR$ zv*~d)gC6-MF>(`Za2zIT*m0Oap-;m@;o(7(n?qbI10X-0z=6WJHB=aN8A1(H=;K%% zVyx(-L7y|qi5UqIG{MZp)#ng%N%oyVU9;1=zT0YQv0QXhMTpxa0nEWH@}aXmt_6Lw zWGmAQhV4m}J6Jn_lBSj&otE%m@^A=oSmh4|hLM{# zWy+AcwgcBmwXX)m&$v=g%6M&o3SmgFC|SO*Z5DI43nXoMF%b9C#k}g8KfBO~fy&@$ zNqT^^Nn^@5odzHL*e;|3i=?KIlR!afLTnN=ir-vK2oLQ&LlO83;Ppu#gHRfX+O@DH zy!uXyn#-XRI!E;=d!x%{)TEOIMq4$kn=Y^>+{n36l?}zqLn(%1Q}^ua*Y2B+d$97+ zyJrjFcJ-JGuOmi72`y9eaU9qR)v8041Qe_h28W=A#NDELACB$1An3D^+QK?duErKR z*ZinU^aiYb3I2l=^N$rC3^rb7d6{p$Uo^WD%NBmn8--gg6Zyh7H_+}J z(OLvc4ipM7JhdiGgsLN9oKApDmk$5I_14b~N>R6tO?d_@TuPVeWZ(CX_s7C>u#_=3 zEx{Z<-?Mbi4KXPGvV&ocTxy`b0UvJ%^?JM<5k+X$J&YU#yd5e8o6L|8o+!<#YSQb3 zSyK7M`tY#yWfXdu#nYfahnA^S(US6qHT#f}J!B4tiGjNE?T;G|MeV%_eXs~ENnX)& zpl{|}FnP4L2PQ1-=%XWrRxgI5T&Qu{Bjr(yXcfhv3Vy~@?`devGEX={iyx>C6%xl$ zhwVTP>T8_6+Odh(D}&L=DP%zLfvmj+8SI{mAKtOS?!^V`{k<3Uzj$>=O0pwNs3;l% zGTg3vkdT0iiZM8nn{B<%g?B0gQ(@1%Q*N9p`BU=lQ~kMZGhw73?aj+@Cb<2EDfG{u z{B7jy#%j(|xq-lvh$cwID2|1EB|h*>L}^aSVs0QIGs5uHltLL&rx{!)$tD3cAC7)Y z-mV{Gv@}FxW$e@3y$(-!cScfHKRW*FC&}LIkHr4br!Y1)aIp;ynJ{NgXf1+ed};Qv6q*hz5KRH z^a|6qtd>aV!0QN+B^zy8Nlf~}b|{<}{Vn=OZUDWrRP-B}mUNonibXXVLt@hhc{)`hHtXDbmB zp+K<|X=@50XTtDQr;i$iGNev3xK5Hy0_uIX@~JHa7RlY@d7<3A&d!ZYLCp8}diVB! z+K+NS@5eI!-bg>j%c zhJIP!A7~IM)_rcYq61h~{CWYb!T=kmdvffq6U+kRgLhH<mtYIk zx|Oh98IIU0QnP9y-0YcB@~|KeuSWvMLR~OPqf{FlQo@0jYV~3y-KGTQf_s}kD3<+m zMkwJ>na8Vw44k?US2?Q8ff-^N9ETaU|i8k{K@07 zY2Mc_nb7lQdS(logD`r2)JSQ^1_?GEgEa@gg_#F1cY-Aq>U8A}!HZhHY$6mqmm+PA z8<`P?r#gMqD3l?!^kNcRC&|_VvWtBpQ@wvNYFmtM?Tgmpbu}+@=Y;>AQQuB3QboI|oJkc9Rqc>}$ULLVXLV(<=@SpG~IoGcCx znskJ5q+3p8b_tmY6~qDbF>CO>`-%xwD%&vL&x&`(Spv6}L<*TL@|$s6M+LhoP&$M4 z2+$IKfbs!$6n7fpkX3c6N?CbJHdQjTmkJ`bYylc7!`Sf!9F`$g@#0^_#&ET|FtGb7 zyaKw(K`0oMOkXWqJMQKN{t*J%cEl4O&B z#Xf;iyo;R*EN?OUkgFeHsB_m{m}2;cH8b+{OLgGQ|8sH*n=4`1_)UvSDwQAQZz`lR zs^y770fwj6q=`^ zq84-Qh>RoMG9#OI$`7U-I45e#80ch4Tf_>mB^>LwDt^YNS+4Z>7Y?k~uxtRalp}AT zi}g5^JqIXI#x1U5X!Um${`gKZ!CXLyb4d&a&!G<^d6<(y_X?V-+(dAZquT*c`HBOE zo`y;;xvti$$Z(9xlPva-O<*lTEtR>75rzGQ^aY<()7({d$7D|QgxA(%s^($M%)Bt= zcL^4NKJo-MYTDBWO*w4W6zfutj7Dj?mPxS;DTCq=Rsur@>WFKPillunFj5MTiXMN5 zA5Of)0T--6&zAda;l5s#flI=P8@@R>C>xI%EI#oGQ^{EhyEw;|M9oD=gaXAZ}@tD#jcuwt(Z9ZvrLyISQIWWUI_&~2qVQC+Zx&c zo20`7`*Wbs`{UwuoI!L+ZAH^q%j`$^AS;wpfNHFkMHII~1G6l}p`=*7aJ^;8lh6UH zhF?YlqHyGKi1Gw@sEJVFYDEsOpE=1EQ=v~Fm>;FKvfflBUNF`t)}pI%nyGlK*0a$o zt&?na8bb-*$MK%7^%T}Kr!qGgdQbNt_7_q6wr+%ZUFF2;$Pl3oP40$pH}(SR@2Q}m z1sEJjK>e&==1#InhaI_dM)p{2vD^PAFsc2bv!mS-4g7OK=07s%b-!sXX8rEWi%n-$ zp@<2aiePELTA^B!v^8#VRFs~f1HUdzK$Rq$sI1N>|LS0wA!&%__j!Y(-RnFJ?+CTL zuUGtU+!bZt{4;;6-i|6cj2>0#i7v-=R7|b42$sRs0yK2DCQXE@BVn9QBPY*wd5=19 z#m=5xlC^)T*k-`Dej~>;u%vZ&8;I|@4taGk&9B@G~nh6{* z&0vOa9(BWTp`sAIs=PC+l}Cv7nYGag&`aFz<*`0i`p{ll{dOfHD}k~BU0pLYSxRSk zs$hW2%F_WN&p=Zowq#%{ugSxlprNX|dz@G?MjMpdgdNo&+JXb91B0WB=7YUBJc|op z3Q97(aadkCG9&2<0;NLjJ7(4?&v}ed-9PzJxgk&qjeJIT$t$u;riQ~F`Ql*S+g-40 zN>@6uI$}hzgiMWwPqSAXY-!^%7Y~kvq**{cY3942alyzPp_HR8u{}}Pmz%Tpp|2h% zTOPeM5RA!;$}^YZTkjv0nyIC8v?OURLLw9>5>c9y)=66;#SF20i&N=&B>{w*2a8_&WK2!|3^oJyQFmik5Eab!5hjwidxMm|_Elr`Dv2 zP<14XLn%9qehm0JAbJT+l$=;u%rG!}h9{$EW2ee5UjfBIe=&CXrs_KSKep3Z$U{(9 zp8P&Y#b}4{1}U9h2D8d|*AM0v!&ZJ)%lSaN49ZK8EECs?LdCjq6O8v(S`@#kvPC{i zciN>#X%R!|-@JxWj=FXT*A|B?3dqtYTZuRP#m# z`3e?VrllpTOp^+M%;ertSiC*t)c1^2MH!j+zmkVU+qDG_#+_A#!{It3mz{>;IGEB`m&xVbFQJ?Oshbonvbe5~09E$*47HB2*m-;}CUX*HI)5 zM~#H!4Z5uN`Qcj(snW6elqmY0m$9Dey0-`Afh-oK-|tm+O3&N@mEGSZ=H8Q+(3Cb8 zGH&S-p^&SZD9Rir0S!XcaU*FbK&FeZ3GzDOB4~ZLhe4<8H@6|OUjejC@v_{XSJYwu!5)}W?aLkGL>pk z+3^w+f{C3vwtDTez$dR|39r^4a>-rAj8I|y-IaDDJ}Xqk5aYt)2+??*Psdrjs9de9 zVGf#ta>DU%W4Q}dYm{8p+m=^n}f!gh|h_wlWW{~FsxRr2?_ixD@9!Z zd=`-w0tTi-A4HGp3zzyP|HP<7e}38c$jBX6$i$wmZrOB7WOCn~5q)7b_o$-DVT@@y zz+8z9zz1KJ=$;2K6f~O|E8bi}G2PuS(a48Yp5Kjz`07(uSC6VrE#0GNVw~Vzg!=Wk z=S}EUp4d%z+db+VmGbvzQR{po=6@5F3!FHuSR%LDCr}USv89+3IAVw4h5C}kZy5)s z<6&p32L}j~t*ydHlW-)kVhyO!$&~m_0As<6%_O(02xiEbSBHv&x^Eq7q8*JN#Y6GK zV#pPB5!0jD%CGVQW+z;Uy?QWEFNzx4f)f-}HDVtlF0lbc@QrIQ?xGr@**avfG9M!B zA;xbKJKokEaUi+jZ=D6^h(HVQIhppgb*V@q9-@t1nb0^}acVeVk(kAhao>!b3 z)@8#yu#8!@7DrsRw14FVNdU_e3q1)~>|@pRu*02#K&JJ^-qGwsmv~V=zyr{`w&Nwi z*Sv}HXFnx!`YF+w(aU$M{(Z0d)nwZ9kT}RQNGLkpw zvWXAr+5x?9`@RpLF~=B{{`{SuY`+B4b|1lpRO^qCo?AcSN%_lIg?9S-!Air`ju*p9 zIGPI?*XTqjkS#%41$nGh{&oU&CZx57CyldWBkZ#Ocy|z$)`{BN{Qj^U1_Sma$o4`g zU0dHWCT@#o(o(8agH)_>(wigyhTrF5flowS6Sh|_BBw%8(&)odyc$p6UAO>89KPo2AG(WXY<}!B{^Y*1< zd^>ikCpmtUt$30@Bw1iQ8cKG$<&gyyr9bP?$jdf za$Ly;<_}MfyxfnF_q)f#fq}Q6TlA4W8Q$1$qmlPxMe(y;k@TE^ciLQ-k*2wj6k#9{ z3Kw;SoCMT~&_F`=orVTZ<4W70aE}8}d%TG?p@m~?egDY3Z+pt`w?pzoFfQdD4N8|H z#0Bx{Hy6TXL{k!Ug)(UwvM~WmG?HlyR^_`c%{d^q6c$hAQksU~?i^0KUXszLTL7@88>&mBGi zw5%H6GkEa}Km(Rqcm?%t_LDXv7htIPalD6YUonx~KFY8druysf(_okmah6V^jKb#C z(1BuIYLCh?9`lO!CT?ALoeCZ7j%52MFyOM^3xg-uS-jVdJs5X)@omxyuNg97&YaL% zghVLtQSxd{nut(GQp-gxV-8H1OoJ{Pe3(jmFhid|$MJ5|fk^J`Ghh zyIRiLGefB2Xf7mqEocVr8c(eYXb`H78%YlfOe)tLDD*r`S^Zbky0Bl~E4MSR>>?Z# z4mRFFC3X}d-Ag-Q;SmF|gRdL?0*xAga>@|~w3I<{ot^!KrVdaObYv=CJ~lCn&8d1_ zxuG0Do_eXN6f2nk!%wiF*pxg-8aGss$F5|V4ny9d7v1N3k-b@iN1lkzmB-f>sjEnC ze(GS7i|=s<6LCp#l+-L+LkIKQaGzF&#Km7Nbham~G>W5Q22~%<1IL~WXbn`khl+nJ z^8AO5-1tqT`L%U{L9%K;gXhN@_YxUYlWon5A(#B^Qul)SWIAa{e1#H8`pQ({jZ*{PBSF7$qT_wVlUIo`zds7XX`b9mgC`d86 z5Xx{bKCgLpd_%2iZW;e7^Tx*1(9zHfsJs1wz>Rn|11fs_o>apikN9^7Yq z{fFwhGV{V|ZIVr8*j&iCE0l;0CQFc3nI_{djC#ZuojFm##*Nk4n7+EA&#aODX-3G& z{atn^93yVg|0PkOp%Sxr>A8)i&;Lu(ft#$!PC>?0B535e-MSn9T~*WkU_}uY97RgV6Z=i&MP@O0s|04n)UI^3y6AhIw% zN*8VG_cSPgJLPk*0_-~u#~7EuPSPh zS{i*~zU@x8@k`Qegj?Wl+p$T)@@rZvYS9e~^h-(h07bRid$G0~VVUMr-Su{!*{cg^ z^dU)G<3jrAsV$DpH3I5?RI-tZwtJw%yJhKoNfzQvR~u6xhvzV$($+x^w=`+a}TIaObu?t2=i zi{x<3t=>Q)OzjXaY;|)ff;jC!BsbkqZ`M`Bk3ptyG7597sxgE#z8L1yoA|YR6iJ&x z@gE;@@4Ya-e0GRveVivOanF*w>ORhd_ipfHfc%3}_;Km>`6#!2dJH7M13)qnbFv3C z98Ssef28ECIo5Pb5tZyW)!+Q3P=(`O~J=V6;!RhKORmBDN4)(-d@+NDJP8+`udb)o*?Rs0hT(RV+MpSt^}J3{k?WoKkr(w%~$J(Ge+ zZn~k4TU%Ay3?_Q|drwIr{^A2m@!tBa@uTO3n*3pQWd;kqjAJC9FRuZ5jeAk>dOV(N z{_k5-dvxMl)o&8dOHuP?O89+Nv3y7a)h>LT<(n7$Q%2zC2t?0c!1*>eAM%(f@(403 znZn|cT+iiTT}<#L7%mP~yb8Sh=SqmrPxQ4vdtIrcRJ z>s&C+fZ{SmTOUegQs)1S+ur=`uPo3W;76tZYdU@SyH5!B$4qTxNiqNwLE#j5tZEKJ zEN2*Ml2XJP2%l3VH$jBvh^b5i!E5W*4)_|{rR34O@cAcyGglA)c;2MR|BuwTnGnCx z@4HRW#Zpi-X4(+RO=h;Xs5{8TVgeTv<<1xZ3TE(HTN#&Jk{&Y*p}j8o~*n` zA9&eUbvG zq-N?GEVeGkb~WUgzz{W&whM69)X;zrrF~QsjvxGA`-|WG?#cB2w?Fd2V)4UkGyN8> zTK4};JgvHl2wShse-*R;s)tpb<)JN!`j z-=i`A>ihUC?mJtz0?;(H>}$8(WE|l?SaheL2w_qX$xS!Zaciqen*rqqn8bP3i62NL z@Dpt$&b5gFgBy`+wG`@g#Pe}H<_msgZYQY`MS0PooF*Np_Vgl<=Pu8T_Oc$H>?G@R zYbV=7F`8o1;Ox*&wz_#%H=7EeHn*D_qburcrFK38C20;w^3MU*=HZXMEW{UY2(f$$ zx5lCTwQBzCu#r31>|kP7LuSgDHA$F^-Fj2Zn*Ytct)HJin0)<7?ux$hd|!iu!Q+Tow!yY_;m3WdJ&+c=w4bsiJK8Pp z=E{pM>LEWM)tE$n{AhFCH?h|yvER8^Pc8d8IRg8)7d-d6=OuLnIqsbTm$rp?QHY=2 zo2ns@WoS|?b~WVT&Rwi&!DJ+#IBP0idjkOt?NV}EVP40NU37Fo=iR301n9cBY*Ik< z$<`Ev%oNFu6PQ70jyRRUYwOky_!`$B8b^S+&XLTEVy?R_x5HOF@Y3IJ>u}j6dG?WxExbJCgljyS;*sqs*He~J6)ezpXg5V{OaiX~&6wh(Z6D``NJa>+`4=QrllrsueoPfu9#b+GnhQEAP6kzy72xtvB~<~{ z019m_F^!GlW6?=-S8ShR(ahz#GBsbkqo0J2g&44nSOL4Z#2Ui2eJdkTO z`R#!`ADml#)vi&U?z)S#&=a|Lssii%oPyPH z{_^%=iDtOrxzw8?fmDQV4Sdbh5D*uMPu(>k{OZ2sllHBa4sbEDq&y2mR|+e`-mqwH zyP%c zC)ijBo_jYv3}^t03;qF_oRDjRcc5Y)y5ipR*w3I$3*IW65f$XxumfRJ2}0d$4TQ`? zi7M@w)4`}jjVhYn!RX!==M1<*Y$qF^?P%G~zFU(@Ky%K6_Y$WIXS>N_s&nQJ&;GnZ zBdG2aHZxP}HUd>-_6kDfU~(Ux=eNb3!2;;zn0sMJ;oGn(c@=mouM5Z{yKt1Ihxqhl zAwViT+%wtN5Rwv2z%S$f8zi52lb#r%>wI5H~TS-VAb?Gs_c{PAPd1aQ#;9wcg5Ms^3shs zk?t?Mv!GAk-8et+(|l+bQg;npieBTl>9#s5J^pE{sn>d)?zrG$At<53WABa%?)2p8 z>8#W>Dv6l7Q?ShkP#TX2G~8^^hSKAz4dGsQ8qg3I6WrG2KHZ|D!siZ~E%R55{a47z{v#UfaurATe>!_ku-Gj1w=txFxHRnrQ0uHdvei-TmFPuRN;iuuwyAa6LV#3wIE$^me_q4a zNzp`<>Zr)Yoi6#LyOF`5CZZc^nhohd+JxP-6C`xw1v2q8ge3*X!IQj3^%}!>0^|9t zXwO3>tD_>=BQ`j4(#*d~tpF=f>KfJYR+Ezorj|viYgD@7 zJKBx@0B5OU?`e*-P7^WH6MRfls-xQXU3OlXHDU2}+CqR-c({wLuOTEV__=#6X7gel z6)V-9f^B4g(u}Jc8g4ddL+R0D5bkwUC!U6|qh zqV)Wpct>8Sk7FU1JPWGiRiJiMd36%#s9wv2UUe83gDP1SDAiGAIolhg$&lG&0I3Lf zS_@x8NJ=yT=Rfs(Mp5gqXV3fHDcIHq+?o!$p%>dx*+3MdV468Hx!!bC*K%Ud;XBf6 zRNS{pzsPTxrRoL}fLkIa%HOOh4gDxG1Gpz=w0?(HLz#_>McLV)xq zeP2VysNi&wq~fnYWy~E>E)L$tqdNsf2&Wy0$d(}R!*fPK=sERaw^qe&Tj6za%a0b zY~cOF4!?KwdtB9D_I9?5!RanWBeOwA#V+uwh`tJm?%c!_I})}!s!$MjnsznJTQI$q)a@j)&SwSB1QxqSh0w{_vF6)G z28ce{nu3s-BDv{qN1Vz4Y2DfZUqi;2D7cdVpreweqtYE|GP0yx2ckO#MSG?Vk=%4c zZBh<|HUkSfDm8EjhvB|fDY>84C2~8e^HZGWsgNn_b1M^lfG}L6I>4xR4q5MD*&Z$m zmOT!fh#wglD>S4E@${rw*QeM|y33yad&4m`U^(p5W55NolyqfQ(m6Gs*w*lQQs}l= zM+GiLkDRdlw#8~N+9zAXwocehTY;>@A1ec~ZcWJ+o~8vRwi4rC`|dMYu_vh7VYBBH zUz#K}p05-(jRt=tpLat2<3JHVpzs@Ol+{h${M~oMpASAx!SSe&86QPN7GW7Fi9%d% zM>WzwYgn}cM^*tYoFzq;B%D>U zsBUWo4zL2821*Ji>MB>e0$eXkiY`gk&DE#J0E@Sh1~lEy+7VW&r`sr{#;78~^gx;~ zY^1i4fwGTV!$vZSYo%z+q#%-;Ot-eG zw5d`nP%BU?P%BU?a3w2XSHtf1z(Pb!yp*iBj zj@Q<$9q=`@ONk^P-))L6#-(V?q#%-;Ot-eGw5d`nP%AKK1vI_eX(xUX1E~n#n#)c- z4FPeH_|#n!lJ7Q7_-ur0wV9cK(s<;$mBg|jt!Vzqk601DRVcr71SDkjbgzgLY#9DV zECoA-1E|TBnXM#tQz#*R60gswbZ)U2zPBMz-atS@wy5AHs6E|A=>*;?oDmUBLGYWi zt98nK+!{7A4<)L!V;<$B5;dx5dIzI>TWky@pE}b{{3IsaoAd)3;$ni+rLWrWM5N_s4#TU);>}xoblAa4mitd7E^rZU=s1+EZ0;?gM_VQWa zHZQ&WU3KvG+e6E1*h8&Gq4erSy4_7p}^K<)bdTQo31O zvF+2^D8ijJ-q#S45=}t7J+Kf$lhVyqprdMcg_Cx-Kl2H_cSY*N(Vw=m~8=v3)2+OwlHYvrpIs1>-%6;P#-y+3UFO2Dg5{0ix*87@%F$%fK+(6 zi>V@^8|$xWtPJFC&AO07Vxz=O=)b-+`U>_A(5{Bv?SX}W+_nd1u?=WaF(?2mXP(xfSl_fJX)mD7b zT{4bFYxfE^54w2k+L%rib5nrWN;D{=qO6RgZw@TAiz5}WNXU>u$OOlO>>p&~j zv$ZiRsWOZ04jmPDTTi#iJ*|icw;J}+5DX`tEBJ;eveZQbfP-Wol&!@6{r;mSKvS= zD!UqXw+9x2#7%o(7u$d)Tpw3!IrH4Q#(`Igx-ge*WPs@7*07P8BDu-zF@UsgZOMEM z8KVMsl7M`-QMxAoZZ1V*rVWwYbVF@Y4um!XN$H=)x;IcB)orc70ak$1KuO_5UFB+5 zfa_&R(Iv^cx%%`NVDVPcfTr77JHkr!bQ`7A7*#}=9!T?rjnpOxf9uJ`x-|p!8Wqb_rB=bg$akCMi*VVA6eOBNnQkSq+Z~lx4~y_Fju=yCzy_i?OtviP={Ct( zXY^!=D&Y7kuo`_z^)@e~-$gaRT7gsrGy$1C29SzyXN~tYgrr0hknc7{7vr*x3`jJI zGTlmIYe%J7hRl)?TBTN?R-jhkN>rfUGySr6^Y(&#*}n7qX~OasOhx#<9TnVZ@@%=) zk?;2s8WoArEv|Fl6+YyS>J~C{s@-vB z(ou7LI7(5av$~v0X@(tNr!53Xg@?Pw`x-)0q6x@%o1%+xji#eIVSnv@4w%mCT(#pR z%b|;OR5vXTsnTIw&O}u@>dIFuP%ALz3aHY-UCur1E0p;WJ28k$MV3JzHuK2=0_}m*Pc#TSZz+>;2(-?^>;UrmyL%v4k=2&0V z3e*Y=PytTERcZyUa0N7T?`}t&RB=M*b8)2o5~;_-z|d-y44(EK}IKEWusUnuL9f0 zdY;9VM9uW*&(4;W>FNE)KV%*)q<_en3AN~5sv(}6+-X2&8kO~j&EVk6R z%P$ov(FAO}oxl9*{f6D;%04Bxxz2rA$#enm=(%;FPX8!@A4^B|6hhIAr%ChGU+QRW zh)7B}$)@$NMFfenlkI@1rJ@@TLS<3OZWBAaKD;ZAarW)Vb}zN6&1Y`?)HAurfpFZF zioU%wR8qTj61%7Udf>#FnVTt+W>I+sqad3oqO4oehvyE$V)7O^6akitFV&31}ML*yan9fZHi%I*(n&XzbYXMt7mMzT*LWpKMLpjhhvZ4ey4E zXB_r!SO}dz;A;Sj%W{2p#B*+E0d_*tg0~9ixV9p-w^#&EpKKohKlrz9dF+%FS(2;> zSLqCk1eH(1b8jD!G~SxHH&dDBh%;Qf>p` zaG6O)(^PRo4McL&4Rx}$vs!CG%POe~tm~YD)$v+^eig81qjPR|ov`k_)y$C|G|PWa zw@FS#L^uUI`2o?#tzjcGMRJol#{p^G+5-6+GNwclknc7{7voYiUe7d=&okZHs?w%P zt-u&7F#79Cr(>*Ijj2|kR-jh}>}qHl2u*X_1vqPJXuyZkJ}EujCixT*;S_8m14JLU zhK`lI~4BGDp?i4PeWD7sK7*LfDRe58h!A%$a-Y*<+10}r^i4p-bxzKq*7J@ z_-=pn>(b{UG%aWX6j4rlU?a7S3=qCs+F7X69vC(vD3Y5XLUY6+!E5W*3`kEyv#2Pz zlSFL0RsZ|_sn6a(qGTMrevZp6nIeQ~LnJrbZe6HJ%7xHoM552WKcZ;H913=)T<`cX zhk41dsenJF1V6glO|DppkG`YY5EprE>9!#Ui0x+ZL(*A4HUkO2%2rbN1HZ>9JYs^fFmC->lQGqN(w~b~FX&wdF@K^`d za>z}jRzVK21Y9G*>3PH^u+Hj@Gp-Nbl_!?h*Yb`xhuYtZ*F)pGOR4JmGP#Z%B^%6tf z7%t}j^2Wr{Z{>Ki_aVtWo;(tR2_Njrvr*Wixl>_DJp|o)q~Hxp;Eczly23=!97g8&oAHq3 z0kSzHXZHAO_A`$eB{Yr(W4Jz+QJeNy=Fvcu<$7uB@Md)GAsLQ$t(EvYdH9JZw}LRC lw&w-L)lBeMMoZDgrJ-v)P6SUCdyZ{RD5%;l;irE8{{av$oLT?? diff --git a/hardware/README.md b/hardware/README.md deleted file mode 100644 index 0cb6084..0000000 --- a/hardware/README.md +++ /dev/null @@ -1,9 +0,0 @@ -# USTCRVSoC 硬件 - -* RTL 目录中是 SoC 全部的 SystemVerilog 代码。 -* Quartus 目录中是基于 Altera FPGA 的工程,目前有 DE0-Nano 开发板。 -* Vivado 目录中是基于 Xilinx FPGA 的工程,目前有 Arty-7 开发板和 Nexys4 开发板。 -* Simulation_SoC 目录是对整个 SoC 的仿真工程 -* Simulation_RiscvCPU 目录是对 RiscV-CPU 进行的指令集测试仿真(使用RiscV官方测试) - -请注意,所有工程共用 ./RTL 目录,因此在一个工程里修改 SoC 代码也会导致其它工程中的代码发生变化 diff --git a/hardware/RTL/char8x16_rom.sv b/hardware/RTL/char8x16_rom.sv deleted file mode 100644 index 040e9f9..0000000 --- a/hardware/RTL/char8x16_rom.sv +++ /dev/null @@ -1,2074 +0,0 @@ -// -// 存放所有 ASCII 字符的字码 -// 该ROM自动综合成Block RAM -// 每个字符为8*16个像素,8列16行 -// 机制:每次读取时需要输入一个 7bit ASCII 值和一个 4bit 行号,共同组成12bit地址 -// ASCII值表示想要读取的字符的ASCII码 -// 4bit行号的取值范围是0~15,指定了想要读取该字符的哪一行像素。 -// 输出8bit,即该字符这一行的8个像素。0代表黑色,1代表白色(黑底白字) -// 因此该模块只是一个普通的8bit数据总线,12bit地址总线的ROM而已 - -module char8x16_rom( - input logic clk, - input logic [11:0] addr, - output logic [ 7:0] data -); - -wire [0:2047] [7:0] rom_cell = { - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h10, - 8'h00, - 8'h10, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h2c, - 8'h24, - 8'h24, - 8'h24, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h68, - 8'h24, - 8'hfe, - 8'h24, - 8'h24, - 8'h24, - 8'h7e, - 8'h24, - 8'h24, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h10, - 8'h7c, - 8'h16, - 8'h12, - 8'h16, - 8'h38, - 8'h68, - 8'h48, - 8'h48, - 8'h3e, - 8'h08, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h86, - 8'h4b, - 8'h69, - 8'h2e, - 8'h10, - 8'h08, - 8'h68, - 8'h94, - 8'h92, - 8'h63, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h26, - 8'h26, - 8'h1c, - 8'h4e, - 8'h52, - 8'h73, - 8'h62, - 8'hfe, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h20, - 8'h10, - 8'h18, - 8'h08, - 8'h0c, - 8'h0c, - 8'h0c, - 8'h0c, - 8'h08, - 8'h08, - 8'h18, - 8'h30, - 8'h20, - 8'h00, - 8'h00, - 8'h00, - 8'h04, - 8'h08, - 8'h10, - 8'h10, - 8'h30, - 8'h20, - 8'h20, - 8'h20, - 8'h30, - 8'h10, - 8'h18, - 8'h08, - 8'h04, - 8'h00, - 8'h00, - 8'h00, - 8'h10, - 8'h50, - 8'h2c, - 8'h38, - 8'h56, - 8'h10, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h18, - 8'hfe, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h10, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h40, - 8'h60, - 8'h20, - 8'h30, - 8'h10, - 8'h10, - 8'h08, - 8'h08, - 8'h04, - 8'h04, - 8'h02, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h66, - 8'h42, - 8'he2, - 8'hda, - 8'hce, - 8'h42, - 8'h66, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h1e, - 8'h12, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h62, - 8'h60, - 8'h60, - 8'h20, - 8'h10, - 8'h08, - 8'h04, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h60, - 8'h60, - 8'h20, - 8'h3c, - 8'h40, - 8'h40, - 8'h60, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h30, - 8'h38, - 8'h28, - 8'h24, - 8'h26, - 8'h22, - 8'hff, - 8'h20, - 8'h20, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h40, - 8'h40, - 8'h60, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h0c, - 8'h06, - 8'h12, - 8'h6e, - 8'h42, - 8'h42, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h40, - 8'h60, - 8'h20, - 8'h30, - 8'h10, - 8'h18, - 8'h08, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'h42, - 8'h6c, - 8'h38, - 8'h66, - 8'h42, - 8'h42, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h66, - 8'h42, - 8'h42, - 8'h66, - 8'h58, - 8'h40, - 8'h20, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h10, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h20, - 8'h18, - 8'h0c, - 8'h06, - 8'h08, - 8'h30, - 8'h60, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h00, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h0c, - 8'h18, - 8'h20, - 8'h60, - 8'h30, - 8'h08, - 8'h04, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h0c, - 8'h38, - 8'h60, - 8'h60, - 8'h60, - 8'h18, - 8'h08, - 8'h00, - 8'h08, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h38, - 8'h44, - 8'h82, - 8'h82, - 8'hbb, - 8'had, - 8'ha5, - 8'ha5, - 8'hf5, - 8'h29, - 8'h03, - 8'h02, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h38, - 8'h2c, - 8'h24, - 8'h64, - 8'h46, - 8'h7e, - 8'hc2, - 8'h83, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h62, - 8'h42, - 8'h62, - 8'h3e, - 8'h42, - 8'h42, - 8'h42, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h04, - 8'h02, - 8'h02, - 8'h02, - 8'h02, - 8'h02, - 8'h06, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h62, - 8'h42, - 8'hc2, - 8'hc2, - 8'hc2, - 8'h42, - 8'h62, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h06, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h06, - 8'h02, - 8'h02, - 8'h73, - 8'h42, - 8'h42, - 8'h46, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h7e, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h22, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h22, - 8'h12, - 8'h0a, - 8'h0e, - 8'h1a, - 8'h32, - 8'h22, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h46, - 8'h66, - 8'he6, - 8'hfa, - 8'hda, - 8'hda, - 8'h83, - 8'h83, - 8'h83, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h46, - 8'h46, - 8'h4e, - 8'h4a, - 8'h5a, - 8'h52, - 8'h72, - 8'h62, - 8'h62, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'hc2, - 8'hc3, - 8'hc3, - 8'hc3, - 8'hc2, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h62, - 8'h42, - 8'h42, - 8'h62, - 8'h1e, - 8'h02, - 8'h02, - 8'h02, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'hc2, - 8'hc3, - 8'hc3, - 8'hc3, - 8'hc2, - 8'h46, - 8'h3c, - 8'h18, - 8'hf0, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h66, - 8'h46, - 8'h66, - 8'h3e, - 8'h36, - 8'h26, - 8'h66, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7c, - 8'h06, - 8'h02, - 8'h06, - 8'h38, - 8'h60, - 8'h40, - 8'h40, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hfe, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'hc2, - 8'h42, - 8'h46, - 8'h64, - 8'h24, - 8'h2c, - 8'h38, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'h83, - 8'h82, - 8'h92, - 8'hda, - 8'hda, - 8'h6e, - 8'h66, - 8'h66, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hc2, - 8'h66, - 8'h2c, - 8'h18, - 8'h18, - 8'h38, - 8'h24, - 8'h66, - 8'hc3, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'h42, - 8'h66, - 8'h2c, - 8'h38, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h40, - 8'h20, - 8'h30, - 8'h18, - 8'h08, - 8'h04, - 8'h06, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h38, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h38, - 8'h00, - 8'h00, - 8'h00, - 8'h02, - 8'h04, - 8'h04, - 8'h08, - 8'h08, - 8'h10, - 8'h10, - 8'h30, - 8'h20, - 8'h60, - 8'h40, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h38, - 8'h24, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hff, - 8'h00, - 8'h00, - 8'h00, - 8'h04, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h60, - 8'h40, - 8'h7c, - 8'h42, - 8'h62, - 8'h5e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h02, - 8'h02, - 8'h02, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h04, - 8'h06, - 8'h02, - 8'h06, - 8'h04, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h40, - 8'h40, - 8'h40, - 8'h7c, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h66, - 8'h5c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'h42, - 8'h7e, - 8'h02, - 8'h06, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hf0, - 8'h18, - 8'h08, - 8'h08, - 8'h7e, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hfc, - 8'h66, - 8'h42, - 8'h66, - 8'h1a, - 8'h02, - 8'h7c, - 8'hc2, - 8'h42, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h02, - 8'h02, - 8'h02, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h1e, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h20, - 8'h30, - 8'h00, - 8'h3e, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h30, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h06, - 8'h06, - 8'h06, - 8'h46, - 8'h36, - 8'h1e, - 8'h0e, - 8'h16, - 8'h26, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h1e, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h6e, - 8'hd2, - 8'hd2, - 8'hd2, - 8'hd2, - 8'hd2, - 8'hd2, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'h42, - 8'hc2, - 8'h42, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h3e, - 8'h02, - 8'h02, - 8'h02, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7c, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h66, - 8'h5c, - 8'h40, - 8'h40, - 8'h40, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h76, - 8'h4e, - 8'hc6, - 8'h06, - 8'h06, - 8'h06, - 8'h06, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7c, - 8'h04, - 8'h04, - 8'h3c, - 8'h60, - 8'h40, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h08, - 8'h08, - 8'h7f, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h78, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h66, - 8'h5c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hc2, - 8'h42, - 8'h66, - 8'h24, - 8'h2c, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'h83, - 8'hda, - 8'h5a, - 8'h7a, - 8'h66, - 8'h66, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h46, - 8'h64, - 8'h38, - 8'h18, - 8'h38, - 8'h64, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hc2, - 8'h42, - 8'h66, - 8'h24, - 8'h2c, - 8'h38, - 8'h18, - 8'h18, - 8'h0c, - 8'h07, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h60, - 8'h30, - 8'h18, - 8'h08, - 8'h04, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h70, - 8'h18, - 8'h08, - 8'h08, - 8'h08, - 8'h0c, - 8'h0e, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h18, - 8'h70, - 8'h00, - 8'h00, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h00, - 8'h00, - 8'h00, - 8'h0c, - 8'h18, - 8'h10, - 8'h10, - 8'h10, - 8'h30, - 8'h70, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h18, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h8e, - 8'hd2, - 8'h60, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00 -}; - -always @ (posedge clk) - if(addr[11]) - data <= 8'h0; - else - data <= rom_cell[addr[10:0]]; - -endmodule diff --git a/hardware/RTL/dual_read_port_ram_32x32.sv b/hardware/RTL/dual_read_port_ram_32x32.sv deleted file mode 100644 index a747a6d..0000000 --- a/hardware/RTL/dual_read_port_ram_32x32.sv +++ /dev/null @@ -1,25 +0,0 @@ -module dual_read_port_ram_32x32( // 32bit*32addr - input logic clk, - input logic i_we, - input logic [ 4:0] i_waddr, - input logic [31:0] i_wdata, - input logic [ 4:0] i_raddr1, - output logic [31:0] o_rdata1, - input logic [ 4:0] i_raddr2, - output logic [31:0] o_rdata2 -); -initial begin o_rdata1 = 0; o_rdata2 = 0; end - -logic [31:0] data_ram_cell [0:31]; - -always @ (posedge clk) - o_rdata1 <= data_ram_cell[i_raddr1]; - -always @ (posedge clk) - o_rdata2 <= data_ram_cell[i_raddr2]; - -always @ (posedge clk) - if(i_we) - data_ram_cell[i_waddr] <= i_wdata; - -endmodule diff --git a/hardware/RTL/ram128B.sv b/hardware/RTL/ram128B.sv deleted file mode 100644 index 83cc133..0000000 --- a/hardware/RTL/ram128B.sv +++ /dev/null @@ -1,19 +0,0 @@ -module ram128B( // 128B - input logic clk, - input logic i_we, - input logic [ 6:0] i_addr, - input logic [ 7:0] i_wdata, - output logic [ 7:0] o_rdata -); -initial o_rdata = 8'h0; - -logic [7:0] data_ram_cell [0:127]; - -always @ (posedge clk) - o_rdata <= data_ram_cell[i_addr]; - -always @ (posedge clk) - if(i_we) - data_ram_cell[i_addr] <= i_wdata; - -endmodule \ No newline at end of file diff --git a/hardware/RTL/vga_char_86x32.sv b/hardware/RTL/vga_char_86x32.sv deleted file mode 100644 index 3233b56..0000000 --- a/hardware/RTL/vga_char_86x32.sv +++ /dev/null @@ -1,188 +0,0 @@ -module vga_char_86x32 #( - parameter VGA_CLK_DIV = 1 -)( - // clock - input logic clk, rst_n, - // vga interfaces - output logic hsync, vsync, - output logic red, green, blue, - // user interface - output logic req, - output logic [11:0] addr, - input logic [ 7:0] ascii -); -localparam H_END = 10'd688, - H_BRSTART = H_END + 10'd4 , - H_BREND = H_BRSTART + 10'd30 , - H_SYNCSTART = H_BREND + 10'd25 , - H_SYNCEND = H_SYNCSTART + 10'd128, - H_BLSTART = H_SYNCEND + 10'd89 , - H_BLEND = H_BLSTART + 10'd30 , - H_PERIOD = H_BLEND + 10'd4 , - V_END = 10'd512, - V_BRSTART = V_END + 10'd4 , - V_BREND = V_BRSTART + 10'd30 , - V_SYNCSTART = V_BREND + 10'd38 , - V_SYNCEND = V_SYNCSTART + 10'd4 , - V_BLSTART = V_SYNCEND + 10'd66 , - V_BLEND = V_BLSTART + 10'd30 , - V_PERIOD = V_BLEND + 10'd4 ; - -logic [3:0] rlp=4'h0, clp=4'h0, hsp=4'h0, vsp=4'h0; -logic vlbr=1'b0, vgbl=1'b0, vlbl=1'b0, vgbr=1'b0, hlbr=1'b0, hgbl=1'b0, hlbl=1'b0, hgbr=1'b0; -logic vir=1'b0, hir=1'b0, vbr=1'b0, hbr=1'b0, vbl=1'b0, hbl=1'b0, hb=1'b0, vb=1'b0, border=1'b0; -logic [9:0] cnt = 0, hcnt = 0, vcnt = 0; -logic req1 = 1'b0, req2 = 1'b0; -logic [7:0] ascii_bufferout, ascii_latch=8'h0, ascii_to_rom; -logic [7:0] rom_data; -logic [6:0] x_h, x_h1=7'h0, x_h2=7'h0; -logic [5:0] y_h; - -logic [2:0] x_l, x_l1 = 3'h0, x_l2 = 3'h0, x_l3 = 3'h0, x_l4 = 3'h0; -logic [3:0] y_l, y_l1 = 4'h0, y_l2 = 4'h0, y_l3 = 4'h0; - -assign {x_h, x_l} = hcnt; -assign {y_h, y_l} = vcnt; - -initial begin hsync=1'b0; vsync=1'b0; {red,green,blue}=3'h0; req=1'b0; addr = 12'h0; end - -always @ (posedge clk) - if(~rst_n) begin - vlbr<= 1'b0; - vgbl<= 1'b0; - vlbl<= 1'b0; - vgbr<= 1'b0; - hlbr<= 1'b0; - hgbl<= 1'b0; - hlbl<= 1'b0; - hgbr<= 1'b0; - vir <= 1'b0; - hir <= 1'b0; - vbr <= 1'b0; - hbr <= 1'b0; - vbl <= 1'b0; - hbl <= 1'b0; - hb <= 1'b0; - vb <= 1'b0; - border <= 1'b0; - end else begin - vlbr<= vcnt < V_BREND ; - vgbl<= vcnt >= V_BLSTART; - vlbl<= vcnt < V_BLEND ; - vgbr<= vcnt >= V_BRSTART; - hlbr<= hcnt < H_BREND ; - hgbl<= hcnt >= H_BLSTART; - hlbl<= hcnt < H_BLEND; - hgbr<= hcnt >= H_BRSTART; - vir <= vlbr | vgbl; - hir <= hlbr | hgbl; - vbr <= vgbr & vlbr; - hbr <= hgbr & hlbr; - vbl <= vgbl & vlbl; - hbl <= hgbl & hlbl; - hb <= (hbr | hbl) & vir; - vb <= (vbr | vbl) & hir; - border <= hb | vb; - end - -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - cnt <= 10'h0; - hcnt <= 10'h0; - vcnt <= 10'h0; - end else begin - cnt <= (cnt<(VGA_CLK_DIV-1)) ? cnt + 10'h1 : 10'h0; - if(cnt==10'h0) begin - if(hcnt < H_PERIOD) begin - hcnt <= hcnt + 10'h1; - end else begin - hcnt <= 10'h0; - vcnt <= (vcnt=H_SYNCSTART && hcnt=V_SYNCSTART && vcnt - - - - - diff --git a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt b/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt deleted file mode 100644 index 9015e04..0000000 --- a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv b/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv deleted file mode 100644 index aca9290..0000000 --- a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv +++ /dev/null @@ -1,72 +0,0 @@ -//-------------------------------------------------------------------------------------------------------------- -// This project runs RISC-V official ISA test -// see https://github.com/riscv/riscv-tests -//-------------------------------------------------------------------------------------------------------------- - -`timescale 1ns / 1ns - -module tb_core #( - // Specify the instruction&data stream file to be tested here - // We modified RISC-V official ISA test into 3 small tests (In path USTCRVSoC/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test) - - // notice: this is the file-path in my computer, dont forget to modify it - parameter INSTRUCTION_STREAM_FILE = "E:\\FPGAcommon\\USTCRVSoC\\hardware\\Simulation_RiscvCPU\\RISCV_RV32I_Test\\testA_InstructionStream.txt" - // "E:\\FPGAcommon\\USTCRVSoC\\hardware\\Simulation_RiscvCPU\\RISCV_RV32I_Test\\testB_InstructionStream.txt" - // "E:\\FPGAcommon\\USTCRVSoC\\hardware\\Simulation_RiscvCPU\\RISCV_RV32I_Test\\testC_InstructionStream.txt" -)(); - -logic [31:0] ram [4096]; // this ram stores both instruction and data - -initial $readmemh(INSTRUCTION_STREAM_FILE, ram); - -logic clk = 1'b1, rst_n = 1'b0; -always #5 clk = ~clk; // 100MHz clock -initial #40 rst_n = 1'b1; - -naive_bus bus_masters[2](); -naive_bus bus_slaves [1](); - -// RV32I Core -core_top core_top_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_boot_addr ( 0 ), - .instr_master ( bus_masters[1] ), - .data_master ( bus_masters[0] ) -); - -naive_bus_router #( - .N_MASTER ( 2 ), - .N_SLAVE ( 1 ), - .SLAVES_MASK ( { 32'h0000_ffff } ), - .SLAVES_BASE ( { 32'h0000_0000 } ) -) soc_bus_router_inst ( - .clk ( clk ), - .rst_n ( rst_n ), - .masters ( bus_masters ), - .slaves ( bus_slaves ) -); - -assign bus_slaves[0].rd_gnt = 1'b1; -assign bus_slaves[0].wr_gnt = 1'b1; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus_slaves[0].rd_data <= 0; - else - bus_slaves[0].rd_data <= ram[bus_slaves[0].rd_addr[14:2]]; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - end else begin - if(bus_slaves[0].wr_be[0]) - ram[bus_slaves[0].wr_addr[14:2]][ 7: 0] <= bus_slaves[0].wr_data[ 7: 0]; - if(bus_slaves[0].wr_be[1]) - ram[bus_slaves[0].wr_addr[14:2]][15: 8] <= bus_slaves[0].wr_data[15: 8]; - if(bus_slaves[0].wr_be[2]) - ram[bus_slaves[0].wr_addr[14:2]][23:16] <= bus_slaves[0].wr_data[23:16]; - if(bus_slaves[0].wr_be[3]) - ram[bus_slaves[0].wr_addr[14:2]][31:24] <= bus_slaves[0].wr_data[31:24]; - end - -endmodule diff --git a/hardware/Simulation_SoC/Questsim/demo.tcl b/hardware/Simulation_SoC/Questsim/demo.tcl deleted file mode 100644 index a652a14..0000000 --- a/hardware/Simulation_SoC/Questsim/demo.tcl +++ /dev/null @@ -1,13 +0,0 @@ -quit -sim - -# source files -vlog -sv -incr tb_soc.sv ../../RTL/*.sv - -vsim -t ps -voptargs="+acc" work.tb_soc - -log -r /* -radix 16 - -do wave.do - -run 20us diff --git a/hardware/Simulation_SoC/Questsim/tb_soc.sv b/hardware/Simulation_SoC/Questsim/tb_soc.sv deleted file mode 100644 index 42907d3..0000000 --- a/hardware/Simulation_SoC/Questsim/tb_soc.sv +++ /dev/null @@ -1,24 +0,0 @@ -`timescale 1ns/1ns - -module tb_soc(); - -logic clk = 1'b1; -always #5 clk = ~clk; // 100MHz clock - -wire uart_tx, vga_hsync, vga_vsync; -wire [ 2:0] vga_pixel; - -soc_top soc_inst( - .clk ( clk ), - .isp_uart_rx ( 1'b1 ), - .isp_uart_tx ( uart_tx ), - .vga_hsync ( vga_hsync ), - .vga_vsync ( vga_vsync ), - .vga_red ( vga_pixel[2] ), - .vga_green ( vga_pixel[1] ), - .vga_blue ( vga_pixel[0] ) -); - -initial #800000 $stop; - -endmodule diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf deleted file mode 100644 index d4183f7..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,26 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72756e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:32:00:00 -eof:3418869837 diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 8c9e80a..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,7 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e666f7274696d65:33:00:00 -eof:3580635558 diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc deleted file mode 100644 index 3b35f9e..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 -eof: diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf deleted file mode 100644 index 4c790ad..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:2427094519 diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr deleted file mode 100644 index 6931117..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt deleted file mode 100644 index 9015e04..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv deleted file mode 100644 index 42907d3..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv +++ /dev/null @@ -1,24 +0,0 @@ -`timescale 1ns/1ns - -module tb_soc(); - -logic clk = 1'b1; -always #5 clk = ~clk; // 100MHz clock - -wire uart_tx, vga_hsync, vga_vsync; -wire [ 2:0] vga_pixel; - -soc_top soc_inst( - .clk ( clk ), - .isp_uart_rx ( 1'b1 ), - .isp_uart_tx ( uart_tx ), - .vga_hsync ( vga_hsync ), - .vga_vsync ( vga_vsync ), - .vga_red ( vga_pixel[2] ), - .vga_green ( vga_pixel[1] ), - .vga_blue ( vga_pixel[0] ) -); - -initial #800000 $stop; - -endmodule diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf deleted file mode 100644 index f0b0fb4..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3631:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f6372656174655f70726f6a6563745f7375626469726563746f7279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726366696c6570726f7070616e656c735f73696d756c6174696f6e:3233:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00 -eof:219087460 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 27c7631..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,11 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:31:00:00 -eof:3044315420 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc deleted file mode 100644 index cbe628e..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:2 -6d6f64655f636f756e7465727c4755494d6f6465:1 -eof: diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf deleted file mode 100644 index 4c41ce2..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf +++ /dev/null @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761333574696373673332342d314c:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:41727479375f555354435256536f435f746f70:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a323873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313032312e3830394d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3639392e3838334d42:00:00 -eof:3717510150 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf deleted file mode 100644 index 227096f..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr deleted file mode 100644 index c52c42d..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc deleted file mode 100644 index c2a2d01..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc +++ /dev/null @@ -1,35 +0,0 @@ - -## Clock signal -set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] -create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { CLK100MHZ }]; - -##RGB LEDs -set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { LEDB[0] }]; #IO_L18N_T2_35 Sch=led0_b -#set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { LEDG[0] }]; #IO_L19N_T3_VREF_35 Sch=led0_g -#set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { LEDR[0] }]; #IO_L19P_T3_35 Sch=led0_r -set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { LEDB[1] }]; #IO_L20P_T3_35 Sch=led1_b -#set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { LEDG[1] }]; #IO_L21P_T3_DQS_35 Sch=led1_g -#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { LEDR[1] }]; #IO_L20N_T3_35 Sch=led1_r -#set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { LEDB[2] }]; #IO_L21N_T3_DQS_35 Sch=led2_b -#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { LEDG[2] }]; #IO_L22N_T3_35 Sch=led2_g -#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { LEDR[2] }]; #IO_L22P_T3_35 Sch=led2_r -#set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { LEDB[3] }]; #IO_L23P_T3_35 Sch=led3_b -#set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { LEDG[3] }]; #IO_L24P_T3_35 Sch=led3_g -#set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { LEDR[3] }]; #IO_L23N_T3_35 Sch=led3_r - -##LEDs -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L24N_T3_35 Sch=led[4] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_25_35 Sch=led[5] -set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L24P_T3_A01_D17_14 Sch=led[6] -set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L24N_T3_A00_D16_14 Sch=led[7] - -##USB-UART Interface -set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L19N_T3_VREF_16 Sch=uart_rxd_out -set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L14N_T2_SRCC_16 Sch=uart_txd_in - -##Quad SPI Flash -#set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { FLASH_CS }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_cs -#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] -#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] -#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] -#set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv deleted file mode 100644 index e327616..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv +++ /dev/null @@ -1,45 +0,0 @@ - -module Arty7_USTCRVSoC_top( - input logic CLK100MHZ, - output logic [3:0] LED, - output logic [1:0] LEDB, - output logic UART_TX, - input logic UART_RX -); - -logic [26:0] cnt = 22'h0; - -soc_top #( - .UART_RX_CLK_DIV ( 217 ), - .UART_TX_CLK_DIV ( 868 ), - .VGA_CLK_DIV ( 2 ) -)soc_inst ( - .clk ( CLK100MHZ ), - .isp_uart_rx ( UART_RX ), - .isp_uart_tx ( UART_TX ), - .vga_hsync ( ), // there is no VGA port on Arty-7 - .vga_vsync ( ), // so leave these pins float - .vga_red ( ), - .vga_green ( ), - .vga_blue ( ) -); - -// show UART on blue LED (RGB LED on Arty-7) -assign LEDB = ~{UART_RX, UART_TX}; - -// blink leds to show that the clock is working -always @ (posedge CLK100MHZ) begin - case(cnt[26:24]) - 3'd0 : LED <= 4'b0001; - 3'd1 : LED <= 4'b0010; - 3'd2 : LED <= 4'b0100; - 3'd3 : LED <= 4'b1000; - 3'd4 : LED <= 4'b1000; - 3'd5 : LED <= 4'b0100; - 3'd6 : LED <= 4'b0010; - 3'd7 : LED <= 4'b0001; - endcase - cnt++; -end - -endmodule diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf deleted file mode 100644 index a8889f3..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,98 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3430:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:333239:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3738:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3338:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:313035:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68617264776172657472656570616e656c5f68617264776172655f747265655f7461626c65:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6970636f7265766965775f7461626265645f70616e65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f676d6f6e69746f725f6d6f6e69746f72:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f68656c70:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f7274:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:37:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f76696577:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:3237:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d657373616765776974686f7074696f6e6469616c6f675f646f6e745f73686f775f746869735f6469616c6f675f616761696e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f7365766572697479:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3635:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c69737474726565766965775f6e65746c6973745f74726565:3135:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3233:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f636c6f73655f736572766572:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f6e65746c6973745f64657369676e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6963656e73655f6d616e616765:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f70726f6a6563745f73756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:3139:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f696d706c656d656e746174696f6e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:3230:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f627265616b:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72657374617274:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72756e:3635:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:3139:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3232:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f66616d696c795f63686f6f736572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f7061727473:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:3236:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d61727974696d696e6770616e656c5f70726f6a6563745f73756d6d6172795f74696d696e675f70616e656c5f746162626564:3137:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e6761646765745f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f6761646765745f746162626564:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e70616e656c5f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f70616e656c5f746162626564:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:3131:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f70726f70657274696573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f73657474696e6773:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3735:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f72756e5f6761646765745f7461626265645f70616e65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f6572726f72:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f7761726e696e675f616e645f6572726f725f6d657373616765735f696e5f6d65737361676573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6c69766572756e666f72636f6d705f737065636966795f74696d655f616e645f756e697473:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3430:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726366696c6570726f7070616e656c735f73696d756c6174696f6e:3234:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3233:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f726566726573685f686965726172636879:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7374616c6572756e6469616c6f675f796573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3135:00:00 -eof:921471974 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 64fdfeb..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f7365736572766572:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:3131:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697470726f70657274696573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3238:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3534:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:3230:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:3239:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6a65637473756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265706f72747574696c697a6174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:3135:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3230:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e627265616b:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72657374617274:38:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e666f7274696d65:3633:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7570646174657265676964:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:32:00:00 -eof:2572897370 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc deleted file mode 100644 index abf739c..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:13 -6d6f64655f636f756e7465727c4755494d6f6465:26 -eof: diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf deleted file mode 100644 index 8d82498..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf +++ /dev/null @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:4e65787973345f555354435256536f435f746f70:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a333073:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313034342e3336374d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3732322e3134354d42:00:00 -eof:1614001231 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf deleted file mode 100644 index 227096f..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf deleted file mode 100644 index 4c790ad..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:2427094519 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr deleted file mode 100644 index b30161e..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt deleted file mode 100644 index 9015e04..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc deleted file mode 100644 index 402f833..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc +++ /dev/null @@ -1,192 +0,0 @@ -## This file is a general .xdc for the Nexys A7-100T -## To use it in a project: -## - uncomment the lines corresponding to used pins -## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project - -## Clock signal -set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz -create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {CLK100MHZ}]; - -## LEDs -set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] -set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] -set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] -set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { LED[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] -set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { LED[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] -set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { LED[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] -set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { LED[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] -set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] -#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] -#set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] -#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { LED[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] -#set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { LED[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] -#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { LED[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] -#set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { LED[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] - -## RGB LEDs -#set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { LED16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b -#set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { LED16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g -#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { LED16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r -#set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { LED17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b -#set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { LED17_G }]; #IO_0_14 Sch=led17_g -#set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { LED17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r - -##7 segment display -#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca -#set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb -#set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc -#set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd -#set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce -#set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf -#set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg -#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp -#set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] -#set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] -#set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] -#set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] -#set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] -#set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] -#set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] -#set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] - -##Buttons -#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn -#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc -#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu -#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl -#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr -#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd - - -##Pmod Headers -##Pmod Header JA -#set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] -#set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] -#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] -#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] -#set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] -#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] -#set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] -#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] - -##Pmod Header JB -#set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] -#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] -#set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] -#set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] -#set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] -#set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] -#set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] -#set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] - -##Pmod Header JC -#set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] -#set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] -#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] -#set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] -#set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] -#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] -#set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] -#set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] - -##Pmod Header JD -#set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] -#set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] -#set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] -#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] -#set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] -#set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] -#set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] -#set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] - -##Pmod Header JXADC -#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] -#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] -#set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] -#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] -#set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] -#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] -#set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] -#set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] - -##VGA Connector -set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] -set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] -set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] -set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] -set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] -set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] -set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] -set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] -set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] -set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] -set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] -set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] -set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs -set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs - -##Micro SD Connector -#set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset -#set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd -#set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck -#set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd -#set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] -#set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] -#set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] -#set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] - -##Accelerometer -#set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso -#set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi -#set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk -#set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn -#set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] -#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] - -##Temperature Sensor -#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl -#set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda -#set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int -#set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct - -##Omnidirectional Microphone -#set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk -#set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data -#set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel - -##PWM Audio Amplifier -#set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm -#set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd - -##USB-RS232 Interface -set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in -set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out -#set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts -#set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts - -##USB HID (PS/2) -#set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk -#set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data - -##SMSC Ethernet PHY -#set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc -#set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio -#set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn -#set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv -#set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr -#set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] -#set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] -#set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen -#set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] -#set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] -#set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk -#set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn - -##Quad SPI Flash -#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] -#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] -#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] -#set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] -#set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv deleted file mode 100644 index 744b1aa..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv +++ /dev/null @@ -1,43 +0,0 @@ - -module Nexys4_USTCRVSoC_top( - input logic CLK100MHZ, - output logic [9:0] LED, - output logic UART_TX, - input logic UART_RX, - output logic VGA_HS, VGA_VS, - output logic [3:0] VGA_R, VGA_G, VGA_B -); - -logic vga_red, vga_green, vga_blue; -assign {VGA_R, VGA_G, VGA_B} = {{4{vga_red}}, {4{vga_green}}, {4{vga_blue}}}; - -soc_top #( - .UART_RX_CLK_DIV ( 217 ), - .UART_TX_CLK_DIV ( 868 ), - .VGA_CLK_DIV ( 2 ) -) soc_inst ( - .clk ( CLK100MHZ ), - .isp_uart_rx ( UART_RX ), - .isp_uart_tx ( UART_TX ), - .vga_hsync ( VGA_HS ), - .vga_vsync ( VGA_VS ), - .vga_red ( vga_red ), - .vga_green ( vga_green ), - .vga_blue ( vga_blue ) -); - -// Show UART on LED -assign LED[9:8] = ~{UART_RX, UART_TX}; - -// blink LED to show that clock is running -reg [21:0] cnt = 22'h0; -reg [ 7:0] flow = 7'h0; -always @ (posedge CLK100MHZ) begin - cnt <= cnt + 22'h1; - if(cnt==22'h0) - flow <= {flow[6:0], ~flow[7]}; - end - -assign LED[7:0] = flow; - -endmodule diff --git a/hardware/Vivado/Nexys4/vivado.jou b/hardware/Vivado/Nexys4/vivado.jou deleted file mode 100644 index 9a58b93..0000000 --- a/hardware/Vivado/Nexys4/vivado.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Sep 1 20:20:24 2019 -# Process ID: 14792 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4 -# Command line: vivado.exe E:\FPGAcommon\USTCRVSoC\hardware\Vivado\Nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 diff --git a/hardware/Vivado/Nexys4/vivado.log b/hardware/Vivado/Nexys4/vivado.log deleted file mode 100644 index f7b8826..0000000 --- a/hardware/Vivado/Nexys4/vivado.log +++ /dev/null @@ -1,65 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Sep 1 20:20:24 2019 -# Process ID: 14792 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4 -# Command line: vivado.exe E:\FPGAcommon\USTCRVSoC\hardware\Vivado\Nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr -INFO: [Project 1-313] Project file moved from 'E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4' since last save. -Scanning sources... -Finished scanning sources -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/char8x16_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/char8x16_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_alu.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_alu.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_id_stage.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_id_stage.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_instr_bus_adapter.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_instr_bus_adapter.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_regfile.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_regfile.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/dual_read_port_ram_32x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/instr_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/instr_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/isp_uart.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/isp_uart.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus_router.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus_router.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram128B.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram128B.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/soc_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/soc_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_rx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_rx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_tx_line.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_tx_line.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/user_uart_tx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/user_uart_tx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/vga_char_86x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/vga_char_86x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/video_ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/video_ram.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/char8x16_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/char8x16_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_alu.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_alu.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_id_stage.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_id_stage.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_instr_bus_adapter.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_instr_bus_adapter.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_regfile.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_regfile.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/dual_read_port_ram_32x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/instr_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/instr_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/isp_uart.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/isp_uart.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus_router.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus_router.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram128B.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram128B.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/soc_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/soc_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_rx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_rx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_tx_line.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_tx_line.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/user_uart_tx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/user_uart_tx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/vga_char_86x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/vga_char_86x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/video_ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/video_ram.sv' instead. -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Vivado2018/Vivado/2018.3/data/ip'. -open_project: Time (s): cpu = 00:00:13 ; elapsed = 00:00:06 . Memory (MB): peak = 779.813 ; gain = 151.820 -update_compile_order -fileset sources_1 -exit -INFO: [Common 17-206] Exiting Vivado at Sun Sep 1 20:21:31 2019... diff --git a/hardware/Vivado/Nexys4/vivado_12448.backup.jou b/hardware/Vivado/Nexys4/vivado_12448.backup.jou deleted file mode 100644 index ba891ef..0000000 --- a/hardware/Vivado/Nexys4/vivado_12448.backup.jou +++ /dev/null @@ -1,35 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Mon Mar 11 16:18:42 2019 -# Process ID: 12448 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12796 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -launch_runs impl_1 -to_step write_bitstream -jobs 8 -wait_on_run impl_1 -open_hw -connect_hw_server -open_hw_target -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] diff --git a/hardware/Vivado/Nexys4/vivado_12448.backup.log b/hardware/Vivado/Nexys4/vivado_12448.backup.log deleted file mode 100644 index c54658f..0000000 --- a/hardware/Vivado/Nexys4/vivado_12448.backup.log +++ /dev/null @@ -1,70 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Mon Mar 11 16:18:42 2019 -# Process ID: 12448 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12796 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 852.980 ; gain = 139.285 -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Mon Mar 11 16:19:50 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Mon Mar 11 16:21:52 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -launch_runs impl_1 -jobs 8 -[Mon Mar 11 16:24:05 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -launch_runs impl_1 -to_step write_bitstream -jobs 8 -[Mon Mar 11 16:26:31 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -open_hw -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -INFO: [Labtools 27-2222] Launching hw_server... -INFO: [Labtools 27-2221] Launch Output: - -****** Xilinx hw_server v2017.4 - **** Build date : Dec 15 2017-21:08:27 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B5DFA -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -INFO: [Labtools 27-3164] End of startup status: HIGH -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -exit -INFO: [Common 17-206] Exiting Vivado at Mon Mar 11 16:29:40 2019... diff --git a/hardware/Vivado/Nexys4/vivado_17980.backup.jou b/hardware/Vivado/Nexys4/vivado_17980.backup.jou deleted file mode 100644 index 1cf832c..0000000 --- a/hardware/Vivado/Nexys4/vivado_17980.backup.jou +++ /dev/null @@ -1,32 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Tue Mar 12 00:06:43 2019 -# Process ID: 17980 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent15368 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv] -no_script -reset -force -quiet -remove_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -add_files -norecurse E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -update_compile_order -fileset sources_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v] -no_script -reset -force -quiet -remove_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -launch_runs impl_1 -to_step write_bitstream -jobs 8 -wait_on_run impl_1 diff --git a/hardware/Vivado/Nexys4/vivado_17980.backup.log b/hardware/Vivado/Nexys4/vivado_17980.backup.log deleted file mode 100644 index 92d4cd9..0000000 --- a/hardware/Vivado/Nexys4/vivado_17980.backup.log +++ /dev/null @@ -1,46 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Tue Mar 12 00:06:43 2019 -# Process ID: 17980 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent15368 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -CRITICAL WARNING: [Project 1-19] Could not find the file 'E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv'. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 862.133 ; gain = 140.059 -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Tue Mar 12 00:07:28 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -export_ip_user_files -of_objects [get_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv] -no_script -reset -force -quiet -remove_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv -launch_runs impl_1 -jobs 8 -[Tue Mar 12 00:09:58 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -add_files -norecurse E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -update_compile_order -fileset sources_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v] -no_script -reset -force -quiet -remove_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Tue Mar 12 00:22:48 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -launch_runs impl_1 -jobs 8 -[Tue Mar 12 00:31:54 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -launch_runs impl_1 -to_step write_bitstream -jobs 8 -[Tue Mar 12 00:43:16 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -exit -INFO: [Common 17-206] Exiting Vivado at Tue Mar 12 13:15:09 2019... diff --git a/hardware/Vivado/Nexys4/vivado_20220.backup.jou b/hardware/Vivado/Nexys4/vivado_20220.backup.jou deleted file mode 100644 index c7dffb2..0000000 --- a/hardware/Vivado/Nexys4/vivado_20220.backup.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:34:02 2019 -# Process ID: 20220 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17164 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 diff --git a/hardware/Vivado/Nexys4/vivado_20220.backup.log b/hardware/Vivado/Nexys4/vivado_20220.backup.log deleted file mode 100644 index cbc2deb..0000000 --- a/hardware/Vivado/Nexys4/vivado_20220.backup.log +++ /dev/null @@ -1,23 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:34:02 2019 -# Process ID: 20220 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17164 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -INFO: [Project 1-313] Project file moved from 'E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4' since last save. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 827.039 ; gain = 88.969 -update_compile_order -fileset sources_1 -exit -INFO: [Common 17-206] Exiting Vivado at Sat Jul 20 15:35:08 2019... diff --git a/hardware/Vivado/Nexys4/vivado_27748.backup.jou b/hardware/Vivado/Nexys4/vivado_27748.backup.jou deleted file mode 100644 index 1f23278..0000000 --- a/hardware/Vivado/Nexys4/vivado_27748.backup.jou +++ /dev/null @@ -1,41 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Thu Apr 11 23:30:43 2019 -# Process ID: 27748 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent69896 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 -open_hw -connect_hw_server -open_hw_target -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -disconnect_hw_server localhost:3121 -connect_hw_server -open_hw_target -current_hw_device [get_hw_devices xc6slx16_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc6slx16_0] 0] -disconnect_hw_server localhost:3121 -connect_hw_server -open_hw_target -disconnect_hw_server localhost:3121 -connect_hw_server -open_hw_target -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] diff --git a/hardware/Vivado/Nexys4/vivado_27748.backup.log b/hardware/Vivado/Nexys4/vivado_27748.backup.log deleted file mode 100644 index 8c98415..0000000 --- a/hardware/Vivado/Nexys4/vivado_27748.backup.log +++ /dev/null @@ -1,170 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Thu Apr 11 23:30:43 2019 -# Process ID: 27748 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent69896 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 786.871 ; gain = 115.031 -update_compile_order -fileset sources_1 -open_hw -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -INFO: [Labtools 27-2222] Launching hw_server... -INFO: [Labtools 27-2221] Launch Output: - -****** Xilinx hw_server v2017.4 - **** Build date : Dec 15 2017-21:08:27 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -INFO: [Labtools 27-3164] End of startup status: HIGH -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -disconnect_hw_server localhost:3121 -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -INFO: [Labtools 27-2222] Launching hw_server... -INFO: [Labtools 27-2221] Launch Output: - -****** Xilinx hw_server v2017.4 - **** Build date : Dec 15 2017-21:08:27 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -current_hw_device [get_hw_devices xc6slx16_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc6slx16_0] 0] -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -ERROR: [Labtools 27-2312] Device xc6slx16_0 is no longer available. -Check cable connectivity and that the target board is powered up then -use the disconnect_hw_server and connect_hw_server to re-initialize the hardware target. -Use open_hw_target to re-register the hardware device. -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -disconnect_hw_server localhost:3121 -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A. -Check cable connectivity and that the target board is powered up then -use the disconnect_hw_server and connect_hw_server to re-register this hardware target. -ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors. -disconnect_hw_server localhost:3121 -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A. -Check cable connectivity and that the target board is powered up then -use the disconnect_hw_server and connect_hw_server to re-register this hardware target. -ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -INFO: [Labtools 27-3164] End of startup status: HIGH -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -exit -INFO: [Common 17-206] Exiting Vivado at Fri Apr 12 00:38:39 2019... diff --git a/hardware/Vivado/Nexys4/vivado_8068.backup.jou b/hardware/Vivado/Nexys4/vivado_8068.backup.jou deleted file mode 100644 index 46ff4d1..0000000 --- a/hardware/Vivado/Nexys4/vivado_8068.backup.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:35:22 2019 -# Process ID: 8068 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent20140 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 diff --git a/hardware/Vivado/Nexys4/vivado_8068.backup.log b/hardware/Vivado/Nexys4/vivado_8068.backup.log deleted file mode 100644 index 15d7777..0000000 --- a/hardware/Vivado/Nexys4/vivado_8068.backup.log +++ /dev/null @@ -1,22 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:35:22 2019 -# Process ID: 8068 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent20140 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -INFO: [Project 1-313] Project file moved from 'E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4' since last save. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Xilinx/Vivado/2017.4/data/ip'. -update_compile_order -fileset sources_1 -exit -INFO: [Common 17-206] Exiting Vivado at Sat Jul 20 16:01:36 2019... diff --git a/images/DE0-Nano.png b/images/DE0-Nano.png deleted file mode 100644 index e987998ae124dab5777237efd805cc835a321c27..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 190814 zcmV);K!(4GP)Px#1ZP1_K>z@;j|==^1poj532;bRa{vGmbN~PnbOGLGA9w%&|D{PpK~#8N?EMF@ zrO9<32!7Z5d!P5d-Yf6BtGn9!ZZy0j0gxbJXhcz3X^d88VmEdoW;BWkMeJ_OXr!50 zaVbiqXojLFfiMIb-DtG$F7K;eJ@4Ip*L$_x?A{$&>k? z^X18tnXV=S_QGGY(14oF<W#WRb@74Q<>e>0eg9FR!OLm*29%AA!PVT2 zp^KkgDnp;$u%9fb92d*wy~f|%9)5MB)@bsh*XVg~_`&acVK3~3_g{EdhVXs2&k`8T zD!*5FFQZwn@G4WRR8g<-qG&0Us#soG$LiWT%KRLUCD7g5i;m82L=!FW`T|VvHMu33 z(}aiV8t{32lzBgiTxp^~IoW8`P^&q)_ zVxO1~FE{GE?*b$VJ6_7y@}|J4XLf$mjR2}ipu|uu*WeF@;qeAY0G}zkFUJ2wheOkQ zh3Q`Si{U@@3jXKt>#riu|8LOs*Dd^~c<|GN|6lU!r)jgFrfxrNziBi$7-Jf@o3eGGrQ7LCpua+rSYN$6|C{^lMTw2Hc;tEpfG-?%sDn5@yO^dQe_#(h?S;Q|*aJ_0EyG^{ zcw+h*(>fL>0Vn5fg05C#gc|=m4=7HEEotOL=aV} zy9lQG5RSDY5C~X2jSNMbBcyh_+Ygga@9l~|sRaAM?)(2QlJ6&{>!(vri;C$-g`YI;50>>;6@D686~3Qm-_L^|M&pml|GoVBN$B{& z{C|AjKN$Y%#QFD^{ll94KUI`y5P0%<963}f=1|SAp;6pKxtKwvlrtX)zPc*;4@tTg_QDT_J@E9`G6+0LK@pt84~58U?V>v9Aa z<&Oo)4L3i_$|Tb@#S-eSGGpuOy>3m ziiI+!rshztsC+lJwo?d1DCD|((KWCS1H*?Xpjt?)3jqo^rX&H(*CpWQA%G*$B7rWB z2u=QJWN73Q%878d7qte{d1Srfa|YExm2op4)x|7heAX10V>%Z{x($A25f&W5`s0EX z?tHYcn^+|6(8SQRX#;V%36Gd>(NuTmqvEPO7l~}TYxdN|Iy!-6SX6!AEo(R9-Fk}- z=b6Q@|McCd1Jwy!9zEQt`z}*C~q zsMsvF((zx@Ksw_xzKIQ$vBUFsX;lO5er8bHD_A?|`EHpzb+TYlly}AXtTejbXzeXby0>aEnvqIk}C@k*Db-$?=6R?l55Pi^Gn<>W8RP*e4` zf*6-{Dz^HwOZ}gNu3|QcRB|2l!X}$HPq4(nSe^2RNjzS!4X%on8n#ne%*@SUadDOM z$KijaTA^&A{NdLI6UaX94}>u~egIEB^(=-*My#poRX@D0FeM6ygKiG=)OU>!qKSP< zDQhv-g7xw?QK^&&)^dnOqO6~nH6Z{K9~eZJQ^~!s7k+fu15bbL!+V=gg3F{iFGrZ` zn=a`lj}$KzV3R`4v-+WcbLYH*3JmJ2gfmV@E%MYx)+IXoZyK7OyG<()F`OR zt^kFv7auNFw(&=Rt9e)Q2{~bUnVXkNV zU4Y7^sl*+gn37j)ffeTi|5JMB`3|QT^qdzT+b6}Rf~Zr_)=85Tgw&y%%FF--^Ktp~ zMDa$G80br79Ya@8hzD3WVx z1F8d-p**E5q;FL-=`uy}@q_MqpuZ*~m@2i04$QXysDzu!R|(xd-UR?Xj7vI6ON0Ct zX%7AoPaS$qsVcd??$D_GtLz_QxsN(5fjPgMb(EUdqjHrOsSvm@KrEV6C;dmz(qO-8 zvTq9K2-I_AVfm>k+)U&3kRJZtY)biyPL(5Coq9BCY;&RwuiwAR3JdCDF7{;?dEa20 ziCT}WCG5QFCr#F=&i6|^a{5cr%Bt5?Hfd)$9*@uZg5nsjbg`wY1O~c`C2rDcJyU&A zg|l96rm3^MLrG8h>*O=&ODPlH?O4npuH{pXK=3rv#!(AkJZyWu{}V zuqk^lKk*$V@K6n{x};CT3%^&H6j4sEBE7kUVlD|(3&wj*nW^+T>qg2L+l>;zQ!10i z-Me?Oxv>quJC0nggldiD`pE~%jwhDKpvH*={YG!56VX z=kuoUy#64wph8ndRF+a7BG)WVQdCOK1WI2eeO{j*l~R=>-Lg$OkWqkU|bK&H9*5)+3G)*DW$zT?JS32`LsC7oKV`Q-&r#OIhLWaM7!ftWB=G? z#B%}-)s;%RCa~fv5(nk^oBEIg_7wD+Xc8dTvl{8r^pH!wd%`0uS5;R#dAy9lFS5Wk z#3#}rJ_sx+j$7?PkR$F0jQL1|{A+aSyN5l+0G)_tX>wH7AVdA4R4p?PhhJhzty*Gv zsuO#effS}DpcT&)Pw%j-H=6EZM*Q7clCku+1J3#9A?Wl6eJGVn?4PVR>!ChvZAv-} zAd-=~DR_OVkJ`G4bS{gj>1DEkeV#labRlRFFDW}1Lp5?W_?tV#@_Z~62nGBY>g~ay zeIwv&i6Vj1+VWjg^GSj&(#(45U99pQmE(7|P(-d!K`<0%-}PW~Ya4U(3&^Ci%s);t z46Px@cdt|?pbYp>sgYNDclkcoYE_JkkKovS#|eVEQAm>u?6VaP0tzgzP|frGr>x0s zA;`9QJPg3U@z>29~<_-)B7q&)9|vl-%(By zjyC-w6w)YCKvS`D6qsCJz}Dh4!B7z)3R(F#b+ok+kc8nQh^ka1{9UL}A(g4{1A102 zBek7k?oAYO1*|NuVv7JO8f(QGM~pAO@&<)J5cjns6eWOak090_!l`>EaNn6jOtXo% z-@b^sl{B(dAIi-zaz!@<9&?nHg@WBH5KGI2M+c4XBhTn1wU6+Am)zQPENSQ>c3=Y>gMviUJnw@=`Ev0v9P-jrdI? zzb8l$R?8JC;VQOM91T-Z1$k*^MQH?}d{mlJT@tuTT~Xzgmd`6x5N;m<9TjA`RDzH7 z5?~O}V{%hC0#U&rl?QW^l|vv=<%&Lm0?{W$S0z7`uF1-2l~E;q=Eg?0Wc1rki|pIA`6%JX_kz-fG(AoI;}WF zK8gmFOKt7s!}J1-9>rloK2 zZ_$fbC;e�TO|Jo03xgqMOw*Ub|UertuO8gqWu+mzpLQzcf`WVC6N?>*jkYNgs1l&K>IL?F)s20G zG*;Ozg<{z();0F$lc&!h$ide2Yd5gEx{jor#p@BallU)i?nb$+_EaBI-O6kS@tm@W zGcC9X`-h;g0uG>!hwk)+?|}l-KlvjEN@|EC53!5j(lAr zm?KM$VqKmP0firRDkwh%?}_nY+;eCjV(~Dleu5GL5&2=g@WQtU$j+dze*jVjuI8!oDs90NDIh8PU@$;MErsHu z5|(lo$dNK-a|rvj2$@ELzI3yYt1w2{1WWj@RPNzCa|ee2*#=`LsOv!!VEk+*0QpJW6~;MD_ULb^aM!ax2?ib27fhZxg&Z)1mGRmouf)BomZ@hS4Ofj3@z6U$30SlQS_-4(_?XC6XZTMusEoW`A-Gn6Y?_GLDYM}3L!SUE$Q z*q(kD_8&cfT-k*eU%QLtGzU)ZJP;;mWP63llabag{CEH0Z{z+W_rhJ*ds@cS%uVtm zg5K@{a%|V~GyixN~RPEKwS*x~K#~(GV%1LMIYyRvYMP??j9sBiO8<#os{GS3`1R z0fqD?%T6O(%pse1u5!76Tsn#SP8}goTgKwjA~K}yTi^UPM#uIMxXp9)9)gbw=DV-F zjg74gGKCsa=@K^Aizwu%R2o4J=LRpc@hwpllS1227U z+Ot9i2>Dx?z7y?KhRx zVx8z{>q97zK#c-EpR1zC^wqM5xFUH?a<&b9i@|98gJo`$HSCw^ciT7i4 zc!GJ1l7<+6tC42L%?gmZjD})f)>P30l*yM8^=~m(Bad7JF|Fw78bWv12*RN@b5Jg@ zpRl_+eTDZz!OJvESMoAF>%_eCPAmrE57mPUt>Sd@>v$; zdeI!LOBJ?zReUe<&Vhuc;wWbxK6@WN{M-{rgj`6i&R}-(DqOWZJOn9P3?e{XW?!ih zpt}i7H5e;!AaMBb5%dj@Vv_^0wUu=wlPR`e0fS?sICAnh#`hl}&kmrat%J0%PqRKX z4nkyoa(e{Qi=;sV8#f+%;z$?+npX=Hgp~}IPBQ}}Q z=Lnoqo2vvf1WXiQHQsf}-$A3$e4&WhxkbG8#@l%Pt#>$5B(R`BUSCgQV*f!3umYde zu)eltR;ttY+=KSc9xN}fY z18qL^#KIh<&XJ}qD%J*tXPNX+p;HN$D9n8vnQv{S@Y?HdW14iuqAh4`?ZCCGHxQ4t zBhk`{^XIQ3xt*sHDDkRNVbn3!KZ1{b@Ok|5FMJvweD*1vz4tWQIPy+XP^Z>8>ZX#d zFn=j0De)SWdX<2q!mD1ap|86SpZw@2@C!fpDSZ5c&*99ed(oC?vl09H+BQKFH6BOP zSpu>G{3FN{or;O#56%>nXI|AGiL5j-D zgWZKZ;z63S3v66DJA;%ayd@Kjj`7wJiF zXP`-$NFa!(AAcOb{EMF<9Z%z#CmzDVi34!4uO&A($j2m#Ojjd_Qu(?!Dg-m6y-dX& z_W5!8*eQJJm%f0HfA|BW{|v@PMj-#Xkl)MpPTCZ3O*9+WVjm>$N=#qp=LQ+t9#7!u zCmzS=e(vY+{L|0i%*lJuMMWyVv8`m107!L^l}P|y<|?<){a%SUzH%PQe+~wUxnaBX={AH6459=`^it?%U}2$ zKJvlGa4-8&50!XPzB~j3WdTKkz8d>Zm6xn<4b_MBa51ld$AeSHj^eYQ{uKG}2|WGS zqd0ot2*OnS8*7W~k11R2TCNiKv+rivzM3|a-LS#Bg#%tZ`NR|WJAdcDz*A2?g#-Hu zBK!IXCW}I50+#*@hRs^`gCxvYM6HPqdk(EN}Aft5aTRj3&F< zKzA2@^$Wj%ef^!NWY=-~>f6X|Et5Y5@>v#7@{#T0;o!j+j5=0H4+jO&7{ZYVwl_D~ z4(v|^PMe$CX8kJHIk+KcTU%Y@J08RS1N*J-Zl~4>_SRV69AzF+t`<6w?^zDATEFA!J3_&;?pJ)@fM0HKdBUWVwP%n z$5yu&_QH=0d*JD>ao7b^_2xGIRYSlFH_0vPFn=S zA&$i4f8#PI@QtlB+Sb(@Dr=+oI)+Dv2@(pn60em4t)sKcMz3$absjTw3!s=K zcq$^Ah)~%_;cfa^whsZ0_=AB6K~w|D)oFNZ+i0Q8>I%it-$7-PE@NSCoux+zBm!pj zaMgWSUfjgydWJxOqw|VZ`*<-&fj>XLjEQ{*(ACk0w^(+WimzVxPJMAqAeE7L%@k^im1P<)$vGg4+A*zHT(+H$ykk4%q zEEE`@z=!?8C7%}3Miq)k(1j0@_Ama@r?GFe9YH^V2mwcD5l6)Ar;nvin>Mx zF6AHex$)f7PvVz8_Zf^0_aP*InPL%}Ypcv74;T3^3)r^p3FKxQ`ACNrH57%}%_{Q9 z!vs!L?1#n&3EJ2*2__cjZzGjlvG3(l7P-teflJ;%UA3xCk7VvAl4Xv=Ss1(kSG%S%(a1kY5tPkMXd`eqKOZ+>bAlhoAYm4-z;h z;3c97vhI~qifz4Z?VTYQC^8Q+4|TSK`ht8D{n|32k;6SF_v6>T{5gWpoF1^ikNo;Md zlZP1s@Cy47!5)Fp&|ok6ySwq`t9++QZnlS)bqN}%ll53_Faz$E9|cXTkKWv`Ur-YCYV5i0}4&~)|>Lbs@eOjDclml>c;vO=4Kr* zxNl+<4?c7jBSS-M&pbimD&@`~0bGGHW|Hwa@Zd;H)1Z)>AuBFRV_)wG4jnp%%U7=w z*z?^YplmYlFyF2FPaeRb{f8i*LG4>NGdsz99UUEA<})XuDfwyBc6;DyFZ={yua~^v z!VYk90`CG={8ued;cjfA%+anHs9kQjwY;7Z)kh!{3KQHAK!w6#DrAj9OGu~FSXfxV z+}s?Nm)G#Zw_n1GFTG@&%f&cy4N)s!zjYlizxpz6P2T4BFnW4=323^gAbnIsEV`!Y zLXHlL6=XS*-7Yqf%-8Vx+gFj?+D5>Y!&pxkPd{)N2M3}ER5nn{EW%q)QDIi8#A*a+ zE$Hg$G7vX2H;<{Q83GmxOx8uyjY3wo?-IeCM(WQ${TQBp;xrPGD&s8R&ds-R{nD$L zoxBK7GmG|w7g0Z%Qp;0eQSsGtys`v787i#;71k)8d+H2FrA<^z=}lcXj!#UTy+`T#h`Lxq!9hNh;GiS`$(D32?N~AeT*}OeH73E-C-E zL=2Bo`3?8C!0%TZZQ=U0H*oFRE7;zeA;{T4qQy<{Enm9~d6eZsq!0Dt zk%#U>XIqR4n|$PN%L_BOdG!+W*f0>@5(~4v*_R0@%Y_{4QW8VRuM)vd5D(sW4@L)i z;nNF1KFvSXsV_ z>sMc4IUDHf4v<&!1%WG`)i|w_2pSq^V^6Ay$E_Z z(9(hozW23Ckw7HLeo27ZBtLZ#*eQ*hpw7#?kNw77%VLOwg!@k(LEP^`c5?|U^ONjT z{GEVYs~ByHjwLT`q>w9dP*o^%&=obn5{X7QSPO9Am15tV!<{>K37|NrNM&*3>UF&F z%4^86om!$H1Bz>_Qyjo;@crQXzyXJR*aY0`{4DUEOK0)gOJB!GcL>itcm|Xt@Kq_> z2nhXdO(C;ix&1o;iRlR53Ppld8+hv43wz3c5i1FbeKgym@U3w`ZmwoFxJ^ zDiDrB-A#@%10D?Yw3{N&X14MAYp>$XH(sUUzCy*df=! ztcUssP|Q;Slg4Z|h09kiQaRkf#>N`9sVrm@l)siX-L%OMwuh_+B`Ww>JdUHsj*zE* z6YyFYHZ?Pa3m484yi6jWPMTGwB@!VxRVy~BtO;TWoHP~dBAD#$>>zOJAYEG4U&q4S zG~RmsHJp3rEo^QQczC=>Bw9&dfczsLn_5Os`WaWN8rwVD(B9R?XLZP_JYPuTopW#D z?YCdY%IZ8S1f8LXmu=5Nup~iNW18vhZ z>0HJDdRu!Z{BnvW4@843nl-kq9Jl)hx)Ey)p+IFxd-cDXd2^>ji zlkF*|aq`bYerms{eWN1==qdyhvUn{m&RZXe$D%fPXd{S~b=6j|@g1=}s;HRRlx6|! z>+L1LBy^*e*LC^Qd4kBx1lx7=axl=}*NcI^K7v^R=_ZA-MjO@@t=&61ThY*+*IHrfa;6|(c2b9F1bQ5#s0`R z8_Nq=nVZ5kd08)J5n|ON>`z|x6}~e%MW9L`SRr_Whl2wz0X_#D5Fq4t4}rMbO+fA| z;n<-O4E3~;-$f)>7LeUqBhJbhcoRU8&R86&EjD^3o(W*YDu5vnN^i7V3tPs zj;b45DGFPH6HPx*$@<+v0v0O$nx+nX?FYSUH;qy4tWsoA>TiXRpPA(A0<_UPZS!SIy zG!Q0ebrZM|VX;00U$Q!=_4q98mp{w~fnNrta+6AbgGyH$oCZlF%cv8$)#S{t`s+LE zLYm5~htJ=FTHSBU)Qi-mr3yGg*VGF8m0NynT37|~g?&(_O0UWx*znX*vT4c!74Q=K zVSzwPdub6EF#lNChZw-)Y;Cg+1nfR%Kj; z>RlwY7xu!B413_|eGnW|v@R+rZLVj3&In7UCQW%z8pBOt;t5i~+h%~;e6L9XN-&`B zZs*Ds>R8{*QBg^`hd9a$qOZRnk3I1izVO9g!aeugV@}TB_}UBjcYpLB@tqf5Be=PX zS%Q_Dmv7+3Z+)9eq>e~y0tJGu0!hf1t2VtsTk#`%)hEDgj6MtmGuhJYuhMo zEMu(QkHe#_2>Obo-dWXi_`qR2{_rDI7Oi;psYmhQ=buAQN0&K#%TZU(t}=gUs{$e+ z3eem5&Wqp1)yo$-s;82qqRkgF1OwVxILG)EG^l7eWVVqk2{wAPf07)I=Vljh>-Jq- zxOjzW>d?7bnS2SwdKE<~CSoX5sA#nR4Y^Cm^ulYs!QQPre`8sIXWp;x0$xYnvOmGdYRrnHg**H?c?sGdDkP ztI;$~q5O!Us934?nV;yFVj!Bs`qnyD$;%a9%WF$;`>1>w&m5xb>>~{i6`jCllih-f zm;I*B=VpOon`Ox>S&25bR!Pep0*E~O27yG53P*|Sl~TRnh5X&xZ+_1 z3Hrhjf=IE74Ag2dH`6hR(Z#6bDc>GBP#5I`*R%~zdwO~dyn7fi5hr+P(OHf_Fi6h3 z$Pp@OSqf!Ath0mU3ni9aBT&j*!)}6i?LnkX zBdlFn%L?->Rti|%TrtpBmo zT`!tHV3q(hyD^WDw}y_k2!R|3a0Dc39EcD^kZbvZu3c z-2|8c5V!{27#->4>sH6|@*LYK#XiCw;0sc`L=cR$BAF>6nI@R>5hQcKv9ZZ_kb@b2 zu$67>;X5Pn9pF2|UgY!O$$m^6B@xV*+bTqg|#PJI6a zcIES=@q_JA#j*>fItNV41dQ7l8;T$vBKoi8_)4&EOGd~}%e($$?bSOVx#@^XR$dwF zz8ChwPY~3j_QGGoU@!enuucAW8GvGwnq``wc7vv5JRF5kFl2G99%PRyeD@#n5 zGbOGmf-rd)p|^@9SC>Pv%geYa0`N5ZSp;PQ(-OgRZ|?wx2S=^1X$7UG8FQ&L?-lk~P4H;v_yF5N z$iYp}kwaA=%Ns^_cOL;uE5S;X`SciJnj}fOhtu zP|%P5{%#Bp^)sG!>Te*M-eUX8@t%5DQ@Ffq@SsV!^-cD{OoqVGg?J*u^ct`~YzIvN=5jft*iHhn0@{g|C=zXPgb4m*^-X1S*dh;eYzF}l z9UoNXdr;+jQ6tDHH-ZFE4Xkira^an~uuV`sFxA&|8fL_zgrpVYo-+L}f0 z&+gw|*b6^t*aJ`R%OFWkL14l{z)DJ%qs>5=f+|R*PcTCzCjgabY2%2zm5Q{E)s-~| zG*GCQsni6vr1-TlpjP6@M?gCk3-}BS4B){BA3!1z=ZHMY(XcE7?da+4u$5>XEv<+W zEJ&fq`CQ$9^n65wN-ate>n*_0gw%ET5e0R&%mkBOd7l8}`4_Qq$ zZFcF}9V`++t#9YCw4B7sMh=-$0}Jfi^UIs0FOQOD`Iyc{y8V3rY(U1gkUy8|rtb+ z%*@V0n~^H){-(Z3=2%}>KbOP0^*yW6Uf2siUD(^I;C&k;5OxKg%<#@p5q5xSRaeD? zoD_f*(%rk$c>CN%tgUaFf_(1z=MA7}wVnK(bmT{XLbX&Xm{3c|lF>n+w7!P+&JOc= zqWC7L>SH-|96Gcgcc*SsQEy9H*{HNk5D}15I!Dg30?GQ~s!##fv|3C@KZqV%=nPLV zg1fVeR8l(Ei^?>WqO#7Qv%L#Pj~>Sy>1rh~7#JAB<;#~XZ&s8v@J9M{go{?>Ntvvz zuiG9n83LB&q&yCEo^C1 zNC7GeZtV^(K5Ggt9I0WRFOCpw7ZFdfV1_3HB8UW;}!wX z#w}vPs~RmSsiY zPWu%Rq|2XC+dXLiwj%S+5ma{c^b&9QvADd2n^Uv6eRm!=C+D!Z%E6NM_+neS_>S%$ z--lyI58>5UFR*WsCiN$RA8oX$`RWk)6Yv7VgB^J4iKn1rY&KU{P%r1ng*w{eF#|_x zhgO2Cj?QlOgC^@z(%Z#%pY6pyt6k1*$9T1CxrnZ=F2th|0(QP$Cx9{9A zP_=*m0S*old@69oU!4g2Z!heHA076NXL-McztEa`z>#*fghDoDd&J~3DP+>$#e*?slTz}tERW_@s8m{F0rRtoX!?wF3xG-SYRX26T4xuQYO*X+5D+}s^ktoVqjppt zLBAIV4~%1Qpx^4Zwo2f)wq`q=6M311M^mPYD`1REPrSWC!yhFFbC>4W3gN+-%2Tek*_1hsWP!UT75e*=#`bO8U?fArrV z!1r|W<|S+|Pr~2Gqq{vq(9ZXm<#+;7(iOz&W}5Ql71EpMU|_(eyyYXMoyE2AL+y3! z_)$zujN`_Q8<@SjXcpddCXGZ}E5;`dV3_?_fHj-d-gJ7G>*N;!7|YaTwXC1&*RnvC z$v*+7Tp>@eyoq)Kv%mXy{w{vu=RZ$iE^u1LAOE|5%lA2lC!cs0Lxba_L1!bfy@Y!A zz|&s%3Bn$DdcTDq)|wR9QV7}ur&%dck!FzDUZ;kCJ<&qu{gkfw3$^jaPlZ>=L& zjiE$E-*DG(>f~_(j3DVgkF)nZfYbM$!N2;Q-zAM110^H^aRM+8L4d4nVu<{ZbiSLN zqb87I&Y_x$@KW*1Z>H`DPAVO0J*K1LVH5!&9h@v{2w{P;qC#a9Dj7|Ex(Q%3B}e38 zaReAj6xgAVAHk5yVSaoji;`Y5JAaNU-R%$B>L5)Wnr{g&SqD{sR*DILBoZ+SdQB)Q z1(leF+zfIG4uqp9R;sL*R*8{Xo4OGA6L8bCN&|6@@U?eOQ;Jx5Go7p|QVjAi638qN zOjcQzn~Kx?l?eJ|s}zWm)k^2ANsW*UmS17s9_B$1%(@69Z08E3j^&Xb;(?stW%1Az zVSjMWh;zQHUZ&afyE0XLf>$Z;a#a>H)+WZCoPGBDf(R<<#6D;K)%CYlU5#^l(sq%^)u5`?6(>K_%KV5 zTx5H+cXXk%yAKBs9di~Dqzl+i=k2#zJ&T(5u44ZYc+$cM)lXJF-Q{=I*3pT-^|$^O zzWDhs8+d9oiw2%_gw3Ptd*Mfiy=lAmX^{MVSKCk+NO?=( z%fzh{{FfFM@!gkR!o`bMIIv}@>Qu*$jkCX8HfJ9Zrr(Z4V!E8 z&?zqFph{&Tg(Br7D~FUID0DZHB^0Y3XGIPL{_5(QIaEsxX*x#JS{E)|GB6>9F8yo= z+v-n#4phGKYmm>8O%ahEl_OeoXC(52ije%-UBRQkufm)bUw2{*22B?@U`5JHmqzP~ ztHWp|{Kal5P+3>RcllZvDiJKvozHY2tQ?&y%v2@H|3c*(4JwR^O4F;m2mXpTw!<^q zP2~%)NjWexQ+&E>n#;;3O;Q4K3J2?Dek-iErnE$-_QR27Lq1{xP68rQpymf6>X}w` z)+#=&Owyi5?w!u0vgNlWtC1`o;L#bXQMLd7cB|7YA6*U+W z3e0KB(Lf0wDL>r>9|bbV5^G!MC#}?S>Y+GJ9_$~yH1%t3xRa0Q)z6wf)zq9;4GP5D zG@W?K-(|fc(M+SeQ-;-nAJn1(%<5aB#!mRJ%aSUv$4k?`1_V{4$=I9UMqBngp`$AoCEw^0G=nUh+fK7MGog$MdqBkuhG7v zcchd>i-1K1n;Yx4qp_?6`dJFFYyw>dvNGHRBVmrzgI*~pDr(ZE%aoavsVc{Bn(mR~ zxO^uhIJJF%fI*JnLQci9OlY;7b1y*$#|nH1I20+sb;OD@+7uN==uR0@))b;tDCS2Z z#U>@RQ?@QyO0=|_I*fdBoV~?k0fz#WY?fu&VZAvWyjrmH=VXbKzmWix%F!i9Z>n&9 zmbHUmgU?C?Uvid~W4ZEi4tp&*fR(^YZ6fQSHLj>Jt?7If&>|)p*$=fOY8iuy6VzuFdYw6Da*1z07C-QHx*C4Vy9;~ zIjHgYPk=!HNvp_YVbs1uB|8UBt0Dzn*{{^Z+FOc>zbTUrzwbh5Cs%=A`4S1Zs1In` zN5D(_LkYmBPpHk9Rw>yh^nxsV3UAVWfd1f+DsC0k?d{bP*U?e9<0c zJYCw$O&iVbfF{;ORz7?2NVi!IRc~X3H4NKYeT@w+Uh&duKsj5>+A2^bpRjmKi-@;= zSLZYJ3xOi}!3hh>au*}8itDiOrVeJ_!kt?;@XlLr;?B*RSeTtNXK`&kQQ;s=EjKnc zjN``++e%p-6#hql^!wOcUBVMjK8^<-ycdzMv*1Lusuvi6%Dp!t*_O6S?hBIFNfV?==?XLXN8 zY!WoGnrKCqrg?02o!@7>Dod4YZEX=Ct)jC-ekcRD=k!@Slj@Dv&ha;$LFYm=(SmR+ zK{_N%slGTGmrxA){iYPOp<#PV96jBgXm4*Z@WbLM_q^uJF3sxU<)bjy?%}c|$#P-< ziX(ng6cl`#K9Z$Ij;E@xDRNU9Qg~8anqJaWigQV*)>wBvlXXVEHByru^j z_Lx#(T9vEp$Wr6ME_ig(lP|2ZSJOdYo3IG7jdZK8Fg^1$C1r|AWC(6JfP*)yi$2@l zQcA;u96yzs0YC#%_FSn%kIGd$DlKWVY{X|a0ePTfH9Q0fwvQC^(uzp+Q(0IP+krUz zECs*Q7wqCc#V3|#S?m+?uOb~4rd9b?9^0H2TJP1uE_`FHMb#M5PgrYwa}wHh?2Y;lQDfcaPxsx6Et{iZ&n)sV6*Iekf%vnuQ1^lP>w z`6G*yre-~CWKH=60^00T0BYwqy-)nCKgXh2Gr3SCt*Wa)XN`5paDb35_ODGrgKI%P}W4iX#I(hO0T3Qmel6QEppK>B$K7iV~ zBc0hoUtcHXms;IvM+JdC^>6hJTNK0oCu^kSnXFp7V9Bx4ia&f$`L`GL!e1uv?b!?O zpJ38+=Q7c)hg3jnW~mto^QI&8_^hkDpI0xHS{1=i1Ve+v=oi0yAOHI5mN+9iSy}U@P~SvGTE?@)=*C z$BskfTlDAj5L4A?@~tZ24!uR(_YNpuHC$(z-3kNGEZ&j zDF9_kcsH4f=H)15DL+k7>XB&h^DY{+{G9X<(2^*l+NKJb*hwzFvRv|6%0~)Zz{eEr zPM%GpPv1!+dkV@*Nm6S)v8O?&*9}xJyoh`*r|#A#!HqPO*!hb z4zATDb~#gf4z=H_J3sSBIZNv^f3jbkDNFe{$tOktNactROhu(H@KkSB*!Rl(EFi3B zYEAKhaY%QF?}m?gs5DKP`Q+2YyR2HqE0*WbBW10!`JLZ($>L$5C~&648)N}wYT~LxdQD#uZ>4#nbg#) z0a#h=)b|CR`Ca+iv@Fw$K#?W;uXZPwElNIH+UrU=s*N=jNn))&dJmMBwk=6+Z=tCK zegZSry~1}vph;F$Sqe2^Q=idx2O78tz^HC=9ndu0;^HE7u3aXhgOz2tV&CRF5elij z1Y50(QYPeWueOQ(V@y}HDZ+~v-yt1&z7M-SKz)h)AbpxPuc>_U(3z&!6tSkcouJri zA%Q>^yBGGtUn)2~ZZEu#g2aKTBj+oF2{8#jNnC!GLu_|%H%9i2;em%9#M93{g~uL! z2%q`vr}2d^d>)_s%%}0GPkjtW4Y5BiKpo;`g8|%v)RqCjThzU(8`CLI$N)*GUH0tK` z8VPEuLDm`ye%{}$oigQJg4}#-_+5h8M?tN_nO;*bI{L-2ikW|o0F{7%{!IQm{z9^PX(TS^dF`Xs(cXbp9b!u*&LUNem7zHN z-!3J$EC`hBJ2RBh&`7?eRohCmvHqGeQmmcG{Lg@j<*Axm)>a1tg#03~+?pWI2y)xn zTa6cbZcGp;V!Nt7N+?T^rMLg(7%hkFrY$t+>gr~D$89Rd>S#P**`k95J5z9?L9NTc zA5;e^S*;N3>F)X7F5j$!dX}1k6qxcCU=k%i|$S9Ivmw3r40+HBQYQswhGi8I=?kz_m?w)6N}qA?qkuql{cV2i+!eD?936{cq< z)^EfQv#QC&#e8ICvV0p=q|-^PuFj)WO7W)(w4Fk+R3kvr&gv!ejVzU%Z8+32vSMqs zr&b!udY#o2b|z~B8ckyt7@ypNFBrD1G+ue-RlNDuTei)J=;&qJFD);cwK}=2ts?HQ zzT}t5BQc$%F}(&yH3}iK1oE>$s@Om*#a|uJt?!bB&U@?hyPXX7!e01^!=A(V`zq+| zr$C^Xa3(S&2_508y^lN;a@oygRI^Fw%sGEZ`!cnozgHVtx(PI>DBFVQY>%M7y9KeR zR$XtC9w`bru)+jt ze@`E-UcO>NTMA7I;P3qAuiy)x{R9s0A7VY)FgnnM!Tv4`4|L<;fqk}lpTN|@!jk!X zHQjP>b#uh(2xDeJ(BPq>>%-y0<5ZeGc1WvslrECqa;2z5q(wkNEA4c?orE!Ilip*c zr2t2V`f$(5;}{(oU><$&QejE3SIRYbT>*~t2@LC0mo)-43VHKOGj*sHXD$p6_v66_ z&)~p;QG&iG0YF4-XZeoLl+Gm-e5kMz0zq2I7nS7kc<^ zXiBt39%lF+l;I|@kp8Zf1>&^V6#E4Gj}Cs;ipdeS<0n73IiyGcy4u_Gz7Y$JdeXcpJ{%cM2zu9Yi;IpxxBMesY^~Ad}T0z-;$W z07C?LCywmL*T4F0whsZg>IPYZ+20t+!+sHTm(iYRV7Na*I+_OXSC%)izMMqZ4S3qQJ7O!$hN~v+mmWOrT1OTf|giO16(56XVD5foGqk zj1X9=;pR=PFrLHd)Ay2}ttKxO({Pymw-@%pj}Cj_>3tO>G$pyEh-8R&gn&k0I>W49 zDqvyiHfASpVQqC0smum8HAu2H|Uf{RL&v>VU)Tr)8O ze$Rj4NgO+JfPkUh>fT_#&^8RwaF_s4PUuun>=SN+PfaE2s1X5=DgpQC$Phm9(GTHa z)k@iU8h= z){tXWe)juF$b*l68- zD5?(X1M&}&)s$Tb0k+u#1T3HX?8mTwe2|$}m|h2Tm)M?;RZ{*qTF9UkpIV;pSQFWd zb@p{v6$kf^;&1)Nm+|yd50i!n(`vVTzOUqCoWMg{NMv&a66`mA4hR%vJo7Ri7kL_C zU%L0yG5qS6K96UfejFVHe&K)%F}A1bS0gP|=Ao&4^@sfvLpXkD9A71P$`z`p6a2Y- z?Asg_2xR)mUvIO7&Q>oDj&>lITt!d!0Q-X%YfIZmuz$x0S_Rq~Y{&|EyUM}U0c*)D;ZgyEXuNCYt`ZD)AwL$aT(XI z-zI>UQ#pAqfF=ONcy_)diS)7`<ky*=GodB_HdUynVwgSr0z& z5TZJJl5~<|*8F-O_QGEHalyLEUU(k`z4trs{;mkHoy8@I7_=9PHpk0mGFV+$!q(~r zR+pA-^T9%n&(k@6-nJcjwW_AOrx)==f=Vx7yA{h>R~DpdxkLc6MnRrMn1Cq~@=+Oh z%qo#h>-2V+oMesg8DKFNAC5z_>7*%-mUtAYY!;h^3Ko-TtfjI@G5-<;UWxKeV5vw+ zmZxBE`l#4g8Hv4j#TvgzQR@4y+1(T;mGAo6y7^ORhiDxmqm@A`D=XM!9aS$K8&WJ3 zn3>CfhwW@m_VAMdi>{6iJb3^8c}Yk)FeCVENkq}t(?fx)DKt&#sGjn( zk))T^p@HtM4m@!Gy|(0gm>{IREkT8=bG~u}9)9%qbeb~PxLzY+tvHnR!kJO4p{=C_ z`$k7GG|-RZM-SrO)2A&?F`qY!SWj1{O`|zeEYkRbwNw=3mB5Sk(7Es4(~Q?nfZ1-A zr-09mW20=}0D;Cft6a9l z19I3EkkTfSF48Qz1+JuwHASQ;-|>ATXd_4&B#04^QC$5l>r;P!7eO6qV7lG?u(ZFF zz^k^Z&@mqO-E-PDhtvj}+TJ4Q_hET)fr`4!vkpgov$n6C$? z*>)48L48&GUJds5vi)LK57p@ZtbY4ttQ_w0cf>_Hn{9)kKmz)PLW?hY^<%=z#$e5A;`995~z1~wK08< z?cucBek$5lw-T7?EWC#vI*l{;9UX zK+n}IvaiPR)RPag51oRCb@LJo#iL%-%LI}BI@`7niCD-0r+mf=+Kz-EL{oIKis_PX zkmF~@j5$!yEMBS7MA%C}ol0SAehx#uy%-o8hC38PBhZSXJB&ij#q?G3zhL|m-I~r5 zxa;a@vnl&_%9gWd&LFwIj?J|-@Kv|Iq&8H4k(E_j8?3Eu;Je>_6&KE5G9JmwD8D?N zov00CH825zrN6=c#W9Ybz|+;x3cHHYZ;Kj?en*060HodHbrjWJ*b9G|um_&rcR|8I zmlS{#WD#;kaBhxHL-6TvR*u~L?f_ghb^(sg3h4rs#5T6K(-c-&tgk02T+$rTxshmT zx7~?*y83u^+sILtj#xy;R`@V9*kdbU>eZqhI9tq9XvxV{YK5b4JE@&R@nSKD0>PGi zuH<{MMd5Y*?i_AREnq8K#45{AY8P&Ty*%m7Q=z6xd5Tj0?fP=;2tNZ#%-j5C_@^p6 zAgYP2~-Wo%Ib@Z~1O#&C&QM*w=cSiz4{XOXJ zY_(}1`JQRhM*-_vMF$FJ%?j1k5oewn?`uCWSqaK;%ko5JH$2$Kw0-1{n;@f|iZ^Va zO2-NnsPOc;jpau|LGoQ2fl_y}ZVf6-x2D@j`+@!YjP?lvur30%S~1T^Rm8$!(oscg zYl3YVCr>r)CJ^XMzp3Z4LIkiwkOR8n@7n=!ESP;G&i3~Z2)WQsfFw(ymvwh5CELf@ zRI`G?K^;#LVOco>@C1$>*>9jvbc$b5($>m0(hlOr1Gb^k3y8^r($Nva!To~-N(8O- zEWujBrXl6GC11csGiP)pqJFkLY36&QKIAb3#`bX6Ff!DElgIWW#(vx2``MZZu^;%@ zKjU`qXP*6CEeQKOXle_Oa>aZbSp%C!6+g5osN*;3tRUs^3561At!)?^88)!0J}dqTm>)Z;BU74uN9N71OO{df?I8P@ zR@2$OZ{$ghb(^1CgwFa)bAYFTnHDlon4!tW7eDKzG<*#LR9}sCud-BGhuLQ+KkBTD ztk#kr{Kt#(hyy6eh26o|Uf2siO)xpJ7v3*H%_&jz{lQskOr^k)Vl37|8R)0rsGw4; zQd#?{V5mUqE{+bP6kt(;oU-i+l(qwPIm++o#>B)y3=fS`sCy|;8x+3%ICJJ6v#JaY z>i7#O7mjFU{m?X$M|;>%hEpL+0m_FbpG(>FO$UXhK*rMI3hquVLpyxSvZX03<*f}E zHL}%_7uf;<2lJ4!e79oK(E?9qA+WDDZDK%*akNTsog>+FI&BWB+U!%mX_`?RX3957 zY0cSG36w8KvEq|ZUP-reNud~u=yy+ zD2tTCcZWeONc zw+Ni+XcX09cxV6#0;x3lC(t4bqkwNT7G#-9Ba5AL9-n3nEiYbig0z+d6<|QqxH=xk zOTZN{3kR#hOBSdy>#Tku?#bdMUa0>P#4wI5JT38$4 zLv~pCtN`oRASfZgjYrrvb)&OP;4Xk8WvVGqcr*bAMlyg zEXcm2{K8?iyT^b{IPB)7J&$C)WFFQ(Sq2ron_yACP2rHse7(9mJD5Jswjc;)dI1Mb zVQTu#*%-EBd~^xO6U?dq$ztUXl2-&y@s=QZdu44TjVwzWNyZ79A}n8h$|dm6vOVm_ zny!)+F&H4YYYma_qCJQXf<=99i4wf`wvoqblOO>k>nRk!W1W%Tkf!qVj_J%q4KVl< z+eQ0%`Qh@0`OY?x+E_<-jQlUIV}0=oc@n|^0cW(MA5DK3%5?$7FhPypE76d^@bEAO z`Ulvqoj7y)9y?2KWoe%8mD3;ldNerGG&*^~x;n=z5%{rfoI`v$zzIb-n2Qr!F&_J) zhq6Uekz^6#KK6TF9`-4{Pa0Th^`!ZMsq^u>7P17{$K@laL7@8EUf2siZIIO13-7BS zNubMVTIZWa)e=S?JE}luJ?U&R9ZBH#N3gacOU+GOzcEQLvw-!Dv>kyUr_NlyLIED5 z@b1Lm@F*UB^a*_EBOk-l&pd-Th2iV3zkwS!uA73FwV+Ff1jphg@MPJMg6Qt10;JNB z4~Hx+eLbDnSY5-+(X%2-5ojfmj-n8V+TAQta#dCrXL_^A5pEib3kyt} zp;Bl!&>%%?K!$v@m2!+{+apj}OL>|?<})d-7?oAIRKVuuI(oW0(8Wtr5jOfIkd+UX zhw*D1F{^B~mR5L4OQ~)GFWRJZadCkPE>2M5B`=&Q2%BD##OEd2ZG{nmfCC<>@CdG? zkd>cyQdawSc66AVv+_T@9cZ5nzb(U|_%JQ<+St}S7O@2*p## z9W5gTrF>*r^cZLm5Ye<(G(rH?lCbB+ypGTySmI}aI<<|`X(gRNi%J&{Op)=k0I2w@ zBWk2bw>CGJMu3VSUyW%0llRO+$8ty+)*Ebd=5H(Un2A<5>gw+5G=2+I?%%hMio4Ii ziL97%E?1ppg)m2RmZ@o6l21?*AiZ8&;irWOg+iV{DQNzF;;Xj05a10_>5J!DNTI%{ z{$-Ba0z~9bTf6o%Bao<+utBgP3yQ3t*=&aG$+l;W&4S2Ukt7DyNBNLP1b({YV6Sr) zOXY$c7TwlP5XE}y-C~uE=gvStxmg$Df0{bfo5H?9-Yf3L#v1NS-sbzgg6Zi=q*7bP zuY4|ReM_q`9ZNCa3*MEF{IGPS3t<`Q;(N$<6CRy2=|XaQlLM|AMh3&E6z0*Wu3=!X z9b^0VBNXq&=C+IdH;4()}#@RtdD;OTuCBs87IwFZ_#-uujSNDO792f#5+mai=o=U?MI$epTs}{5l0qhveRoq$ zol(`-=lGU{LtY#@xQ}2;fws8|X@%1FxJ9Mkzs3QS5*`%r~AI#>Ljo?g;_l!CjH zBXtR30y_$3O$S(h4vQScL2x49C^@(5S-Dg;FxcDEheS)OjacQtS|P34L{a&OhTXg9 z$Yj#C`cKNCt-Xy3FM@sh#yHyVGld=qXdfG@e`#qeT~!h7+R(6pJo&0oae#Enzeg6d z?(S~3TfbuoAfI*UsX(IIRP~l3DHCbRX*iY2u#6A^TnxRvy;QC~);nxQoX6voW#Dx-pMTj6yd=Nk}%LDl~K7NpZs25?< zq6G=EplUiv8+yuNTr08|)egSZfy(L`q&1T2EdhsfQNVW1y+bW($rul+S)n^Uc|>L z`@uL)96yErz5({D1mnBN#|r5VvOf_pupBop?M;>|6!_iGy2i-MAhH|;*a6A}JZ-E) znEg__-xtY8fwwY$Q*TyVDK9&#PaBh#O4bgY9JHwK$*Qb_b+tqJjhlC|w7kxIwZcu) zg&JH0SqDGM5m=IyE8KF2jbQTQ_ly#cJQR>s-*)kR)=Jlk^>gQybJ@EnSt0Oj zeb;#v_rhNIiNYRudY=V(z3fN_3mSPkA0!<(LZy;u?d-+yz5}RG0BRLoDqq3u!Wxq4 z0u=$lho8VF(n{sqfx)4196WLYhmIcObrjuw{W!>b-_Q^`x_c2MFwik9u@-`aSPNQO zItW%eF)+}D4}atXc;d+i34+!+qTHhJ)G7SixOx4$IX`Q)P=tao(F9N6X-4gBc<2HOU~~tEiGp9 z7YMVxsCa2nw3MwB;q>ebd69u%zFn-F4u92gHrnvfO<_wtW2?M$q*>Q5jm^EhpS<0xBJYA;2RgskW6O)>(cF%geYkeV0nBi74A7Kt-=ZbEP2R z_LD~qtgLM!o7YnRAir~D&iqA>pWtv|VG&oaT{i#{V*4gq+mRp-TiZJjB~Nt#^Ty^j zas&=cX?}5b3<&|7>YSr;K6l{?(`VRBY~NsvidfSn-48+gUUO z40QyF7xN4AxOnLT^R6M@+KMohW*|sjl80>_-K-0cBmgXt=Q^ZWhvSMC!bn^CzP6df z+vhH^zZGl>R7zSta9Z6aCG4SM-cA$rvz;3PfK=WB9BvLGn3-8!uU)^1)zuBe5-kMI zEu=e&*0v5_>gye(!Heb9BnsO0K~qa?53$(8K2xuIu(+7S^&2w;Hx29?-;blmPNJ); z5B&opnAm?9{T#$-n~prellqu#Tq+9*Ut#r$WGaU%S8j6<(8e}wWj`8Vf7Aioe(Os; zy<_|wM~(D0m{#6`Rg)JNe-3C#Oc)(rq|Q_tj%nTsAeXyKK(lC zrFDe-E*v~Gh=-qi9EVSw!sx^ymV255u>E|O+DLyN4jej$Q4T5&Q=aUbm|*{Ex1(On zFOzi?Xw^Hdw$nSV@0ywp$j3~Ea}d;*(m%->eBCcJ#V16eRj->D0ya6{yj5^mY%6w`ZEo;viiaCNNEi_VE=xl8z&H5D~aFK;6nM|_$EbrU4^~Kcm96{nX z+stQeD?la?sPe?f68XPInp+Zag7~OedUC}QmPo^$yVIB?4;Po#SXWkqV4KCV9UR53 z_L2o@d1aaHP-nkn8?fC)zW|#oFSGMYxN&5v<-s1Mnl#muUQZ^^}3bZKq{3a zKw+O@yB11CY;N%x`ME;i@aEgsaqG?udFLna;k&_d)z;Pyst@_Fy0VV3(S2y;;6fHZ z9q6p{3OCt~3#9+r4bo85rjD|1g>0Hh;7L=wnpRGdkFs#}_7OM{04weK<_7yi5qIv) z;mY;9m|xmJg?&&KA%Q0i1_T&n*wmSZ%PT7^H*3Ewlb-3R1p?EXIDhdL+uDT!8BpYV z5a4^aZ(O@=Ih0cTz zRq{wvbn%t}(hx#87C>8fE5T;i-aYX^fZQj4CDJI1Y6n4+-XFD@Mk3CE)h?Sd)Uh(! zd{@t%=}Zj{HBHKaULnh&RT1H40sd+Z5z3evWyM;qi91UfWUDR$JHPoSo;r3IPdxfK z^K_%YbQdq3hZYPRIBasu@< zpU6kTPmt7!@$myxa4{i}6l^ke z^Dju?)ZQtu)kY=P#?j^xji(fxR*<~d*`+dtmV_9%`&S53{AJD zyy^&g8yw*>YbxLhmHOf~m1mAXz#T$OXSA^r+H1*{OHeLt(f9(n$jU~AZ-$^TE?u61LbU<%Q#ad zTN_E-ou0;xn|JJVcoXg%ofottI?0jJwJAftrYK8TUt2N1sa&35E1kt671(Vm>&sVf zQu%F@g;X8{o;tKwz(>N}WWQY`owBrQRhO)yckbN9JMWywTW_DUDf0Er6#GHcrkCXA-1HE{5a5(fJc=uI>bauGCz{K#g?@CREEZ0ls6Rd|9ff~YV8+7vUy z!4|=j25{;p@-x)nMw?oSzkc#A&4GqlW_8Sy`Zj^#u3wto4IS4af2~|LiG;U?aD(8f zS%NlIO%d=-E)ke!>Ku|0loRY}(Zl^Gj^MF}9wu)axNz|tmY3$y-NV5b(d^1 z+6zBjaB*ZVRkRo02SE+F+nBOE?OLDg09DBY3LrJN1gwjrYzYfl736C`4e0FgLXfe% zxQNxY4J1=3OZ&jX4`b-S5YtuRqX3g~k&>+yiySdGCg;p|T%bHDi$@lLqF@7m~6)`g}i zWUU-3Q*j&Tn1QO-sB1~Qmb|;nQCus#BwDosNz-*Ubwb4?@KhquloPAUku$WcJ+|Vo zAqRER8t@<*i)i3%Q$_+fID8WG;gB3*)pm6QngQl1o;TP= z8d>YiI8DKos`CHhXYEifO9K_1oZ00wqCB*Fv{u_`Ge)fE8vkI)6j66Q_`^m>1 z#NYb$U&F8e`rpIKCIO9`eatTa#dw}DTm-81TArVCe2*Jw^%U{UJu&?92lioKH}h?h zme4p{Z71PMj37^N8IH$Tc9ewa-IFY0X6&namu)M7O356>vzauKTbl&=)0iR9lZ8-& zJa#K~mW@h`6jywXAJws`p?q)4-dPTUf8h(DX&fs|H4T+VG{%d=$U= zYrl@0w{GL+ZOS5YORG|!e)f5St9I)X)3VP+gcfIG633mYm zeU^2>0R#4!!r0^U^75HEJra+jyMF+^VmbZ7}`5KY+1gJOmR_RYDBF3q(G%? z>l9qtfbqh)H*lVpoUJud?r86!un*%^j?#-9#Rha(FomX;*lT~Eu&03*R|x?M{Cb1R z$k&QgHH4{^EYcMZ%Cd@RG;Ru!0Kvf%6r57N9q~ayXseiftcy0|v$M&hqe-@=HyTY@p_(jDyq06O&eIDRfT@;=LImn4tnHjS*3B*7 z4g)``qw-f8O`DN7q=T@NLSM9rCu#>4#JE(+GSfgQD%Tuc|l82SJBTk+E#m)cO(YA}fNN`n_TT z)0-o76;2NwhwZ!*MZb5yBRQRlZ#-^ zAd<>ueFcBCqE37iSW}Jc5NT_Bf{aGUu7)ejo+O~+x4t0oD!(gc1TSd`v3;RwNYdgV zuUyd7rYtx<_BS9ybv@+@k9WY@wG{%HlP*oQd_8vrVvhZv;FIsG1_W-8KrK5Cfmh9T zFK1o&{^SUtN_>BEOrv9S>LqOvBKo{0mt?hDoS(xIL6x5!uQw4#gv}Nt4gNrk@*;q( zMgn)Ynpn$`7r~gl2a$jmLp>cB>F>eD`aIv|G;}(GpZzdO&@?u7$gG6w#AG4sDHyH( zvlsTlj}Cj5r=QiZ)9Ml!&Ife{M-WS?axhH2Oi@CCuB~)jkd>*x?=^x23HAz=Nfyb? z6z1kuaPI9ZNG9_Xq8&IeF@%AEJ_P#IBMS6~WwiDNnV9 z+SKs_a`>y?<$D$g3h28~umuThGvlYMYW1D|sOaRcJ}FOz-6_{-ENb^WY1U6Meit3u z8sXi;wJjMzAmdpcEK~cgX?5nAd+))$XYR)rf8|%PmQ1m0`ry;F7V}qn_8tUDpSI!9 z+P+Yrj+W*&&Wy(K#66=pbMg>kEv<}{fH&3+f4tMCL{q680VvC4UF6p$A1FDZ+a|Ak zH}bi(Z9gFE_saYdzn5%5z?Ew^F*!Yh)#Vk$!T}6+wILDmA>w6!DCf;doH<}MTfs(| zJR{gfC`vxE90I)qEU&rcd zos8 zu;nNh1zVb|&&*7I7Xmu6kVpY5u4tAYm-#LcJjr)w_Z6{YTufP#9s(jSft#%W;^-zvs8W2twp$RrtiP=Y)U-;yYW$D_b^s6QVqUf^+&(*sbXS==3Q=c#NonrTV5TkV zvYmJqcjx{t=wZR8oEcx~m6w2o;}GuTz5AO(i>xM8(EMHHm=fou=~&&Rz?Hx$&yrg( z8bqVDY)n)POU@l$L!APk4JkDR zcClNne~qEb27P!+c$4ves+#vw}LRsBN13%U3QNDVO=)1Q^=Jn$mH^7wHg{5 zv0dgn+G1#p_z`2BGF!_iWs~R(hne1sqC0>r%P3KfwDb(1qqEz#3K<*fLzROzwwUc# zrB#T@b%H`y0Bs$;taF%k)AX;glh+=2+6zBf*aJ^Lqk&?N|2J)_Xg8QLp&)B$!$ArW zLLU?>c?!`KwiYtTW%3+FRyZ>DAg?p*a#;eYHUg;{67e?M!$pF#QO_CR(ui5dV3dnR za|-nkJZLB6g}G@=-?@d=g;{K@%u`YLv5_g_+SD?(iwzVSUMfgGY1CAa)Et!&^KyK( zM5Aceb6Hbr99>6DdG!zU+X^{Ze+>LFo+*9?DL!3}MZ{6smJOw*oV4{!%2sz-r*w$RdTn?ynXc0|JZE+QJ z*CkLPOU~|d1p^50`Mw*ZKE!WDudU%cPrbuE81=v`G{$XO0=qvs^7^! zim?^T`jG?pRY%oN^s{iw%i(KCdy(<3Hc${CR&P|EYC^S-^#_;3A3Yb)5nXOy1o@)N zRzs3WDDb`2N<&uB_UAfuU_U0t$ME*sZ)0U6g|UfoJoDfgeBzmh(AU|- zo-S-`tZ=Y)1FbP1P9B}W%$=J|I|p~AhF~xTU%UeqZ0B3DqAaso;5~@W z&VFRG74tXj?jC|a7$u;r+dxV669@Oe(_Z*V!yb7084Xevy5#4fpiWSsFm(hx0TM4a z0gzVO)cM&}kaXb?){bY8?~RFkf+0Ckukl$4#qt)SEgY2=>Zmf`06|H;N+rO*#f2rz z&CJ?v+1hAQ$3avHs;<0!4i_(7#`MB6=2ntuc*7_)^VU`}J9EUFZ$gr!3nYWZp zsbs6G1f(?8VipvqTBazKg@GY~6jeh>_1})d(q;MaG7v(=q^SixH*1vc0t02maRjRr zj)KxjAt`@Zon&><=9M~9#<9#eKuy1!)y%056H0LjU@%W9G6ltVKJDZy+P(*#q!6Vi zzZdkok~wwQ4ZEKOTz4P83s&BZCmyOC=kxCK-8i~-%hm5rS>FRa`fZn=7T-STGx?|Q z;y-!x{dqX$eGfP~fY$Qj6FIvxDFgqbHdDT`Hd+4SgVmj%HQVYI5OAJ5fO5BvP8p(2 zY319dOV1pTVgDFez32Peao7J#j|^b3|H%T@Y&cdq`Nt`XhUR1I1<1gnZ7-aajw)NA zMgU9gP1@M*d}O{t-viyw|3)7RGF~_j8D#Bqc&h_+MVrGL^#!I^JXX-GiL85#@7``4 z=QoE3HXWw&8PBGx#RqMx!9bE&hVs(8uD;D=>YD`g74}d0mQ^YqL}COae3xW(Q~5gY zPAd?j(U2WaBiT;rp}=XKG*5^9B8fT^|9rU+M(S)_{<^OGVc<=usPIg5_g7EDYWW!pq;Rj>qvu%)(tus%pW zh>4Pl&u9>Eq8Nj>E)nBGiSi=FQCF1;J(J!*oQl^|E>Q5*5ozUU zwz))MMcF;_&20xc15$M$xP z!nldH_AUxMKQfsDirFT9`*(g1ccy2toh`xT3)=okyKAOoAy5x>lo|8h2@dqzQLH;p zcDu4h=B5?i6C@x_0a8&gnJEjUHNPhpbJ|g&(m*vpg0T$T8svMF*-#tpA(EwAhKN;S4r7_m4zX319RFFi)9kvx5D{mTgBNXf zssU7ra$;d|g>`db|M&#P#zwKey2^40Hly9hRT;mv1EH2q+?<-j9S+jO6P?Ex4+qfG z7Q_8#?!m*4Jc&Ti*>6jA)s(AsBsG-v4Tpc~aeHAe{J5|Oo_^cY~Cql37YALEeOk6wgNR zJ9+B6Lcfd}^Whvn=92hi9j`>wG2 zUB4N~-1*7k?tGEL)~8**D2>>ysS~qAIhmNU|4)e=OK%@`a#bJDP39#qxZu62rvQ4LO-WQ`F?qD1ZP0Ai;#3 z3!g)OU!3{n4QjCVHXSy<@<+rUuvIJC{sXT74*V5!;PuCs1hEENf~JZGA@# zOzDUVoimn9WmtyJ*3#&m3P;*Zic^|Sf{u5IH&cT8PDP|RO?}_JY(%Mx3eN*)u6a<;mY{=WV9bcipu_-=S&eH=Bxa;**p7uDcw@UAFaue7W>YJ4HJN zEGfDjx|mj%b0mipqd=kRC+Av+UeU7qdH1p%q~AmH_b$eL&vz2Z+*A+e^ShVT`v;!A z_uApXUwF227w@Us9eVWLuHABX(&`dlES}Ohm!`JNy0M%7J(sLIw&eix(X@$kCvO;E z;KbUBISMG)G#NpwPAj2@4b87A0@$HRz*NpoHPh&nrtc@v0eTME@j zTX^WbQ+mB_RUKx$x`>-sFJWe85>Gt#kR9xuNp0fzu|sSffzBa0BFHhQeK7~7ohulk!^tmO9vecu7@dtop9n6PJg`WX#WFA~9USKP=`P+z5@ z`0C~ymbSO?&Uao%;L1EYw+NURr|PL9L4`5W6TyiS-8g(8jP8yc1?L6W{lZ4E~SJG3*f+uAsBN7CtT&v|!i$vFk_4+c%2DZ*}-|G}=m zYaQ8ru93b*+vWhhql|qN&hjZy9A_j?)ycvoq&4LuqLdAFIhCFOl;gOotsRs`-{nB= zfB@zx>o-|r%=#nipk>GOEX(rYXQyldI=&rjTjinsn^ZpqwV}YCs}V)B zMn!CG=IrOx5|80?pZOGy9XUYIqMX%#n26~G0E?v}UU~IZ^mKLO=&_^LuVis@e86D8 zt9`%nm9Jo8d>m))yN|yYEsmyxHT5Sl#F{tXeACWr+<#y~Trdk$p^%5pZIdsNmi>S8 z&%bHA#DDQiU&iS87y_)brtb0??T6;KS@$xr;J^5n{~B*!x?zhnRL@Y5yd}W$@tv<0 zw{iB~`;hpnlVbz(8sX`fM(TacgU;P*O_{TpE zpN{|83A;UNw^!|jz3|h7Ji8xq*2RQ$vzK50jaL?aP9|4nD+nG-7|xrC~$8Uhj;r8^4R zQFx~0-gB9z(p~YSh=1TR0|u3;0L$)O08+n6c`B*JlQi8;W1k&<+4K-Wrgodw)Qq0i z1$3B~tt!)9JmuY1)k*OZu*uO@`N+pf%2=PB<>*we%u_4JRJN46@^td!O=a`*_b#Rt zO+WPF&+q-t_zu6E&p+xi*s1$_pS|bWr9;p4r_aXfo!@rTIO%q2*3^@}GH<2f^~2)7 z_p)8pc~@Es)=m!Z*-@R#;_?~$hVf4ziO&U$13@1FMW(F~lEc~iPT=~DtGIRhrh!j6hT}iUieAF9(ej$4OHS%N*ptzOeM5I#lBeFu)UYc zt4oM(WYEH4X_V3@$dRc0F?7b8_GC)uYM5Qv#+}Ix+`2`9I-SPKY6HoXpTf?Im2!x} z(v3SyHC(!z!)sU9@#?j8yncNhx7KS|Ee22xc5qx8q|~e10lFuTAH>MWn4Jfw6VEk0 zrIDnRq=zG1$1>okQRg@e2SCY^Acbb?R?ifHKTAf+MxsA`7qD^Agy0fC^R7Ro+x3Gn zu(bP|qUxFQvGj8Gm6DaRRUH1)7xK-($IdfHxjVqlnMPt3RP6Fuk%dIROX2HxJy)Vy zg9?j$)|83WLs?no&L!Gaz5~vbw~E^-&tfZP#jbguuM__C7lx&C7u{j926 zffk&+m5=_67Zy+P^~26hX_$vS-o5Km{N2yYL&ex*(ZxuPFW2|t>%M!5Hh~}mQdX{l z;_^q*Zf&*`_xtaE0H=;A}CVV~R926bi-I)Y_ZNONHxa$!afxC!31Zr9MXRM4)BUvZ6yfWV;EV z#8;~EL^OcspM4w`-g*NYYx5ZDZ%1-t6`Pyuc z36PesI6sZA{MnzNzpn#@TngP?t$6jjFWHj*o~}0jK7-Yj1)P894Rm$1qCr5_-X6y{ zzWQgjz@x7xfkvf_&DBM`^y1fXU}6}X>nm7YoyQ5jTi^V~*U;G>LtEUB5<%I@(iG!; zlYnBvR=M4}GimR)t$@=Ua??3l=e~PRU~r%tzx%I$2bV9MGpp0t2kx^yp%#``@jL(K z-x7FT$45T?AzZw20p&)OgRdlROirTAk&jm0mTGR~ysg-%C9s@v;qFp_U?`8tsr^+{t91lCnkJKxtjTe^19${Hq)r8Lq>wAsGJ@uCTeXq1 z4k0Zwt?K9X1!6=gI|x0p5cY<`m!_3iXE0hYK12(n>6CSSTxqPSMu}ncCf1 z){J`1g@B(*feKv~5j*Na1R2nh^@Md-h51a+ZDkbm79HA$$nm{W9M+3KO#W4N1PY%E z$(YkLFIz=OWG9fKm0juyQvb51?E*C$p$oX!NM68EX;eQ4Oqm0>HGp%89|m+q1Iy6w z=Cj2AMTd^r5E2zR0w5%pbP%lYcksJSRSH0QrY@$phfwxPPszCHm_{d$~bcP zARZ)0ibgd(TEp7v94=pc3va&m5-t#AT)lh_b5nN=oHVL=xatKwaNlWU30f+pJi0qO z&`IE~Z7#GG#ZXTVItUJ;YCi&9;}Jov`9KlanYqc$=ls(&oSlaz1+DgDHDb{So_z9g zq*F=ko7jg$B7yC67TI#uw&G~-?!n61Ci}4m+u1x0965@3OB>Spf&q=0*;%x;CooT- zlxS(i+PYS@4Ps<;%xMdTOg6)|aAA`5Y9ScAdv}o_stvt8yt)RFP3th^tLPu@#?*%o~1gNL1{9>_)2hN_g&*@AN>svXTzjy@?Kl&t=SJx4aw4jhLC@0i5d~XSGJ6gi{)JL8pf4bOQ^3-zRlJh1x zeBBFs;inCI;OS>I7#fj_k^l@4^j6Uk%%LypLa?xb%{#YH%WNUg$fHqC+CDM0I)zrW z1(_m+pf5@xSVM)vQ76ims~!qUKS!P+lsGa>=d^DOM~ZbX`Uc1By64PU42_JUCDB5K zFKd#_>R$Bs^y1`^BS>&mEG=~D%0+^wl&wS)jZKyv4o1y7Ag6UV1*x1+b=UVsrHQs! zJL=^IBB7{lDNrd?`CFL4E6BKBJIl&L;j4p9!~U?Pm5){=5Od=4_mEZ_5lL!Yoi@bu zxq}23Vbm%lLuEwU;2{s>Q>AiMo^}$C1S8a`%!~QT*GjAMY65dqQrbW{8VjJaD}hK@ zhs72M97^W+TdNj#6qKwTu)TdEK^!enE390HpTT@XxfX$8-*|`KTwUk~f@r)Lx-Cbek#D&o* z>zsCYSN;NH`YjR)Iq_Mi=bm{Qr%xWmfB4fs#l-kN_N%z1i$|kqO|+68uWioSAb+&P z{^pJA_|g}D858si$775{r#~)=o77d6O@_DwU5BX9VSFc@1Bof7~Teq;f zx`xiqE({M1qqVh-VBL@H?QQ;c)B411-nCP^Kx)7rBnWHabLLmfn^iWQ+Q!xE*AR?E zP%PBR69SC%Ix<^%%*`xdZebd&ovj>%%m|m_{`=2jady)NKZ|qoSSFa<*xJI~saeEY zI`Phh>wI@T%wK(mK!;sGyXgyBY;7h<*B#8x%wuM1jo-U&Pkd zHluoR&pr1W-RI6<#Prk*^7)d1l`_GjS&rH6Y74cC1}hr0K-)O*s;FFo9j&fo)&%`7 zlv%!7-yGW6_Huv^kl!JJC3l5)UY-iywhEg;#~`seSbmk1SD3%rOct~*0>%%2;3)#k zUIV>5J=3neuowQaVGlh0tOf~w3Ve-d8#bcO5eQJgx(di|&LBqt+ZOPkonWe2%TW1b zINqj!Yx-=}i$<3b0)Xw!RRm;}pfW3$bm~5VlnxZ7u*+w1R4QJCr~u4)i9%7!rt1V; z%Ztm%@pplz1eMB(!-ol0C`hTSE?mBZO)8ThK}mqZy0^0jJ}T_a)(*7A69g>+JNn*+ zPLA9Md-~9zav3Kub2I-V2M(e=7DqfB=5M{|@8~3;s!@oxp|8CIBYgvi27>5_6U2FZ zmadKQhx_``)7F7RIEnyi$!D_Y@9ssVR7EfI80_lC$iM*lIfAVTbWr+^kBs2Z{s}9q zyQ>p@-Q84DZVdEy5FmjH)q^km($C@l^tXSNJSq|dy70h=sJrDEBDkya&YC7bCU0WfJ`UWB^5_`j?m0x&zk zN8^3bAsZ13V3|aW40diA+e!2)jr_a>?Cdk2$pPA?D|djDV4;+@^Sj4>*HIw+$pDuC zw*Z`0tA(g|PoF$a5YmB}=_!2jV;{vqwnGQ|L@X8~5KkZ;YeAfMSu^ENwy-dVvuE!o z2oK@G2kx^I{I6WPK)^AD&9xzbKK{A=dp(BUT-P2=W$Myu{pXlH}=W4P+ntCdw zPO!)SVv#T&f9zqbEHB}W*I&a|zw&2z637+^qPDTVwu$k52XNng4-p*A>^{7>kD4_XB2~s}ysHhJyyC1TwWF zx*Xkgrl7#QIrt!M(5-{__H5pGnfa@Us>unCzy}J!<@0p-AC~x0yj^ z;WoCXSJ3K>+3K8hI*tGMjT?C9Mh4BuD01#D)O{`R_&pe(=tXjKfkIBlI0S5DS}YW7 zzZqFZ(wPiL`Wxu)@5kW4pb5s?ckY;SED{7Qt*qnt!9)1FfAiOENf1|=P z9~AwuP(3$M!%Kg=duWLdY6r!A?bX+jVSiD%s)O-~sIiA2=FvwVHcO5yLIQBvGOH*eydbLa5oFMSz9LnD};p2nY3 z*=q&X=PQhVzxmEZjO?4hGtYkn|LyilMd?_Z+`Qe z1W2m}=tTcB&pg7<0USMg43{ro!`;bgT)1!nd4g-5p{PwOA0+3;4r7_Pik{bvzPGHGf(2V=bpv<(mejxfB7$Q{P=M^`|L9WPBGj5;D7rU{|oLp#rKoo zacy;tK<^~}@c;N@ln9XIPo_;aH4Sdg{RF9QS)B-+qTUKZ$ROg$W4I@Ro{k7<3L~2< zV_|I@%iCpC0v)JFdy%Va!3p?YXE4^^j(_$~|1s`6b%ySRR;6MPATY5$vhvmkI82h$k92 ze6$aVHY&a@A6nboRA{qkX>;L|pMDZw{Y19UUQ@JaHJYc#ujzgIOwTowVPfRZ0Xc1AV>d>}bWQ z6UQ*v--DCK52L*;hVQ&cB~~g>S=8~-4?Tk~ef~2jXvg=k7k~f1`r9~h^dNuF<6|HG z06y};=WyaMd2#44e(n>Wz!Q%?Vp|f)%GRiGgie5>{ePY%&mVi_5geGfgA3KI$`ur~vkaiQSWbpWtC-L0#XK~96rE0wGafy2t?!P>(SIyKgLE`9^>m886H4?Z@1OG zqb-3%B0^sD;Q42t!@Z~PHJa5c?SNrf52(b|XHFbHir$`1bTR*re)u^YIW$gfT>-la z9=PuWqCppG#cf-$)~Myo>X%4_(b^iJkW>HC)FrUK&UV~fCx}=g;HhKZNH6<4`Q)#o zHR?l0Yn0C$1mQQ4O>d!E$fH&+p-E8Is8!8!>S_=SauBe)N|0X+Y}!uoq?rhh{e@{r zyHfln{vlL>s5+D_y8?VGkEIU~YNpc@Q^EscEJWN{QdS{2%q zWs-^HJx4MO7?s(V4Dzz7c@8?Xk>#oubqG*0CR~2TX%Mj1(2{7!V-G)pTQ_d8Z=b+H z_PHw;&*7i{v;PUNz48)Tq9NqcDdMs!`}`5MeK)T@+iAa$%aRuIn|zRunZULIbOI`$ zT}{LZt5(tNVNM(~|m7!R-DJD(HtgbDitGgYMXb|lkZ3ssKn46uZelOW*{KAE6 zHtlxu*ijU785`MGsieN~?Qarz#PGypPoP8v_To$5!p8O*);BlI7ey;+Zg6CO{pJn( zzOb}_g9H+xXxR44xpn&nUVHs@=)5^8paVw^n)3Q{j`Sz*-o^6j3gg^Dfk5W`#fy0B zowtz5rZG8n7jY{7e6fg2SFRG2_2Qe~{x;?p7m+Qb@z8^3S;s2A{;h8yPZ0Ce(@$c4 zVIE)m=GQPiH)C{s?HgaGf=*+8ZUHy0-*7eyELL&r<{b)kFY9~-sbm^&y?Ktna29tb zC-K^AuVZLv2m}57Xm4%7?OQkSq31t9UQH1QIGZib&&?4KcH`XJ?=Vg)Dy1@h>;LsH z@#?Fu+H~pZQ>UcI2{L*ZuM_(Z93V*Dk7Gv;;}8Gv_wnc7_y(?Bxq^!qFW}0h%ea31 zD(<`YUYvjHJhnDcIK2NDZr_;3pZw8R@b;S*aqi7axbV(poad$cg>x5h>Eab!zH|}q zy!{p~T{w?7$m1K=uHi62^uPVx-=l*3F0S+YmCF~ctPAHa;O31Rc;~IR?2^Sr&#zuq zzVG1r)hp)XQ>FrcNGq!Xy9&WXb{Ja9wtDWz@Pnx|A=pW>zl@_!-w|cTQ7W# z04#>C&IAEg$v!u$Id;90fhsMtmo-!;^H52>u_uB57yD%g>*Vg5Kh3z zHt*)u-ri~D_4V}={Dsgle;n1Sj{}KWJp9PRG%Ha@1m!t+xR~>H%s6?jwc^`1h0JeW%h@wwh`#Z zKgvPWf&Dh6`3C!j_I4991$Y|9UHO%{;i{8{W(^S!2W+7xo_qWh+9GxMP_Su!P5t?U z1Z4zoTiFuozA&1;kRd-=BI5*XAN}A5(9_vt@84e73x5@14?O)W2MT?OC{R%9WIK0r zmBOlkMt++^Os$deb0pV_$7#$kGMaJ?rU%_|2`zBTi6sBgEad&2kX=icu>Lh08 zmT~Rc9fGGh=Ch7>&RxMf=dZG?Im|C_5Y+jwxgq7cO+kMFQ`3v6)!ZCyHwnmI!mV2~ ztn)O%!EG!sy>l$h4T7I#%uFv3BrRfNeH(s%6yJUMb-e!iJGgn1{94;&8MjTDPEXHa zYb!}Qt|6Dn;GWa>;Oe!@c;JEi5hl=FT3$jR5F@|eF@L5fpLoiAax|Tl$z*Xafsm%% z4iYpSJAMquj~>NQUbk=F!pq-z$)?D(c_P)3S{;#a2&Ye;!uj*(v7Jic(4oVouy5a< zB1Z5a9!ub!d(W6cJa+u3ftk^f5ga_Y-yFFGHZ-bNZjU_j2;O+}4dikMP+KlRkp1Rzm-^M$V?K=3ZG)Yp~3>cSMRTx8oW&tY?Y8S4bGlXq?qs9h$H z)FuSKqODRRKpDo$@~Zg{$qMG*vHS?|Xh;2Zf~*zx;}YW*2%IW9cZ78`+$3`kgI0-( ziJI1u^+^Cq({4{b`2^P2)@+LSlb`rFd369~g4~6LMGhW1&1pOoj<7Fm7;x(5J0LJ5 zOPhEzIM|OwoB)r{r|!-XI38g?xEJH&`)z7(V`B>g0|SUNPH*o3W~Y~Jns4I3C`Ly1 z6T`T%w7N*(Hi25zYklV7M;^rW8@KStqYsh4hcQb2*2v2x4j>L3JYs$NI(bxKpAuji z>}|(`XHO9X4YM8l34BJ(!Z^Nf04GkWAM~=#HZe0h!$C%#;Hkp;H`zyn=;`i4e_t<2xHUhoE(d`Q_y^0MgA06cR_B-cr-g)O8b5eehU_+Ni z`sdG`Gb@#*WgdR$VFHY0elH?MMgI5`k5O@6#`@MgjvOZ_s+Tb{cbAH%j95z$pZxTP z5l#3wvghbO*r4JM;J(KK2++o;=OA&Jz^Q zn04g?A9x;Du3RPW8#Wbm`0x?d=>Vptrp!O-;-w3C^|e><$}8W+n*?Gnzx*Am&y_1z z*uNUKf6s?M@(}`oo49-Tj!Yg%P%-Ws89*+*iHq;Nf$6(9F?ox?njn3Rz#*N=u&wIm z1fC}lDpwom?HfQgn~#-j}VuZS9?AOU9s~msvpo3&J_5Zg z`A9%dps}{Ggr}c*ia^S5R=xYq-j55Ht{@tV@bzvm-Xzuu-qg?TyZ>PV=NzuyxGSG* zvpRk9qtD_W{Jp^gPNDZF+59O5kra|)j%IDGo4Cv9+`{xLnH0mmH-5@>(>J1?`YLQL|F zp8)l)}n%#VOiQ;yn0iU6tU!&bI}nWb&4=NoW^+fmhfFTW}B zxU(&ek3Rn_x;wi0ZZc1&#rDEp_|FP^;OS>H7;xgGe+uir(o7(vC`0ff4-oGKy^3scd^nYO`E=n|6KMdlMkDxK#jwrRjYhkttg zVJc<$S_Gs5?YeP$iU4bkO00l^-d-F(ag@qDh;THBTq%!en;c)ep=I3TW8>(gvKZxk zbad3FrF61-XJ;psav#R{OiR@@?RDtTLHjHV%sv8-iSY@h=csv{K!ouQ9XdoMFk;W; zlq)A`O*st@4G_Q`;plqQEH|2FIDGJ+Ii*i<)PIztXdRg`!Msi$JBGuIbK>Yx9HR0+ za_BJjv#g_3jzT^`CZ4egv>w1&O?*3pc2+7IUG)y0?`Ou ztKAkBm+=2#?>zwPs;;czrSh73u_W7a@4Z)SFb0DG(|d;u1VTDVzR64`WhRr%Ou{6S zo)Adr5D2|vz{cR-dvB7h-rMU>`q$c5*A~L21Tz05Xv@dV+}m z#ej92`E+(0JhuST}{m`ICH@QY~8kvLb#rBwi8^OZ&Na3$Bx6ynKKayg$WEQ zc`sp_s7o%o$foUNdm|SILs=e89~~{P!o&&F`D`Qh?<-^5ry)DL&_L^Juf1u2W#7Jo z1TBZqyLYjHrcIl-uuMs25Itk&ENtGg#Xzy9wEFcQVA`H%o_o&hWHd!Pmi4`Q&05m{ z$u?*J+u`*2^Rb11DijV<7>xn!V>Ih`+O!#X_PG}b zB0`vV+B{rv-nrR!Y;uN=9Eak8gHc=C zh>FT815_;pB#i_yb@ffC<*}LI-k+9%dGpUA=-AA>MVUAGb>auZAf=OpypC#BCuqfC zD(1~Q6^#uI*t>TR(`~USV#Qli+kmm-C$fKMGGyBdydArC^ZSUSg`lamEsSyks5!G| zn-(f3I}eqWwJ14EKtuqgm3NUylpr&NX;Y^W2xTEBCl7mfA7cG&wrTKCC}CjrNLdLM zEjkCWNQzCFE?9UL2P#|8+8ngJN$XX1qylZNQJj131vqf9+_bEzo^+&eAT@vPRMuNN zzW1GfF&7Ut)s?oQ@zqyfwiSir#*9XDa}(S5AR3yh;Pn85`uE|tH4M)`_qtsqVq6y$`owbvJIOFx@Gu(?sy%TlaqA8&U%3X!vJE4+(Ea;#at0~^;L#OCb> zv2FJeG`6Or!`BDxfj($y_oI#AC>)OyBt?v=hsn6(2|q!Z4<3Ogj`ow1{bW}1OrA{U z4gv#>*!^Vi+FLBZp!?3AVCRy-H#Id7IK(h^(s&dT%oO(NjiCfATEQlx&E<6&2KUN9T6!{0 zn>!tsUbK)S?D07B^y!#BZ7fcmGX=9|PQ=VvlR2^;gPAiXVAkx3n9JX%@O=~0Ved;(&n>+?nCymC45d*Pm-8wY2g^*zR8K|VmLS*0v=^Lz0mh6TX*MFVLun(3DJwmKQR7Bo>ddJI zqW13FkHZ8Za$Vr_dnw=+;yLa>1eH4^QrfJgkZ3cqE z26V;|U`n%c;J`t6y*^&^;gmUZuxj;c1PSQ0nl3-T7Z#p#j%jB~N)92#lZ-PKoKC=& zfy2z-gbCvbJ`V7_#HK0d&OOb1ByHNV9g%Q??J@%c`}W43?OWmLNTA|KDPo}rItbX? zwP#1W45vM8hc>1eL0crwKGhHD1cZ$(ZHUQt8S6TQZJ_C$)Ko9pLQw-U{0W~B`E2_jwr<^md8eO7AXCZo*5ly8!ze8&LsNYV0t6nX%sHKT zsKKtiB?QC-ob6GZI%^^d^YhGo!dJfZB`iGqEDk6}VEfkX=v~wsQzlPD13~7&L%Rru z-@-d@FGVf;pQc^keDh7Fbp#DfO<2BaB}&=0O)X6ZWVIMTz^S&bmfvI+LH~9vduN4h z3SP~A+RD0DJ((*E1Eg#}JJsq?9yqXbjtMh@Z)|MC!K0N7RVXR1M{6tvNr5~hQZo@^ z`$shj;Ww6-m4*uzo@sju_JF4z`lP4_JbhY;{6j{W=K7D!N+z+D;K(+`kNVmWHm}-? zeY>kr(Gat}q~hLUw6$lNv3PAT0Kmt_uB}pmH4(Bp( zm1abqrp+@DN0nNNpO)t3=(ZXQC^Qcr-j6BMClNUH#UJjw56?aS0+uaXfsGqBVxa~5{)-iykbT5M!pY}v9I@4U0zjNHv3 zrE*KbvuoFO3dGm2desW6&o!&pnKAjc?K>&O+&fSHeo4kgYe3YS6_r1uepftlRcB!`uzc8+H#-ZCkP1# z!!`}2W#eMuzJLdzsHQlhj6>5xK5#%xrtU{uYZJ6VY7N2Q|NGvLu=ik@X^6!BIZm-- zdt57k1j9f|%P37pQIJ9z0n3@j_n6%gd`!TT^H9C5?4FWauE)9dpmRG6$WhK7yH;8K_IUW$nTI-tmPy@YtUo zL2Ye~EwjJk%FE6E>GjuNC#dk5ucq5S`&s+v#wrpOF!TtJT z%cd>tOI}-spX!w#u{PA#H{-zJqh@2&*wTtgQ>PM~4K^FG{re7}iS-nqKsJY;>>rVM zg1{*oBUx7?M~}tb_udO_Lz3)C<3092g0m#1;q|AmoCM1RQI3O8d06+D#z!m2)L8E< ze+OH)Y{RM3=VJJf;lv+tg3hf3Zt3XTw+~|R2-dD$gAAKy9b>>mQ?jd8tu|wNO*^YU zu3ELq?82r`pN@Y0irFt>1Up;#d^+SrNAbV|kFc)@oB&9)Qn&_BI2P&pi7)`_XGCIb4E41Bc+o8$W}8`~DB$OV7mTzW8PQ zoC#Y z!v3d~z69s|E-Yw(k>~)ivU#uf=^IYvu*DOz^2_6UoPAmLPoac{KmySTc#=aHIWPzJ z{OU)TGMJibAf*iycJADbXJ2@c z;9wj^jv9w13ep`rwxgn=+@@M`a&m1o*yJgbYzpA*W$)OkEUn;~HDd|}4d_FlP=lpQ z-Xa*N=O}wF($g|fS662)6XXSb@7`UQGkqfd;l`^hFWSCB0BrrbjRp#4&zfT(XQvF5 zDZGS(`VSg_DO1NGJ1b!6z4q!G$j>Rn8E2dYpFAaYL^vX@!Pmd_L+n3X;b<#5J#@=s zvp|#p6=gNAlZo@b1h3;?M_LR`gUQd4M&VkOCICf2!t0vq@@Stul2)F{09?=9cH1ok zG_AP*fj^qv&83%If{QM>2tT5r+PrxqycF>N{%`+^mCIM)bdK_$e(pJ}Wf{ZK1QuO* z5zd@9AE``U+75Z4UcC4<)3(TN?2BLgA|lZ?$nmIFXsIlkwp+4fDek}jek3!m0fNly zZnz%LJo6Ol8)RV5co!`)O~%q?OQG#bh72BpZ+z`*_|O0P4+54Z)A3-SiN>F%Bw0dd-f>=gu@{xnbNET2u`vyv#jma z66MuZR?oc%LKmEMHXeKODO6S0BgK=>Ht@3!Wc<%|OVXZ*+CW(LIBZM;BdsE{EpE+jO z-H-3G{`j{_C*qYZ^~l8@IvJ_o*tA{fe}36{sfYd^DDbr}cb*-m|Eq2M8wvqU@io-f z*>e2eg#{EeUJ9Xh?AoylmtA}*ZoKYl3dflgmZzX^?*bexIb=K1%Ngev@3<9{CyvFM zRVyhd66WDqJE#kcO`9?iWpa7Ik?y~I>l;{b=IJdjIR*1hokalVXp1IIm;kTe zZ_Wd^@7ReS|M;iawR;cFI{R$w*}ES<_~8$2Isf|2+p%K#8nh6|XfxMk35&UVB;@93-hWf^43UR5FG}28nuq0vTuK3YCTd}3NAPQjFn`tUY(_pp@ ziG&^nr%0L+MJ4beBYJ6pbWK{HhK73c;H>RCi{MElsOB{RFr^xmiFJR;M`gzS~z&L}TG{AEmeFSRS*Do(8 z$5!(B3C`?yUM_zJ43uSOrV&*6k&@)DPD^3Dauh4OKR?esjJJb;QEn*GZOasGuM;KU zk_(YD&zNW6w^v~v#*H6?lF}pQabHuyveD{S+~2%`4;eCy1DV};;GsuwIl<=NGM$K5 zy3`{Vd+21Oe)As9)B7Ruzh!dE`1e{q(fgJ0J@+Ui#Q1|0#I5{!i02R2??Y{UJ@!Ap z42d=Sk=4+ScpC*0N42k%ykoCTCj*U9Ae*g}v?wOfbw*HN=L7be(-}I+EmaFfB1a_+d>2cYYCjnux;BmTdDNzfB81D z8Na43GBN@fIIuq|s*X}{Z^Sw0oDXgB;!X9MQTBJf`&|mRZ2a<9zd|?^#&gdf*1!Kp{tJZZ{HnhEVeCu8CE_GCMzvp%G;O0-J@m4w@F zzXgX59l+afy=hZ@+Ri{Yp%sl{`)5eD%1sJ4>Nbp9JDky zK>Mz}^73nD`y}m6pFX{D`|Y=)p;0U79I&SBO(5V9h>`KHrZ%N9(Tcm@{qAmPUo*jq zbIv;#r=B{OAh(Wj$wnxJ!nZHJ_ucQHkpfwuQL7g(ShNWD-+v#jz2Qdlv9sfyA3PXtU<|SY~QH1sx)OIVHqD}QAL;Jd^oi&A<#J(XCa2BcE<64+OP%AB&rfg+! zE(f&9{NS{Cc9h^zM*FfAN=Wm?d(wmr>{o0n+~lI5vbu)-J_FeVzUp`V`VAz=ZbDss z3qoyCtX{RAgOLlcj)R0e0_M+s?iTBVm2A&HJ@zR3PAP7_`6i4SF$%@x0o%51$7_q1 z;Ldx0XZieFrT3Eup9Z=3LDW+R$EkZ=IA3TFefo&P3lv0xG*am2G4$1x32pXYi02r&3_05(uP9VuwN8)3lT{6bfBQ zD{HhhLp<7UOU75MScM8Q>|BnpPd()n?A*2mcmL`axaT*&#=*UNOel;UJraez@`%wA zhy;UnOy>w*o8>k)Fs~Hs@@us8&84i`PJYp%VXqwzi{>RpVqj2zTAwwm9b!Gnil+Kk!o`25h86Y>G zmRU0{ffortoeMAs_(&KgCA03dvoPWWCQ4Nx%LSN@@E1w2CbR^JwQAdG2&58>X{UMv zRE$e*2;O<;9n6?9-Lx1gQ%!X(;*mIVGIP-@zreQ3C@-sG`3YD@j$)H2A2BQ;Sb6+U zkMjLxc>ek4uxIZs17Fc-7!{Rec>IY!nZKo#E0>#L{+2Bpuye;&0*@ouzI_WeZ(NU6 zy!Z4|Pnwp(bvC(a{RX`B{0kJytMS&7rP#V@qdET6o`yD+Bv8%v5*U_`r!@q-6DCeH z8y`_+MHQ-rU@LcszcBQi2qQ6aq=xq?+w) z(`IZfwVk#Y@uqYTXs079-G}_#4EE<3GSX8}RFH#yeF{*Rmr2m06`|P#ynfTVg@OdN ze3$5i{XS+uNJjH&^7c6UBlF8fWxoxYpCScsa;hD5J<5KmjilQMc*AXtNMc;FRnoRT zNd!=_a5I`4D;Z}K0-h+^TB}iCe}r|{0AgKeK|_6=G=fYcNMINbv0d7EokzCE%2jLd zZ$_ue^p0n>HJe9yn+K#*Q0nZROH)|M6p7JZvof=hn~R z@$ddC9{tXD@Wbn_#SgB#3cvXL=kVNr{uh3H(~bDgYp=rHU$_-(@BKA)KK>xD{~P{| z&wP*1e(UP1J7WXBan;56`V|-BYnNYwJ1@NiU%mKZeEGtQ@udqc##b-k?+Y%&SI;{i zcP=~^cP=;!Uz#@`pPf4&x6C^S7fw0_Gx`j{;A9UL4(o@~*B(dCksTCz+E22Fdg$X* zkLKy`h~BS^@3}`IDhGUFj-GuKLak)li>vpdhQg$A$6>^(o8Y6cDXXox;p&(zkd&bjAS%6)#4w&^&jS)TqH0he?tjPdtID%1X0Kl8XRM6Ed>SG-U*>Ycir{-lbABU`L?L>sMWVB?Z361gn+OTZwU_N1Mj+ zXlVtG3JA3}p)J@q+iKMO&&?FIt43og3Yd<)4}lrlA#vNTfj6R}L6YnK_kdB%yafE+Pal=6Ri9 zr@5t#z%a~@AZAm?()!3HgQk52s!i)i5>VF4Fx9!H9tHBPZ*<<5CQkLGca9P3xg)`6 zbS}_k9YtDReuwQG6oi`DKN2YJ+uNo&TL`#JW99KMF2(QVcT&hSJZ!&Y_US5Ic+q9p zvwt_5Lyi1iE@c`C1GmhIwTFz*wYj%^gULAkM|b@HX0H=Vny196P%Gkj^A}6F;St_11?xH&^zU@!lXZG#dOvyaX^@K_L_KwIoVwRVkLKx9OaeHjX(2=Na75g4_%Q1B>_Ow9gJ>u}f~u-g zj_{A7xvi%24Nyqp@1W_q$R?x}Wc6Q25ILHi1|)f_?k;kvZ=-JCO0?$6(GWvvKD9`DEPv#LsPac5UM! zTa|>S#rQW$&ML=_h2IyDEHNKAeR}u7)TvX=S)}Yt#B8NK&?+M_V0mel&5f9{8Ss*c z%iw(8ym@Nuo~x^?;7Jw0ae$;F`~*lO z)bv}zPuCQja|scJ4*5bvpZxk8P{=9XfotZJUyppHHCSY1OzxNP!@7!Z96lBw< z0fMx0jLd@YsKwZMuA`>h6b!iXroIUmIH=v-U^k_WBnVIHAQ(y#DDqmK2(<}ZQ*1h} zs)B=`Bjsq)fQO-)eWA9df!8Vw03AGV*c@>-H3j(|K?&_UP+)!7(4orxF_Pv`_HELZYX81zQ>XAd^w{2l>({NorVYzEU|ECp8&_aG z&o^vbiFNDW!Mb(tu0RV&}-pkWR6@7vD7RW<8cg9}Ha%P)j=>wGIc)I*;XeW2z^_cD0RS>tn@ zx?hjp{Y|v~;OWj^4t0MoarY%?`oZ=OgBH1% z^y`{9Qjvg&Xq`Uzk z3aMaf2U^=(k>X9pmKtq^0(@b?T=O3j;s`L80yIugkwPFqTto~Ou~B?(?_%@!6d@qd zDkjr4{us&$P&DCn)*18hOOF{N%hn`4BfxZH z=4VNuLQ{uwDHJ6F+bNJ7u%-Mv_m#d# zQ+4`ZBn^}Vg0wlB!n8+NztRq9&$0{8Ki_;R$#p|Ifn;k-8=igsdFxAeoHxzapMNF41*|?SL4iir?IbOp`u*-DH5n?CtK!M2H0{@A@>PyFMkJ@Tz-Xl zWq<9p#d!0LCAi{}%LpnO5NBUie@N#bVC%M>sBdV)*T3;k_}0JtD~^;^fggcsM+6Mi zMkxd;sr>Eb_cLNxKV)ZUprGq4B^ibJXQa$ly*4-3nRZp+e(&DB=I2OlufAbmm@!Io zexp@Hkcu@;{6nOIDk+) z<>nO-7_vMBS_ytHk!TVDbsMg^`Z{dcz6FDa4j>R7kNfVs7k&E_@wtQzYD!B>an^#f zaqBI&vK^w>w0S$G+<2qqOLXYvSMX2&^d06WfiHjY4z|rO{OXs#z_U+3j#O`ieWo4S z>|5=w0Y;qPuD)57T{F|_f9eODBZU^<^wdTc$I-N^ZnPn{>ZhF@K;LtSZIQ%2F7257 zeZ|<{%zH@2)J7Fuw$$OU+Ctc>+)F{9quUI6nwH>HOUt_T=Q2@!jQfDy@5&TlMTQ zu;M(E#t!bc4(?Ebj5lRLmpVCkFY3R5jC*{X!lAJpP0<8GUV@JHkjA5UtJ>+V7Z2%&s+u}ubY8Dk z@9-#GkO-O4cQyf4Q%J_TVPkk2iHgxl$jW(Rkb+=EQ=7#l`rb8{qOhnC1svHv@W2D+ z5M-4aT>FZ>e8Uc&(YU)TDD91KPo}RVrTwsLl zBy9y5v@}vO3fDWbF%b|55j04c=v_VQOgB+3Q)ugG1AO*i3Hl>Pk6`)A^{8)b#03|g z4Syg7;b0K=-~RwsEnjCs<+95!=DY1SUG(km{||QVJIvh3&Ph|2G7`7!S-t4G0FFq0 zYXnpbJW&eTlowAOLvu+)<;&4rX}U@Pice9X$#sC1$~z=st0_Ra4p5pMESKzcf^1V6 zQKzzACH(bnz^6C_F0{N}EBl->D_=@a)2;H9qmCls>5Sl+RuUUqE*JQi@|#9btNqqA zH6)?#6wzr1&Gw}eWJ&Ah04?T+=_#Muj>UXuF$e$(lnNNB?)82$QHYl3YkE*qZ`$y- zLoNaY6qwf}_C3G99fSJkqq?dDeG3ZNQmJTb4N^GA;Gr~SimGe^8kUFg9zIfvFMst; zj2Jo6rtR+k<9)~oXiq}sf%y=YO|Y!dXgh`u8;#F@@vHdeH@}0*ng+D9{p1Z^V8%+v z=hW|q4KBtVx8G>q?}rTNgO(;|`;+3n1CW)O$FlmdW%Fh%UAlz*)^Ga()>hXN-1`W& z2$cBe0;ezmylPqLNE52Tf$CI7;;Ivs-f15a5t_NSRhLm8Rp2ePKs**CkZ46wK{lpO zonS5~N{?0`H$M;k2M%O8wZCI3vU3ago|pYKhSy(ThN;tL;n1NH6y_J2tAaoL@g98P z^S5Kv$l++HuO|@tmH7($!W~~gU2Q#{diqJ+_>-SG`62Cj_67Xcf8B+%7cRi88B=lZ zJ-@+QOBb_F>IpL2Ssu0?(^W}QI7o5q_LyIOx0AJV?5pUXZ{ zeP8`mrIx4u);RkEkDerc+pM#+%nXd4Fd2hJj3MYPH$Pef`u4^>zy3L%Q}DJa8IM1T!*N3C^iTBpghoO_b+Z9ZEtxnP-yG%X?xNk>&z~= zlg3F}pRT}3^e*5;YMu8Zr2XZ9N5baw8+l?Y9!{%k!f;A7|}!% ziU$>-ct{^)DOk8#8 zB21Y$3@IHg3{m(f{4xVR#=%jcmT`;eNg#BJSC`&;;}vXPw;I9L1_NR#DH>IV(a_j{ z+WIfdW=v zmT3swV5ODV?HX7YWc&(zgo1^DiuEQCcbs(Y#G#{BQwhk(L7>2^t_xV{xwf_t1u;RL zye_v!2qFlIQrN?j2@pF{5sr4i7sx`2CxB2y(_h5NjV%NO(gMZoJ%vb^N4^^)h>+$k zD(xN5BYY=FLE9EgFf9rD4pdb)f*6hfjcJBr2EGN>=wt}{KF?$!@H?0=SsiU?iNtW;@hzqtwQC?BY@~2|| zp;D9_EoZ+863m5ASycyZtXt0hs%7??5=>9aH0PmOJy~DhfN9faqPSmw0|Y~d4nzL| z{V{0ZAOeT+7&CS(o_gvTGa`5VaXBrfd?c~%f5IfX#s+74mcugoAmSmuji^pj*)D(WN<%h_;CF8_jlu& zXP-qY({=iOGA=mxV*JBrZo|bFT#j+0CnGzfkU&VT`u)VBU5=f^E@_TQ*)7-x0I=?6YyoDYH@Br!T(&0#M%5Dpc8u#n|tTlpIBQX&G9ZY9(a(Id^Oq3m*9`f(q^Y_O(vpc(KN@vpF}~oJ?sYDnl-|Ei zQ*LKHZT%7I7kyAXABQ+rO->-(T#xk3G!zW%jjUdINYBeL*97epRP6~e?r18!@#A(% zY0~be?K)>PujXP?9mfBYYK@X?3x z+)K}6!{+taL%{j^lErx7;XmTf&pg3<58{7*@l*bO088I_3y(kfIG%d$Nvv777O%bW zIv)G;<5(@$e{>X{YLI8Yl+4#!KcnlK@S>W!vR!kpc>5?JcO)i&4{B@CJcS*o10|w1jNa2my^vqq2^r ziAyH9)h5o4|00vX%pDV97MAamn!Ij3gk}CyGK`B@vO=#o4EaRpT|u%-h$h1W8b{3TXE%;SEI7B25-EvlmJ6{WO-PZNmfT> zw5;#cqznR~eAL#&@X&+L;ZKjfg1dhFTipHINAU#DzxSP=FzxT*>tFvKe*4>dv2Wl0 zPOX|#MOx9=#y-{B*6K`SnKng^O6B*=XKn?gjg`v@8A3}tB~l-;ewFMcAd}XNgPlYs zkC{AX5Kr;>j%)&kG*nfFv2N{Ny!rZiY~50dH7j@HsXxDtS6^O@rEhM;qYu4+=bnBG zue`Jz2liF7e2k-m?a4poRq5z^uPCn|AUQ%n-ex_7-P8K079eybO?}G+gIrj=y==L) zVH<(7w2InKa>0U`IP1(=m@{V*`uERgnOj&c@&{?qlC(9BzJH!~Qk(+;ZQ0VUVL01b zt72!)o^1|bFS_soOqnv70~rqNH2oOY^f>cCkS`DUT5a0a!nk?e1^%*`Q{VdEAmi;MOK9Cu8s)V`YyG2(c_Qj8U^~)&>8cDn8?y_YC@)e09s^v8 zkHF`H=>6(c8ZoH_y&!;MI?W%Vu0AYjdO*S41`y;V$PhbX$sCo&;0v}REhcvZ5qOh0 zdhTdNgy+!=2~CFr9Q|oDtkHExB0^>zL8P@2@lXQ-DN%%)YY`4LlgX1M5)8>H(o~!5Q7XzRDIA?mS_KTGbudjuERM>$2HgALpYV&{K7yb9@?rex$=C71 zD=YBlXWzubkH3uX|L3pppZ|R~e)oqb@c1)t;5WZ}9QQx`3LblMDSrRQXYkWsK8X7s zeG$LE|7rZ}?#J-Q$6mr8AAJeGf8YgzsMqkun=1+I*d8s~vekhO_JhO9D{_ zq*zCqW)Vc!NM9}>+T*NKp39y_(-eYG6x;S&tLKslUXnY!1f0@{u|5;LOF`;P#dr+V zBoWZCS`8${2>g;#wSp@_pphWJl6wHzNHJX*=&-&M>IeGM)Cd1!Z1YG*h-He}wFL9b z*0X66X3}hFw6tB@st80UvAlLy$FJPnti;FM31T8IXxc3kD;q4VGZDZQ% z+GcFqx(DC=?ho+#o6BtF7O^9tGj#jI@;1ZVPO9%LU564b;5WC=t%I#LNh)8O+k z%}4_AFzc0Vk)*n2TcjrQep;I4FG^nU{L8Q6iKm{!0}nk;&>JHN4_Fxlg4vVUcaM{w zU^dDSEUTvWox#Wd z3K>7=K=TV{*v!U>-QntZ$c zOZGeTu9+Uv2juy$MhiaIdE#jQJ}P~v-sJrWQEF!g2!H8EZ0zGxbjhR0`u83O8Q!1l zGr{jRlF=IuuxML!37Q$g1YF&xtxiNj$BL_K=uRmMZnf(fM77dF{UxNlw%x5V93n$- zG)NA;w}UzPcsFX-+$r#ql}$m^Z1J6(-mgww${;vYT5)x*PY^(fH2Rf&M`1xRnU5cR z`wWDaoGV!0>}XItDI9gCvOdTpyL{O)?l#AK6lmJ`RMRr~`S~1OCX=B?P)CNZDHj_} z$77HWAK7e3?wXB@R3}|XJMULk)uO7p#sra8NJ;4+<}Ck79yV4X#})STdIVcS4ZZZ<&cn3Sq%J1 zQZtVhj**14g5pu&6@g*_D80fz#$d0gy{*j^j5PHqA5#gwqZgT;M2CR^ryc~R+@NQq zUGNYP>Yiq=F23k|{KJjcqfcR>IgT7YYy>X6=n~ABsipFzSoZdE>uUpw`y)Fm50#a5 zsAZd}j>?W!n1O#)WexiDDMnRQ9d_^Di?`oiiIS3|$jK{YR^$Ye`DcB~xH=;%%i?2| zLVl1W(BtiG>}QSWQ=Ea@@3;=PeeOp5`wzc`&wuG3aQ;PS;`3km48HSk-@s3Q_CtK< zU;hQurq5)#6Q=od?07VYA<$%jtesgu0*+d(DO)IQ8IzTfZXnH`5tvrZsxzr=S%;<( z<9kl^3WO3M6JXfJx&%^kyD)RsEXeP($M!vV?X@?sZv6&xz2GPClFdo5mSQEAOKR&zi*CxqSX`IZSiPUwb$LM|Y{MVya18btE z-_t%HhCU<|AAHP~N0r}o8q50yR>TJYAyGhSS5lns4}=_0lYOjgKSee>g5rCBI(ePGn+79qnd+nx|YPlrFZ-&mYFCf#~yk#5STCo~S-d>H;vRY&p^h0K5 zwr!Ci0V)4U5)xV+CZ;RF`EKIqod85j%O#Y=9L<>}(~&?Cqtyy8Ga40A5c4Bb)w41{ zHf@DQ*ugdenGoaW=$IAjC-6%Tc#)CjHRpsG3@Ot3n4v94=lrBKnoZ@%G%cOX-rG*F zMxfEbk+ANkQYfV|53&QX*(zyGItW72&9+E(Jna+;+Ff3tMXQ%&G?GFvBI9E7d!!a- z1R51HG7DNU=AN?>c~8t%A=DW^TnVi3na}tV0^~{(^A=V&L*><-vUkXn6n~M&8u?jC`Fxu>P1>AJ+FMunmHSNi+ZEB zx)P_KHivyNfjOtl#I|jluxsZIj2t!6Jf$}@HR7e$Ud4cc18t8!xh0T01G#M2yY~Qp zr(^j$s}O9BVC|aCSh;c?RrKjn$6oN{p=Jh}8i<#*lyG==zgG+uR zDN)v66U!cAUu@z1Ao~=-bV`hXya^TMM^RIw!GR3F9a5bMq{uOBV`C$~G4+4dqrMSo z-qd~+JRE?SrnvJRUAJ4jU?ALnyTM`SI@c7f%B=k7<>w$H(}T<`FA9rt(cD^(vWmmZ zvo_$B15vr~=-|MBO{VRIn9rd@2jKhP{~o^k-EW(#33;2p@rE0*aN*f}&Tj_q1qHn< zJ(n^wwF7_vuC$>J@KKhO_b}UV>GHMs;axw&Lw|Y_o3`%cz{at6lRccu#{XEm$H}^| z0ztEYtoq2yufBmF{Kt>++zYR9z|_q0Wirp~RW|rgeZ{T5#CzOx(_SflW$1r_!cXXb z8B8A^XopWALma@c{S%dgMmC!w@b+%o;?_>^;-veR0fvuEt`=^Yqx^7`D(_yEZ*-D8 z|Mh5zm0$MEqQ3-8Iz8?~Q2MvWA^4>((^D=Dxz$vY_5ClQC^}9K+7!74*n^QW3X9Fr~T5PnQmz!@)RHJFfW=6)p znoj82ODG_D-2n&!0%CB^2v(d^?~6Ig>vR@J|LK|OJolR2i;igoMDA}l=(mhqwGpd) zOl749kdw)fm5yF{Ip~!ykK4J(XOO{bR&F{{1F2{Ww<3{3Fh=2Fc0dGKnr@0GQ>c?U zYwD5k#cXVP_bukpYi(%{L4NWw^?cLAS{4hrzj zqYSd^>zdep0y}&+!8AA+m(xvcMyn}P(q+)Y3Fcp5DM275TbW})6=@N(%mjgsi^lPik^>gpTN+S=-5pM5?qaKk!}Mp(BUnW(Rg;7^adfTx~# z1$Y1UA>4o8pYh}qFXIuOya{oV3v3j$f>b`R7`e&YSk(m0OjW9V&~57 zICS`+rIE*P>GI3oE$GV2OE`ehX2xtTAz;>;qoL$C#riC(X~wSoM{uCD3YE1@?6>Mo zrcbj!>kRBYE~(iGBiV&i@5yLo8)>VY^2&O&uphLA)fUdTC33*0I-B7wlFs#wId#q| z`9#Qbp=@{ft#|V1ciSyFvlqwtwWC!!;b8i&2pHZA%({Su&U9NTvp+s{YR!%f?mJ~; zr`?ifRYa~__TTAfm`(&3|7u|J1mprqDJ@(u`BBq#dfkU0GdA1W)gI_LX}MqaeIsnz z%$>_^AN5VkSa$<#mmK4@6Clu!K*_t^xl)FCwzG{(See zoDqezM%@9I$ZVQEV5;q8b((V4A~bc{aVe-S-|g1mZ@?Y6_?}6E3d%y*ImPr@-?{OhU*xv#SPbAgIoXMCVci* zhFflCxCyu3awBfP{dSyv&O-AbElo@$F5_kbha?|?lb7<^%VXyhp9H)u@y_E&ye~Yy z0M>8ZVADj;zwk1idiHtT|L~vi@MC|rl}&6(Nn9s%IhS_jSkN@0ff&{W&*i7eo*~1R zXK88GG-wTQMX;jyNw8ohh1koXd%=^mJ`T{b_t{^ajh2H5wj~g3ZAVRY z3mR%esH~{R!Tm=GnkrCT)r6KN0`M>a0P7+nBh7qmslwHsGiOc5+_|T4P()y9<HY^EAn=y^5A_+=A3>7_OL2y_2tl1M8?#S63um2kA?BQRCPs`J$Gox+ zc7h)xb-*qp(5``rfp_+W^sIdJD(uJaHJ87=1W~fBa(I%;ZTag2mgM!{d7KsNrbX`dV*dCX}3FHARyA6d`#EPp5Ter0s;<&^BPBUutE%Mal{ z|NY->y9_a*GtOLq;=aYGuB|~R7~=INV|?;n{r%^i&VJcYXJg>nhK6JIA6kMfqfcpj zv$vB^`%&E_Cyr#FF$%?G55X1z z3&D^yIb!S*g3_26xDX>}eso<@KBYsO{JH`}b;EM;r&i(dKg(k>1(D^w!^3lDv~Jtp z#O01a^{y#62f7$AVFb2>n|0y{WdR(?CZ)+V7@u8JeezmIhx|W@7<1aC)p8n3>x7;UX#<|!3Jh7G~lXDuKIxZhkN2-a!JPTxROKqke*=5k7! z!hC8U#~}lYaoMHkqhH^A^zD<6`kHFgFccRLV4vkkpZ&L@>?m5An;`qYx88coG+FAa zX=!PuU6SpOvjMdFpjI(@?7n^rv|8VhRxzf<2W(TeSD5)Te^*Xzb^fn*kuyoHUTr1V z$>QLruT8Vn)-{>Gry)ZI8(@=1^^D9M0&2O1h-3Zw&6qlECU))Kg#m;5b0Bi1c}CX? zN3HJE3R~G@$)<1As1a!3_y6->{u1B*)A0fD>;Ll;tXj3ky!8L#7e6-;8&Akz6~P`q ztK6JyqzBT;1LV-QoglW^z_9ZjD=3zB?Dpkbd?OOgPM1+P;h%@~pB6~7Z$ZADYyZ##`rKM`sw6{-lgY)%{JeO+wBBC3e*AD- z1y@#8WusvUG;>-<2AE2@=^;STX225U5&&iZ&Z7jewnvds^7=0GnNw2R;h|6)H(??U zmK;XOks}mPozoK(#L`IU$p4@fdz~EC1c;cW1dQu!(iQ5mBoecA9_4>_bc7Be0EKy{ zfR|Ro1i3xU-@NVympTQ##l`>9$oOx(@+w?^v~(gr5ZiH%@t+-#Qo2Q{52cu^t6F6opf6#qPwX z^2u(@lNxY@EdK;*l@G^mitiDM>OJRuO^zI&E^$fuldx7$`SrfiNM&1CYAS<0Da{$d zCLdCD8JOjXfRlh;cT!wd*Gk)BaAJ|rb7p(^F56UF=(uTXm8N`v744Gsiq2}(3wn1X)&`ynGe z3x^J|-#1Bf!S5os7jbVCz55iQXT>h(N4~c{+sAW5-g^XPTC3#j;iA`al3_>C&YrDJik|HC3l?#Az3&ebg4} z`*D7I&NZjr)yLJ$s&joynqrmLaJ7R2KGlB(BBH!cP!VZkUG>7)F(cvkr()mX{kF}@ zh!MjLkTMY~v!-#iU*Fm_8!=_d3~bxJ2g65bE1uDK{ISREDBC8buzu}YjN~BaGoQK1 z_V0W2u}5*s&wj%@4pqLf7*9R*tWEL#;upU_lLi$0M%2CnPa4<=1ZshUfS~%MW5+0% zs=lSrfn8ol(>*NaxI)lpukxucslVzO3NGt4+F)75SDiTZr$Q(J|iqI~@R z_jhCV%u^Z1-zs=Igx0S+TCEdN#!npW$tO+!SFu6(>)-5R8uV^T{lbs_GV`4epzd|? z|2ueUU37_kGF>V8&S&1ofch_^_kf506 zPve!>UN_BCNGsAP>XfZA_F>M5! zh=feoiCLO(;CtpRI@J+K>mtVm^(h&Cq-r!fW(;=k+l%tbN`4d)_5x27z7h%o7UYm| z#Uw6H60;O1H7!P`;1QX~)-~Ri@X?=TjKW$)5yREF$flwspvBn@yy!g#dWi`ei8D*v zz@STMJ`Zlb>Pmd>=Ic>kSBo%5zTdy=zp&@vVYE^RX$mNr0Lq`r(QjV9`4YY z(sZ@4o|TLfHBVF|rg`k5Wn5*^QMq-Gy5{(-Q9WujFM+B!B}lv0g#d!Un|$=hqr1FS ztG)!5l8V>gmemUhe4$OYS^Nr>Atm{p7N+u~)64f)62VqcVJ@ak9*6vFKi>-=#{5e2J8u^t@& zqH=1Qu8D0IMOK#I9ByhsKw5g50XS`$r0Gn7C-bA!o`mYE2HP}Q3qbOF93>Rj$DP$Qno^JDB)jI)zmkR!$^(r*Pl;|LW^8}S(v(!9?9 zWpHgVSiJm?zfD`Bm2gN!yL+GHfC4gii-JO$0{)`27vk%mzYUE9PmQgO_~C!wg|hm3 zG?*4686FCUaYOrI*r0ys*SDB&3yf-nOfbTHnxN|pjMcG2;<2-IJXPM6Ugzawx#1ZF zoxQ7kbzauNz=)pJ>&(B|zA)P+P;`;?VcVl<6(OH>wR9q9^#5KG5K>huZh;^HC)XzJ zcp3DhdsN!)N$0`+-Hn{?mLyhsUc8mkC^aj#fr4B24>c^+4dL z=d53_uKAoZ4W<+*sAG;1bvp+PTJYfUNP{7pAOS-ycTs-uO@pn0Siq-MoN{^^vo_YsNn1o=dDr))KIrtxZOP6)n&Uehhnd!_b|jF} z0D%2a8bIC9K9vHvPMnSwPav_dFyFv(WmOpl4H{tJY0AXute+n6^iim%4vtgzy66E< ze@FCwW$fC<|CeYa8GIob^3>_mv2pWelvP%kt%x)Sva@iEoB1Fat^7UNltU*uf|o%; zo`R&4$MTw^-nXeC-qVQPrjaQ0oo58NBw6*4z=aG>8Aqo}0qy%`OZ|1<9%Y(57nloB zu$?_;F1~o{%?PzNqpZ3Nzv2izNI_cD*g_$dfG@?15q+{Tq<^6aM%lPXU@7k=D@2`n zH&XP@StmIcj@>^2xuDT`#u1$EDBZno;D7?yoCE4HR*IvYQY|<&;T~N9)s;-UM{hA>biEA_tNzm$o9|iNK1-Bk%c1XliQ4mhGEyw5*IE zr;t^YgkJdtxZ(Pn*oGbW-8~PYm2KM^ZYLN?H|>dfOioq+XPej7Whk62SrLSjnO<+nICFRGRwo^Mgd321SHFd6OOx2M< zf;4PeuwiwhKRZe@Cr6_p-fyyc=-s=Q*$=j~#Z5a`P*8vjg0|eeJY;3$pfwmK$Vy=6 z_Psb|?p$o$v6X=12qsJ%iv#=ijK~!CL zo!J^a`q*Q*xeIvuTcr1s2cHJH_(9ZD2gj*Dvd>X3W6) z&6`kFU2WjW?0P6HB-N#vk$s1@@^HYDBriwV6q<$$C}cE>b^JbQg20sdCRn5;v6V^u zt>;YjZ(0orWR8Y8GLLu2Ij4+*CG0&M$;z*fzzNfkCvF2-gjP&H!{@_|S6q&puDA>( zhxcRe!TtE{AO3(i0aH_}wwFkcTp#RkPto*Ibh=5_f$T+ z;-VcsV-6}wx@X{t*IZjG!%0S(-cXrHx_0aacozFCOZNes^d5c^K`sY0y|6KHY)lOugRmg0Vmd(fhU$l zM%tmaCKMLsW8An=@cO+tc=)hwz&&QvXe+O#-1C_?8H-C>x?;szj2k}*d-m+X&Ye5W zpuDzLc4A(Ft1#<=0FL=O@7!~7>n;C)rsgL6@!mh;D}T7(>hW)tJ}h|Zp&sf=J>co@ zls?P|LCOTlO9{AkL4D{-U&E%HvBzeN!8nIeO2?$uc{axO@mj7&nEAR2e4JO~7h8K?biZTYCyJ z8OM!RT#DO2a~+PBmSEZPmG}UYL2SPBb1yN@bkwq3nkvxLo~I*d+fPVfN-%aM#ohJj zYFti4-JexnoN%0uYlw~mn(oiIAk)G2NUCZeJZ_)8;^HZlSpf}t$5wzeP?l1Keyf~^*IrBni$ zu-QAQj@GVSd#pcbwVkVF(zhbOl$Mrm=bD<6#z>uh$Z@4F5I{5Ykd>K<-i3uoFm7p}3JQu4LZ+`P@oH6e#zHb|*d?@OX zi#>EQItHFPfA`SeG5w#_JjH_)PWhNU`xI;=cq%<|gbcHjSqnU+Iv&cCAR8zOG#NK1 zqDW0n;M}v%!1U>pF?8@?Ghmm&`CH50!Rn3M5a}RjN=YVg0&>#=`220R*-9f#(}c*( zS8v#amtK7pEfjREA$f@A=s!x3M(`wGKE~XczF52Dv=lG0myqUZ;pubm-GBZXLKKb< zJ^CjseP<;i1Ydean<9IY+uD_dqIJ@T8y)NyO_HFbBeDD%H$rZ`py(|>*rU;D~GV(-3# zcUB_0Y#B=S%FN z9{T@@Gz}-gAm%K=V58{Ha}yK}S0#g%pBDiqj?Vol@OzRlW7;IlJ9Rb&5AKf=o(64V10^U>%9$1X8e)$f3_ST!RaKU^G zA2H0PkZihzBths3X;*N&N4KnhX>h4)yb33JwtLzqdrrZPr+d&nm8v(K@Cz!~$;#JtnyVM~=eiF{4pL(9@?+5kcH2 z=5Ho0zx*;>e9474=e&hjaMl9ze`pT=eeM`XaHKTJ$5S7KI%=yPGSl+$Nr}FNU(OKb{5lH6%D23k`?-8`6 z`O^7er1CrSBBeunLiz|y30hP996b40HZOxV74~u(-M<>)nxhT0lF6Tz5KBPdYhb(znSK~9@C4R0)Y6Niq}qF3QCGFy&BIr^@t zEJNR-JjU6<(R(Y>vI6MUyAYeUZAC_AA#(GIZFJk%62#tvB@_zM29VWu3QHYro|6pT zO9p+>IcMNszx5RaDC}N-Z83iLhx;*Q`gDvRKNfAR4LG=a7lO?#ShHr08QMx?AQDqo zSwvk0cvm6co!(#AJES<>XFllJ?$5p7^KM>GL??^q!^G>-iJm>#`zO2Jl}>a|*WBR# z7MXx0b-ia(l00T+WtiqkTB!MFEI@Jp0eJ4Ymu=ckdl#mr`uMD-kK0kyD;qalcO`lk z=AbwGRAWOu+6Y1h4jhX5rWO-7>5oWvLFqU(sTim>RBL4KqD>StTc<>DawdxB78?fT8Of84p}oP&LPcHzx8-$YYOv(*7V0?Vsx>*k+!I&Qu7 zRt_K%`0SUyh8_F1LM|Hy6c^%}E3d@!&%B6#{(s-ah7DWr$fFOVrL~^<4RZjJfS+|P z*Crx49TiaISj5Z#glvv2I&g}_8+y_ynd+-uwqLxbRg)~KJz%Tv_?-BJ<}8z#PXSCh zrMVti8EN?4Z+?xl&N`Rx^k|-X=#!xy@bq^`9|WFszk>`9?O`&WFpeJHjV)U@ zVfCuDsL~Gf)oo~QjUgD0+Z2|VR6M2;FPVL(oq{&kC5y+H5rZ*e=m0b~RHCWA8uj&! zXl+kNOGuu{LnaX9VO-O2ZEZmW($cY(@wzl2wZn{Lr_Y>;9XoeY$do`kq-&}|Oxszq z4ipy^;DU3`G%x1M-+mhvUCe+o{8e_lkq6-OpJUCKbhp98?A(Mcst*H?! z-hK=F_U^>YS<}qtl8OOMEPJRIn9xlTl?tj?qL!2FfImR_1o5c88 z4;?8;_xo_gg$r@#=RXU-FBuO%_9z~E`e|D&IAZuvTg+407Wn+-h4Kv)dj z)iSuk+C9D>eVAvvKcnNxoo#@)h=w#2H=z8~S-QRgX(z9LPbI)DC z+VvW{5PNzDvU9SK%Kj(KidLZY8!!kjzr2|3Nbp49q^aMOlsNlD5CwTzIP;8Ck((W` zzFAja!*8Vnx$I9Z?C&Y50hE_iLHqPc<5XT=Zhb=ip(}vXZv#v0`_7b=(@rM>uy&8x zPv|@3iBlF?8g_z-c!Yf|inMe;^768g>hqwnxe3`>St!WwW!jp4{RWta@#>m-`_2!R zlwsS>U6?X?GOoVrDjYddf_?k;+F--BTuF5_W7DTj!vX@zmZmn`{-tkX%kGT?kumh| zOYn5Xm3a24=kUcl?zHW1UR(SsA~D%Y#*o3!xx-dQ${1b-@cJGEgj80WsOu~ofs#IX z@fj9fuu$boVMt<`R4#4qErWeM6K1`#x>--G6V)gAjOtaII$klu`Rq*DJpBr1Y1-~1 z*gW-654|6~2RwZkW8)tBze#R8eh_%dE#1riIYK34Yi+I~Qv}wmT7frSUxMnYIx_es zG`GYNj5wR)+OG2L+SOdfU(W9l14k)ZQ1A3j`u!P)rw zmu|IfY3{rKe!NUUkwoD)WavPgGJP^uyt53^a0j+--9}(nXRZn~Eh9nLRbaYD_xxnk z_5O!_R>zYGUQyTQPINpOo#?sl=O>EeWY!CSXY*FE<_D6p8;1_EiD_ zsZ)|t&2zUFSojITh72Bz?CfmYAXp$uQ*ds7umMKrRGxe}$veFAtKVJwjL6NayqtG1 zuLAYjnne9mPszqfS}}PVZ)#7xl%2@e|P45W*c_{U>bMxqm6u(Pr~mvk zF2D2|y!gUP*tUHuQa!2*evgP?*nqxRc<#AqYYn30NEyG69jK|UXYA5yF%JZS>aiUh zlnBI1Eu}K0vTUgYO4?sgV0F|;4pOo+&=v|})utV2Yz;%3V!K)_y_=DdPLP<4NT`+d zPVn@rUt$5lQ*yFgQ}j>|eSA9pRrb)QhKvC-NH~azws0h@-|2q8c?j=_$89rIEf1I9 z8EtFPkrYR~BTOb5wqqg@K}V`UN-7zo_V7yLNY`sqV_GgPfuN}e2?fXQK|-uE?Jnd# z7b2)J@y6S>qK2H%*>g?tQ8;i!UDPYjR?Zwge9)W?Zr;3^@kcRY#7JAMH+9k!3>h>S zGp0<$q;Z2V@6^e-@cae1@WOL2cI;^E*}WI5R;|Udw^n1@mObcQFaSmQg=nm;MG4~! zwYAu^ASf_wBUlElsxlB_nV0Q`%H$aQ%4n4j8JLuTYEeM}NAfkOs;opP#1S{CtA?Q~ zxx!ud-1CzKJ<~nt`iZFfd+vF6>i$gkqr&@<`;7a}$>@XPIT^WecP01Q$%6WkjyA>A z+0O)8U9FCSroEiDd++=F$F}S&i+(T;9W=dU#NS$Rrhz~>B$1zitn?xb88C(deF|pH zoR4W!=3>O~Nfs$;OGQ>1DBIQZxiIg|xSJ$L%m8Mg9w?0Qw-RfM| z+(;^Ks=>7h}-{3o!SznHV^zFOrhQ8?^Ne!6N|{lA?@9 zzxf^V9#bJa)#}6u($iBge!>WR>sw#Lg%_N~a5j38Cn#OnRVfdqc_Xh8-{CKt+7$oL zeYc)^sE0lYIyu+tp}%XAnp^zYpO%h5W;Xit?u(q9Tm%T9w3+qOL*K#0UbrheDT znRtTCjUgJ3AQTSU2Ek-Y$RqO&WMos)_z*K@B_ZQXQ;FHS0>KpuE@}Tf`Qve~C-FIZ zL$XhJMemR~3s7ZpG%5E9hYpwE;Gq)i-FFCEx9vg|N3Q{YI_l~g@#-tD;kjp?#&b_U zi5H)L9tSnj564h?U z|99xarlsfH@JWzBhy>bZb*SwiAvR&6VlVH0>P3R|c@Q|LB zg82*P;KGZ}!MW$2fyqhS|NRk(66mD*8RWRLo%c?`m%s8A+;;ozxb~WBam8g@1&tvXp?Jv)6~AF}eN{G@tQkdv2*yk0p7a_|w2g=M0|K^ea-4$KI1 z2$FOzTP7zEj&+6vK@bOOega`nl6g)S52~yzKc=yhD0Awfv#pdq|EZpAjh)q~P>%WA@ltUbX>inkMFk@43y)S|Ju0j&f~a;%x0N`ai> zL3VaNhL0GF5u?UZ7|Q>Ygsmg=L@L{f$YuCl!zV#*Jl&5UmfXB_4JRY_Uf1LMJ^x|J zji-A!5$SqYO_S<)lq#!ai}MVG@yvZ*$3O%ui9!+TTftK zu^&gu_OOo~LMe}%x30wY9c!_C#p_tQYzg-7-*0(P-V~?41I4R+s$U57Sh-G|H6zOd z-?g%_4{N&`=eu(Hn7&c%+ZVU|Cd^I7kt0V?US5IbrWOtss&TaB2)~a*II#aPs;X*G zU*E)hm$N^&5DSWBV9xo&EO<4Z+N@S#GqE}u%D*4=&&0CQ|e&F#i&*o)a2uMJc z8B~R_0Pe~}O=FsNmO)ehDV_joIl$SrZ6|i^*@qf_j|ok)S+Hn$)LV+>*aiKt@5|gi zczjr~Y7N$`--tKfdi&U73>#$eTT~vb9*sH&ZTzMkK-YP>hkED}pdOp2za#pvHcvSv zd-#6`j+B<*=_emTxV08p89vOIJe`a@6{}WnM9I-=lvY-vy0H$SSOl$&VMGa3#MEq@ zNB)#drbvv1G+!!wsY%G-$WZUMO3R+=GXc@u+-xgrG`;4i_krzX(k_jaF==K7h7TWs zn(7*}c@opJeYZD*+$8AK)~n0s1d`ElbL2lsXi}- zr}9b0n=Augug~@Z+rD!r8k-ty+D#kH+UmHL5H@exhJwC*F=^T?y!`qSw1lI!@+eta z3XWKfd#mKU=3`#Qj~Il1{L1GM4z}TyS6{~(zCUyJsp!|QFOD2Mz&h!$ty{Kk-D+S@ ztE)~1oK8j(h9@Ek1NZ2jpNzUb>(Ytd>HeI1JT8QelPkcxQ}=g8U9WY$-ZkEnJ@bB~ z=T25X0u!1ZlT*sV!b0@v)5rRugsePfA3l88`lK{JqOR#`D-@d!OYBDyGD@{$UW?L6v|LfPU7`=P< zMg@V4`mpjTQeKrmk@6wK^6u0%kE%NXEp{l#{gFOKZDHfuJPEAGsM=j|7YeuXOVGV! z_?Zu9OB(`yj~_mNCgbp+BQ=0Veh1pOPi`1w-{eUlKuSp<%_9f1UJM^L1S3a`U>VbK z>19`-yrK@bed(XD=kP8hqOBNET!1Ssxfn0K@G`Er>?%C*_@8mO^Z>C>lmoFiGX1F- zIdm|_j2(}+mMyaZkoNwIaR4NHB>^SEE-OcS66=qJkpoP_UhFO&{+E%SX6{KUD@svS zS;lx%5pDONrmhK*n6y)T$KwEJf#$S;55X20%4gsgKmQpPExLep=eK(7p&t6U^wBta z5B*({Y??Is+_r5umM&R^Kiu~S9(d?+GR9hr8$S^jTyP;ypLaUOj~xf?B(IG`#e}tO zN-6=6hfFsKWd0pqGTvm=HAPX|7=reNlYK=|Q4xCe>Sfb`nv#%UGREJP$Q{Yg;>Cq-R_tIk!|5+H7UcLgjbBlq18^K5r= z-}y`4{ix)YwL7Vq=9y>W{PWMZ^X=QWW8c1g24csK9g7=pyb%Ki46yonFF9@e zu5hf`oPH?7X7!Kcg@)jVoXEDv zBkcE@3RM352&6Ii2?zsz_zCce`}O8vWgvzO>4$-X`k_C6=jLSbdzRKG!EdA!fTYL< zOTMY%IDF_3-g)O8G&Qyu7>hB_s&D1k&6|@?#y~LWfNbZco=@<5JX%(VHEo}s* zOyA`u>?F>zu%=DYIrZB^J@iRXkLKy`h(4_5$?kW=aFip%|M}@paip{iO#}iOm1-Av zjXvdtm^_b6s)gVqifAI4_Z(m&AR&&VjdI199FQYHBY~6PyN z-T3!8KXPtGHZP2@V^=gYxeblW(31IEYukC)6+RRyVP~3kIUVdpY zL4^9gY>J%iS%kle2*7W+;R=F}G!*s9LB)|$#KIBuF6@i8))2;ynTSRXC|0aogQG`} zqN1V@qId5i)4EkxRddi$NWe76yr64i;PlK~w1%0Nga=EOti)>hdQ#c=Jxl9z z{=$VAKV}5{9sx9tK=|zi(lX6Ps&77nBYN<@7L1xZX7KfLpOd33Of_b|xSR_rI$2^rgdmS0Vmy3EaRvf1rcXsQ)W){aYP(-y;lf3X!7(T3p&t6UbaL+4Lx1O#WWG>( z_bEntMivLfsR$ArNlVifjG(%{7B#gsXl-jnC>*j?MsmDD-bTjG*EPbW05R#nAP8^3=(8l+e*q^*BfMu22>jR9T(9)l~ju^GoIP`uTQ})eW<1wosckZA4yv zp0mtdf4reM{@UbDK}p~$Z*%6%G3|)RNFnjiFJC_#XM5H`Qn5litXqms?4>52f0Hj7q6>yCuJK()u?<@FST2s}J~M71D; zg1Ww;3DtG=Hg(<9+zek@06x~Sd`Ni+1X9@-Q~h4^#iYJxV1nO{R-Z-*WTjb>Unbc% zX)%QcEMaLhd7b~5AHCCIwtUf;fK$6ogGG2A4958V#t4XcKa}8LBZ}(UMwFISV$Z&V zsI6~go`a~VVg49LdPcVSw=yH`qy*M&T#G+H^#mSz_yIij#3NX>eg!;!)>m>n{HzlX zzfUbZ5i}C~aoRZ91}3?A`2=Y`wiW9q7-jz>NF#HJDQ^Tn3i{qO7_z-Hwd_Pkf;9PY zk|CzN{9E9;rXuA4G#CmZ%(`Il5avKkgE4_Is}I(lf(CNZL}vWNb5h>RsWrxz~2;%bZ@J06q*#^Bo`VKP#J9x-7aoe8xh#B`1Koe|^l)pV{Q zxde^_dZeY{2-+B_BQ#y%q-#3wO4?-A?@Q~PhI0&1HASZ>3=ajArpUB>yKiwn6c_g~ zuq8$Lx4@bD1 zD12NphoU5SjdQ!nDFXBXq4N1w(6k35b4{>44G?~zBbeC=xN zD?N;s7QmzZU_EY<0XGV5A{CE8C{1rD2lcw`9IH4Hmf7 zM!Z>>IY?)ldU?&0mH~fy76QEHNz36tD8TPH2?tASuzLLttlPW?M=F}IW&0t#{^n}D zxnvFASh5yRJoz%7dipiI`0`Th*maQLir;@*m{5a>v5W$9`ra~gvTbVH7x1B}tpSa# zP4+#-V;UgIp)A3PRgcrRl<)E5C$JCZSiWVqsPEb$C8>TD+`1QlRiD$*0jipEcdonF zbadO>C7rwPIzX0#B3{$?@8xf4p4@4Fr{BM~@Aps-{iW0co<5}{UXtiY!GJ+SaoLqu zWA-U?ke!!{Txm|y{KzYiT0i}mr{#AuvJ_hit>7>&$48AF8C=q{W^)mcy+Q)%or1k9 zHFESD3&%K$<;a_(c0nien#{jcGF>0zOW5d=0F3F$5LW3lH8vPX(eiIGQQJs0t`&Gu zo2HOM&tO}SBk!OAK`~c9h0^Zbdr(nc$#=-mDI6kvFG9d54lA&x(XBh3A|l_ldt&?s z!Wfq^c)qK$`6yhnDa178xP9w3y!_J3cHVVMmaYu{uR*F?Of_7kFsadv{*d>waW71i!b8ghaa}>P@a44 zIXw8_gZR^*{$x{i%8P8F`uFc|pi*^pEPvME$LmS9MFP;RLz zsHmu8+O;@TQiam8dX!W&;Ba{(Dr(zMQqJFH)u^g(LR&P3`qm&k>6w^3eJ19gbq?mv zI}>wGI~}v;o`$Kj=HkqSi*W9F7va1`7vn6RPoF*qlP1r=g0s&f*gFq%PCWx>E;yI( z%;!6&V)m)?FlXL;%$PkFQ)bS=)ERTpcfc?Lu{1QbCK%cgj!LVX!oiWB=W>cE1MzlL zRtHg46GUx8h~QEyWHVSceyglMwf~f<({a_c*D+j+E3dg0Q)kXVbzLo*&ETEiLWle? zabS=n=b8>658AuPKA#_b`xc|7rq@6-w-Xdp3NDyc z-D{b;(v<;wMMZ_v7R-YyWV({B=jG+$vdb>B=cLhdrvv{|a?|O4{J5leTyW?1GfhqI z-n|>u)zwyJIi)=Byz{VV(IV42Y31G7XP=E-yLOpYQ148bFv03ZQ+=v$SNLnNps826 zv?wa-gY4W~96Ef+;!(f!dIXvTR@Fv)!GyQ=S4@U4EsO85tqH2+xjV*hOsl|%H3;Hm zhu6;ZgV?+80M@PFj4fMsVEx7|*s^se_U}K8UAy;T%Z{B`w|Oge@Vum~0wqVwaq#d_ zY$x#F$!lA8?!oq5d-&WA?B2f*d-mu+s?ipTnnnuAetri89blhG z^;;gj-ZbV{Tg*sX%RvjDNwho0+5(8$gj;>RjDw7g8#ZFy+O=4@dL>q`S!2G`W)Y-i zdD8I8n{T7Cu7WinLwyg%j2ex7dk*20Q>LS)ss-yeY~r_-j6_UZ)9^cp$zg8P>d~FX zSHDwG-Rrl4v~69lx#tRMd$pbVy!)(zrUNZbKCAZEfI;0Y%5=R1Qs=WD3>!95J;rIX z9_pcwNj;jUPc4ywkf94u$Zl!au%Q?}d^0iKR=I+NfQ&9P(BiCdd(U664eK zk9!o@kzuY3qZOK)nhh{WqazK5g6uamYSc8638*fl6+Io4EYdb<#OopBlXhM93ff*l z3|G@)jvWITKf#V{tp*PnY*TGrH9U%1JK)PMNvVjTDxbD=TmqNzYA1Y^N7H^X{3U#` z=?B@9$eu!ANgmP}xq&Q2D&{Q&XbfBpj^dZVbgvZ@6yX2;zyD`x$-j~` zaRQQOoN)#gE?j6@551#zRXznb|NIn;)ED`S&!`N}HPb$6Q9`ma#cM#7{U|xfvCY#4 zyYf4xO{TTgNnF~^R3D%BvG1|J`7+?~Wg;oXkA}t&`)-1LD2|{)YnCeM^4Um- z7r|IEe={$s>1c~5qa~VzU?Rmrm}zKBAahvD{##g7gd1+U3D;bIJLq>W4g}rk5o@^V)TnY3n5B$%WCUi7kvT+befC(tY;|?L7URc{KNh#1b%nWrki!m{2BTLBe2UKra5{qw zX4`(Vr?D>zv?U>`m8b;S0x}ZpwqSyNME%R2PvMc*r2&<8)b@weV1YoUL;aLEB1J3s z2*8qgO$#>U09Jvp!vI;lUAi6CEy0+sbx7;Q9BPq*zCmrDl$Du*K7ESNuUKvfh9ECD zoA>l>%Qi`aovY=mHr1o*SAz&=Pd*0>X@DZIdCVq~DXWjDj@<7~Js!3#=@n{>Czx@U_Z(NJ2 zilbuF9DM?Qj#x9(v?o@c85C=?&Ft(CSl5y2^c)69~pPZrq4tY zYGT}4Qm!5D1)j9xMt5u^O@`_$d3Mqk%hY}GPT6VcUML)O%tw zhN}O7ewZ+Rtbr>(^QLsYJ{jz0vmB1#ZftBon7}biAuJ>9>dGpVm6zE_Tk%LRyI|py zAPM=daY<;n0!i1EmK;N#cG_vU=9+78%PqIy^wUqbe7MhaCtY`el?<%)d+*-8<{wEe z59BjRS}4`41mKBC07h|$ln3`*ap|{$;{Uky;J&Y;8=unBhP%qEo1R-IZrKE=R0j1! zl|$!hKdr#jvwB8RJMnk+GyC0XcSG!91b=B>yPk-#&nLzCyg?|2 zm;s$60v^Gs0OQbkG~9~vqa|4O=Brq@W;xz@Ycc9-%lSPqUj#)Ri6HY3=74};iuv)S zv@;}-N}%LTCUEvJjieybeQ^}z_@Pa>GyGnr-HrhJo2JENBbeq-M?tRwN*2>Qvh~sqR^2tWyCe#!Fx)w*ngIxc;N`jN6ahzM(ocO&)^=CT`ogL2aaade&(h z=iAn*N8PuTb)E6?V8pN4JuwjFUhknE`XtEdi9PhGBWD^cK>!uRvbSHy;@4k7OKSrK zij1cz_)N3JQJrzdcWVsXbCHCOM*YV|f(+sCyH|DT841_5Yu4IxT}jdS&4Hg6eT$2b zos*7(hxTLnJ4>*9`7#_XIeBf^D4|5r=V`gG=d*$mBKZH_^?b zeAXx{mLHyL+D_U4cgjs9q3G^ppQQ3Ke%VQA<(-ziYt!LiOAE^;Pw8PZN{@2nEv-)P z-hKG2qty|BTCs8k>g(#z%KW(_UB#>Pb^N#_f$s_vH(p&6IFOx>Y<%vx;|{a$DJdyo z9WJ-JmuBhxNF#cAz}5;iIhhpjy6UQ{aP`$!8vy(K=Ra>N+5|pML~091@HtvQH*X5= zHPw;ZhdwOn*{;F8=Ems?VSzoJ%a4<^Zu))OZMT^Lyxcb2aKjB|CZ~zIM{OlxLxLN^?Rqksvl}YZ1!rz*(c)h2*ENz8G(&_9<|3q{C>hnPa`l& zim-1*SwC^im^KDeCy&I)5ycoWybt;p=b|v*i@aGD z#^FyPxZyF~+ukK4kj`&6mFWpUWvBTOZf(RXFF%KeAGilwH?842{8r+v1Wh4ir4g(! zo`L=Harwmyarvd^;)=@`;reSY!DSbnjnn2%#F?j0#g{&J9lrGW8*s@*XX4bklL?eE zST_V+#LL7z2!~q88e$wgq!~~W8Mq{X5{S_>xa`2xHUaiGfu`o>dc;^ik#Gp%ptGU2 zcz}*>o9a91`mLO(cNlN!>`yLP8}aCBx1?!S+Ri?9x~JfPICHgf!kBxghyF6^F&O_l zqK{-SZqs!U3i?W{UbP&J^>v8IxS7I4L&=1EvP3wx4#$n@$tR081CDA6fI+Hp1%E*H zBhKhw+Mp-_g!Z7*2EGCr#u&-8bZls7B3wW*joCmJo9UuDo_< zW@K3!S(#pp9zFn@w``#Rk0C;47z{E04RZddsVgTwW5!~n&Tvy#Y-B8t;nE5yZcQup zE-JL`9yE0+ugg`{wHz4-P;#Uk!KgE}QlQO_0|5-703I}CFluY-&8zd6ks~m1>^M|b zlwrfB4QOm@LL0${{9+wGc!=?n!4rIH`c7a&bt7^F^v5IBi{f!Xj5H{dCr>s_)3j;R zSQl~g1g+_}9Xoc|YBD{eX)71JbSK3rjgkP+%{Sj{z-!{fiRKeYAk%T~SYZb63S9-5 zOUjSp5vi?puK1Lu@}zWiUG4L6$bG+id=kh4fC9Rj)>*S=je$McKgpkyz@t{%2~29? zf_}gC)?4%9l&k3e+E+~jmESo;|QcCAU`)9Y5o*s zWq2@l%rH!!GM>*CWBA|!NJSha`}bhV_|drJ{BtmS`b5l_JPy+*kLPhbrcIrQ>C+}- z;)L;-Fm@!S>-UsN7(046CXOG+>tiu>>LkpZF_rZcL*>!Km^)`CZoTCuOc*m9qel-T zV9RIyWFafdhoM7?2`Hx`J2Q=-&u4>_Lx&Es@8sh88?MI0$zxfs)y(H;Oqwtbt<534 z`05*|u4}LncwTNA%Qgi&w(rL5*;BD|$9`^;;&ADw!@)87$& zSn%Y(^GjqOWL!xxjwGWPHn>010vg3r0 zGbsdl(MT9c%t!xz{Ro~kt;o?mNA8uCHRjw<;3>rTy}mTG5d0k2e*h(i_G9$O;TSw{ z5W;Oi9tTkPO(w8t#ohz^P)FdIsWKGxLEk=o%{#knz=8xG0uBnIj{+R@Yv!-{)j2UBT%2ui$>sYp6%8S61f(g3LG9B+r z@1?Hg?w()WQ-9KRR{$$+`9soFv5ehS2XDUVEPQzR<(JKlOA8=mG%oFwTp1`|U4flQ zaT<{HQuy+`cb`7U&FjTFDJ5W1*_kf;uvX+rW7*QuL=ZCtmtJxqaF&? zkF=CFWcj1$lkY`_mxGnIDkOr{h_zMn`>aBoA=F%f)OZs*!gYwYRHCiE42e)Z+9M6{ zw6`JDREcP771L=!UPcnqJrNu|uo(w;uS40vZ3wqiAkz~?c6tKYfp#=h9>B_FucNBm z**mVfrkcPp7pKpk$NES{T}>6PxbzbA=eOF-cCD$Z#&`btpD<^}bR0dj7c-{Jz^<*k zF>2HxyuEZe%FB;%kkd@w;7TR0+3 zlD=VSzX|*V{yH>8>PyBsXPt&`f9vZwW!5B&96lH&1ojabrL#@cOF9UEWtZspYPwN_ zD(#(_jw`Re5(5Sd<}*FusfRup>H$xGNAzLAQ$XNJQ*&fUG92}J+6kP>Inv!nhTM#n zrdGrvWJn$lpNJuuj59_CCykG$x;iMZWTzxm{=fXwotQjvxJ^gw+OZb<_H0LWbt{=` z$TUw9OyX4%aso11O8hx8NSA(>nrueaf%G&o$^?l$4ekSQ<2- zFUAcY%8~63j__jyF%beS$DlnN3fsG4xMZIMPJ&Mo)D(!FLQ#Hb1o(8+wk)#Il92SU zY??AGudHQ0eK=fJMbJl}$H!$f&VnM+){FzYcA!r|0cLX~KYr|J3e_UChTE`l^Jdi5 z)-k>!3>`ewz+M_br39vgkA$-;;9VflJ)G#CNQU38M|Rbtrsf2cq#2SOlkQ2AA|RkC zC;=6vCxD_UxG7VnVCKx3wiJKk#*LN_S3A@-Eyb;Ov?@(DPx8PmO_dDJrFl}Edd>wu zE^z4@kDgKf1fn#ZDGiWpue3R`%HifqaR{i$K1`eUDt?zmRcnyA5L z_U02wEJIu?+1S4V9CY;Qorl8*_oJb{0TaegVxLUds>M(^jLDNGvLO?QG}RCg)nPzU z6yt`bqgNn;TyG2mi!v~LU?v9j_n?1q3PudcCCJLhs9|}SHEjsSkLr!V{n9abKn4c& z%jCI228I@=W6Yo|3@c8<$bnfH(LWnwhV;VNAq5ySI3Gj%Wntt1#x*b(Q%Cp1Dbt2w z(&)YzF)$m0`UFsr1>`Uf19}Hgl#`6!*(pf0Rv;rKf%1}rtfxxUH8mp0Z@73sKZFRp z1N>H}j30|MfANH6;ctF>*3Mec(Qez%_)-(--75r+AN>D~)EEAclWT@E? zX`Z^%U}MoO*JY{(g3L)MQ$B^l)7?B{Z0AQG~-iG zJ!R8$(l|*YCxH3LBahg*()%#fnG^N|g|6fPN!58fYOS39I33s(W(ZNRW5U#UiGOjYYM3G45>Xl!)E15aD zXq7gS-=PLL;w18^rS{X5yM2(VaED3R&t(2(q-UDeue_p){G=U~9Guu{VDc*iPM!Qk z=W@TIey3n$jrs0Y?4cg|IMlN-@ZS-A*o}d6OZSqgkl`SVaIldAtR0QjWq9OAu^^2nNUGdA%$R-!`#S!w7IT_qtLvZOx*S3|3E5%N=r)}-g@hG+W@t) zn&6AFP{LT62p@&7n4D~(B#5>p9ba)b$&&=_{@%B5Z!$aqEsll<4B&fdsIO}x@R6p1 z47fdr3(uN`y?gc|7}B0yLF_wFLIx~j?RK;hd_~B>1%d?ldR@XWXO8p>x%Z;u2tY>rh7pUDG`Uk)C(ocNQIJ8?%L1e%#mv*ZFO~f~PVmFdUnQ+0zp;P#%nk6z zTM=$5!A+mJ04a$YRF@sW!QG{(JQ_q*bsIt)sI(_@aM7W??3e%pTLj4|?87RLvd7@8 zC>06JwIh*~;88bKM(1eTl-P7T{}hkPEt^FB=HNopT0$k-FHe!i<58q>fa2qO`Yv)a z{OHfVyLsHY5XS%?FeMj-it54zV zvoFNcPrir?E?`5ntOg&%EE4-OnE>ny(jXq0u3ij2%OXhZLC zB#7*s4BUP9Z!!P0vzUs$nRoa99_pdLgnBejpHh-hW+W2lXn7entlNm1+9u4HITwpA zxD*S|Js1dZ1>L3N+3;6Y~@5Rr4emC~-E8{zvww$?d zpFRc{9ASN2D0U~=jp&}3zJ$9Bc_r*yDP-$7datOcMrCD{fiF!X%DJUh^krq|aP*$X z7$^ulDJUxJWxvzY{T2d#pSc&%syQv2W-=Vn%MQq6M!=e4(yBT!Y1vDutO8sPTa~87 zL&h7nee6aIABHhwMq}3OS-9-7%WXt$?%nLX=JJiFa#K6!{rJtl}&YUvhtsd zRCfXuBE2soXaONjBL*XQ>-ze|kM{uCD9#su-G_`rr91JjIpe2}wmQXrcBk5?1q#+o~KscU> zXnQsReNJb{Muf*8uLt892(@P-+>vD=!h4~1UQ1-6Es=q?IOB>1&=N@{NMrhmG{lo~ zkdjt}Kz4ulvihSj>_=V5gW6yU8e0>lH4`cdczpz0T0la;6^~$G|6=qmEU@~s?NIpb z$$wO$J!-(roNNmI@Sa;o#z07M4uB3EslhWZzJV8BU4kv!_oBS2mN96Gu2T&0QN79G zk_}jr_1gfW?z7KC6KHG>;c#gs-)-c8Nqy02TNf}}e|ID5B>M)f-+r`ju!nl+{ip{# zeOifpL?hItJfpOk>I@f3vl+i=i$`T<{>vP&xDY?aFY{J z07;7?HF*-=UbYIGx9vh%c`Yg`n-GtsAS){elO|8LX`c}swYz(*xdN;!If6}Ef|xm+ zl%SRUj;5Oq9N34FlEVNoLC(G?FE2B}J8;k-8%>HiYWcCY+z?RHlv;!%Ofg)aCz;?S zok0Lf%csSFwZblnqM`zf8#fj?xjB%DMJfRs6F0^y5SOC7S&-~Vm}AU_#(L~Ou;2VS zwY9d|6qy9S9#frYhkWH(%j1KguxWs_>QK*XdQ;_d1^7n+P|A~n`>ueEw0IK!ibpH! z{`sH(*`~gvX}a&e`%LR3(z6030xUXLJj(OQ(pQ@ZZ0MQSUw<8U-gzg!|NZaV^poP2 zzbBPZK)a?%|`5 zwFlEu(7DR3^j*@q;yigfy!ZOaa$r+a!8R;j{2HEk{7*Q1=pf#H=Pmr=mp{XjC2#N> ziaJxoY_IlsI|m?gP?=6}or*2nN>Ei3LQ}86=hPeFvCopI}4BH=-z zL;jM|;PuHzTW`d9EgDTFXbPdMvW^3(I&(OwMGuqqRETf7IUQ&fp__e?8Pg zA4EOi=~GIwchc4k+NPnZy219;dh@O2SoY3Jyt(WhY}mXNrANzAT~lM+P;5vHRrXH; zI|h1^$;cyQkx3o~FWSNhj+`R|9L?CdV~0)GNQ)t%E6sucir(u=61oCEx-T`q1hoXO z-f|7JX(!BTy7}5wd@^i9nq&!GQ!-I#I z=ETd(4`t|D#2nxJD_~3&!jVI04kAM7Q(|+lF_r0z` z*QEv1)ZN7wUu?$O@)M=@5b3_!RN!javSoPm(MJtPDK9FcoA1Ab+&rjW+`3YHDwBe) zxp~wxx~FFpbWfmEBuyfJ8p(00o=eu&K>V$9MqwmDY~QS2t*AXy#LlCn~T_uoIH~RS-e;XBAj=5{t@DQXDPpA4iVJW4r3dYhX#XO6EyC ziFM9_i>A!w(L0cqt`C?0q$!r+b`p-3*Pybd4s}hfsIKK;glTDiO(tY%>7eT8YXnR> zcJd4I89mn)jH0O}NFLBZUc+*${+OQj)Kp!I)W$j&P?Ft~E<5)bmlEiq9{Pl+2RwaB zNes5FRiMU8Cd1JjWo?{bs*Q}Yg-mMao&(rHP;ubEK~z*ao62fAu#U#43_y`7b=o9} zkwwX*V`Su-hLKR0y^p|?ehXY!x?+Z1$T2{6mWTHr(BJHBB=EJ(h1?LxmO+jVn_Jqj zdd)h5Eso*|(2g9fz_x8Wv3{gsHmu7U2ybHfs#rgBcRvR)My~6nINf#fKKH(aA3dL>&S>) zhU*f(0xi<6NvoxmaOa+LE(Q)9WOh(u?p>#lOrU;*E9a6j zJa;y3elID0r7r-c^kkr|_XM7lj;8)Dzx;Cht@=>E5Li~fP`;Gck4jzZOF`vOUFoQL zS5RJ54&^~*)_tA3KuYf^FCx_~e;O%2X3T7WiuJ_b%A%f^RwKbONc&k^U2XO^Teob+ z{{000)wO78ZXvK@y+<5vpZ1hv?CdY;Og9JqKrhpr5CiZSM|N&Hva-`qSeVDWC!2;; zbt#`wfiyn`4(e~d$npzv(YLq|#P=xb-3uOqmJHrcBA83=VBj$)D;)#-_d!uX4m>Fx z$jS(yPw#y6?v;xXg9l<@zhd}U?r>`xYAP$yT;G5M>qvHt?Hmxu>Z_e#B^qPDBhYef ziR1v*`7QhrWGfs@{eID-Wlhs$k8Dgl-@BGz7bVS*ifyX~xtcJd0@Hkr>Q zf+ATq$+d`E`EhA|6S2-fP?vDreK^M91Z>I!^Wp?&6|d7?j;2vL){05x$;tikKGH)y z^hr?0u*7)?e-7EYae1_}!MkU1vI$XmO?3rxu!fTNw_z|~w7P#DF@)CiEA{o}|8 zO_~`0v*&DNETdHZ=qe0d!KNTV)|GTkBSUF~1VY@YsbNEhnS(lMP7o6^#$3n8|eY8>tBe*!!*@SN-%#AEFgY}&*)h^oq33hzdy z?HEzZSCnj?TxKhP(z|yNX3UsQ@HWV%0_9a%fh4l`vGEimC|&tiD%tz`xV1kkh} zpsuMNB&bEI^AAg!dXga0y8=W4BG+GkJubTFBHMsiU`_E0tVj!`DYl>g{O7iRoARSN z*LEcWUG6lVsH+fBJ*zAtdE6FA)%2R4l@>|qtG)DF`H)shaf{scb=z71S@&h!E|&oE z?ydaEZGcGmP}@oyb<<5Z8JN=QJH_jQIgy(;_xMpr`BWNi`4z9?SDUDuE?9D>G8J^q zt!rnQJJVHQnVe@5X1`{J+$7ysP~D{m0vIuJIQ!~QOrJg-6UL7>!}y^?1~Xl0ItX6* zTrxq7)pIh-Nf6b}z|Y5V#F^YqaGyaCRQJ09q{>?_h^Xq7-s$X3D^bh z+Bp!=>PPvY>cw}n2@VD6guq`%(6F)9mm)?R!_y zt2gUoHhSe3+4rb-1HOP`n9lDlg%P%smpI>p+F7BKlos1e+c-Iz?$l$yHPF*rE@W+V?LJ1p9C=E2S=ht&pT;3LQBtg2N0Ut zlXgSPnkD>X$0Q+MT-+D^`wuYSl9#8=fqk|LDun>4p}vJeK|Z8XY?V+4C6Q!@&Qrx+$B{W1K1aE-r7G@Ij9^=s01)u|{LBZ?*c?P-Q;Us|H3cKUqkL+EV3kj0m_2JY zzVwwZ;tOB+Jg&Ur3Ny@>pp@5Y1wA8;lmzy?d8ZlBk)4#LeT->$3fqrL0v?J>?@F`w z&_fRyKvMllgLCDTS6W`=N&(~v1G-Pe{Wk3II7fh^@) ztM6p*q;k4-CF*+oC_yd|6sNRXYCi!M8 zlr3G;5(&6&x7Cl81Xl?H1Rr+o+=k7YHzLe3*%UE5W;;TtsXM|pZ6p5R`;5!tXPM(M z0&f{zYk(3ZtRldQ1luem6R>5b`4MVu(fljg<=LNLO4daBUNW;Y5$%W}k|3b<@SEee z*U-{{`sR9Gi-6-GB>VW?c?c%_1c-blor8c>A3=tP0|jOz&hkeYcT|G{#;nbUrA3Yi zENYt*X_i@5x%beQ>-b|zMWZyqtJmScp%OkOTO@vJtR!i!6mK%W*9gBgUm%ker^OG+ zNb`F5?de-fC5TdRrd6HbV;Twsh~ghIdg=^LKh;sSu3QMz##!f%dkdG;hs@Oh$!r)k z_t)8mPMvqQXAkwzCqTzJY7c#?NQ_3BBTuRi^Uqj-8*aD><0nkQtl4vL=GkXs-s$r( zV9;QsrE^rAk!dP$fhLWlW$z)ulaZN$oV*+gD{b+R!BMk9mMwXfP;^($DF`@8Fl&_9 zm88j$z>=>d32zBAP2GuE_wC!q?3CoiS(**;MGr@?(h$k#lm_!12~CHQ;qsbD@rx1r zy?zsv&PX*Ctu3vl{YaB72EkP_ftAmm(e^UVyBg_gl&gB-Kjv9(52Ue3!9do9?24|q z@(Nsd;e`Zb0rQ}(pmgM;N~_&8m8LTI{hcFUl76ecyO;g_NCHFeOW^A$fiFKw^7#G7 zKmO4ScjYtby6djPWtUxMU_>hjRqq0FvMtieH%+$*2njs6nlJ^C@}=jMccmdPDEld0 z)48^1aWz+J6WK92)2t4VaE}TqoBTWJ85xRe+DY0hJ+JoHA^@!vl%`IG?ebnO58!HZ z#qR=`?(u$(i|SMAm%69AkZk2C|KmRcOAffy zGjf@s=UmbrhZ4|bWk*?_9p*wq06f5c+}O|vZQmkWK52YXJ#kc2ALO-Wo(p>r(1`O& z>qC$dYKPkVOx0<3U}^nC&ou6D26De zrXb-wjcEiZ%({}8T!Q&XaI|~0tP&eHZNa*A>#_8$WwvVRop+XE%hnBKo5`! z*ksr(O^Y;9+LumKb(-!}{mYg|0!2aZ3&e=Jf+wwdlYNuUr8znV78nFJ6rakWILvEy zr#9@kKyvb`_ZBW(XwNF&^7Jk6B0!_xm6eqS?xa<8J)bLn0Vk#H0!@({Z+B9@-!BM= z3A}1GpTL`JxLk0g=`?wnR(S<@1+a83QulDG$cwwLnN+}vVdi8z6oBT!B8G7mtSIcN2nX4M80?60~Pk0lr< zzq5{1f^PX%BQR3^us^gAFvg^1Qe0ZWmf~SKrA<=WEIiBB&bAH*n-B|Yo2E{!kES6t zE#9taaC!XJ^QqXja}QRmUP+)8W*f?2JY=g2Q&pGb3ECI4qdm$xG}uc`#y5yxEp+ z#~6|+NaWCS&;CPL^44*96KGm#g8DW>80I45cv=SQEfe zyGfh0fB$|1!U7xa`wDtT&k9h;m|pqTl$JS3>;hioC0(FJ(~SanTA3&Cq-PXoSJFFO z!-pYlK_k#6&6d20Yc->!>PS;mOiEfDfhYAHX*gJ2 z#{=^sA5I~*y?lYSG&N$!=B;?+mDjPBpue=D0xhib5CKrDR$NL;lt@FrzQYN0(pZ*u z#^Hbh2TQPT5V*I6+Bg`AKsZLm;Xc2Q-WIhcsc*G5N?7(lp)2 zl%kOYs;jHeP*=~i*mtag*avt$kzg80UNp5eGq22m_O?uk5MW75rIoB5Hbp1EC|_3^ zm}nu0ZJWZf#pD^>>Qz(7s$10!ff*B)&6vl0v}x*4W&>K$%YI6c6=xngSWz1I979G- zQCX9gEs5_iHeO+VRSMmXyPBvT>Y-1D*kya@(@16;z>q}YAm2#)_io2qZ@z)uJGWCn z%8yZsO>3p6r6bc+?^$H%SsV>3WFpO*K}MYhzc+vke+EN3G6*>Q$*HDYa)qxLmIRZ8 zeeXWK&8Dd<$ri(ycW2NPl00}%o;<~Db)*egw|)Z}Ir^4BdiMDjP(@%;(7T9WE8hgR zmQQP?lK_P5ZNBiuFXJC>`y6h*@Ml&;Dy+bG!<2@olL0Tb1c>Q?c% zAVt^pjHa*z@}#8^pi!DS>RkaP32p%&wWp>I^}aM%%9ntNf&`rIX(~~B?#cFO{`~o- z(UQhVams#5fJ%O(UVZgdb4j5%)JJSeO6cHYl7NBImr?p9mt11NPx(_h)PC~8C2g4Y z2bA_n_I7G(7huYF6ANznvK{&z^nRG1Vx2K2(S+1H%WqCAWYNAN>Al?45Hntco~P| z5Y%1ScuaG~AQI4SYi(m1$^3S;-=O?nrI;2>c~<(;Aj-FzeRrn0ampuSY?UD+o!_=U zV7|>(u3W)E#%m}quP{L3VcF&7Tbm(FaMkzz`bTZB~jtm*d&ZH}8y9f;))5)lt8X9r5^e6>wHo?FUG&Z*2@Zl21!I38gM}8rNAsOQ0H$pE6Z)5yxnvnesTDG=C5PfNq7kt4A1?6a_7!I@^~m6egrIwON86PJKVqcF(K z%Hg}2Og}+^;z3dG0-K%@01+?{^OgV?sUAKo={*T_y`%g{!}QWiFPYttehUmpFljG6 zX*7sqvv&0`YMyoU9h1z z+@s2vko${;t z(pELfmq4A$Cjck#p?oWCY1_>8MkfeSEeQ;``EsU)1TZ@9Gvu)C=M$_+ySilQ60BUY z(tyvLxpNGBDLw5IsdiFans-xr_CD_`$ZksYsVPU*MJw|!5UBjiK1}Dzr(A!i{xm?) zx!#dKE`cYv{sn~eyq?ph&PrGHaq!?F`;N*h%5e0ER)`W{vyxe0zd6B zf>z?Wc#OZ}1VphEB;pK$iZsbiIK~Rq?mqJ zl5o;URvMfE{rh9skYOCvllg`sC?r^t0lPFGg?;)Wg+g}1l&R=dRD^~mZDN{_E3Uj6 zU;NTn@Rcv!iSy1qAEQQ$Mp}9npPP*tvror_$up6eU4Y5cX5(M~{Xg){Z+#d4{)7L( z1?OEr@RNa|!$#utGtM+)`O3;_$RS`n8pDX8!*SM`^O?643d2({XU-{R1e=nSYVS$& zz%ecvzC29_Z5pq(R?{1-i$?h`a_qe%*jzB<2&fN7dR_oS0#N`*?+Q3c7%E-?9SI8w zD1j6$>Z(pLSe zZuN}YzIspft8=BPdwRa5rJ45}qy9GbRZXWKBR;D#DGmV;bH%~;q~+37yvlIesi!i` zMX!Q<%fFsgbEPH|#t;N4U2{CEGV;Fax}@X~`zir`+yPF0uj(zrKCQZqBj8IlQvqqZ zybSItSvmeRO)x>6R{Kg*rTC@E3V5V(O2EsImYRe#58vlK-B;=^gj2cYK0)A6mG0EN zqXF-s9{QxH2Rwahi44CzjA*C{8EL7=$xI^UgB^nr%3x z>AnPkMF;v6=3?xqp_nv&2*FPtG6ED9WOO1EMkFyUox7ncup*p)JC+lf9TUbtVoTK1z_W3w!q+hS#5gy!-<4{uETzHQ?Z(61@HPa)d)+ ztO$TTYgEE1}EPM}2Iz2y%|tMGKx>NA0fu6c3e7U|v% zH{4*x<^nV_=9ZuqV3lFI-j}vRAVk+S^(Dh_0WQTOa?2$jR03AoI!4cm^o)d??2BZt zCA%kqF!_;E8C-3cp82?>z5kR~O>+rwNE@f=L;($z>(Wavwft+{Mhsu0fYdC z$muH%fK_B_18Hk=b92~_a}B5}Z>l?Ksh_4JgbaOTd6NPp#J}3?>zwRI;!jM^?L6`z4vNca+A9a?giU)(;;9&AcPVEgarO6 z1V~H(2ZAXH-53MLy;l{>l4V)FcRjVI*W11KwQv3Aydz%=8Dy+4A^7g6(K+{&X=i5d zHGB5#iN9SSKSbUzIH%u7ewA`upVg|ZuML|YGX)5+!qH`53IkkuD>4$eLY`+FUw%VL1k&x*Q1 z_>l)8gGuMQS0m~wbiui&>*~uc)J5l=>GG9_HiSWYD1z%8z!|h%@ESfLMGW7K9~sKf ziJ@1{u`=|3jv_&d%03k|C0$+ZW;6gN7!*vHsWbr6VA$TFw5;UFDwzIYJl^e=*ZzTC6&2@&={c-mFyzg0dGHrS=~78n1|f9%0)WAzIeUFk_IO%_bLxQO z@rIb$$L~W3Mg%`LL;wMR2=~x9u;LE)0!-VWrDEy^BX10(*%0ngJBjj1;2wcGAdNW0brQb|Av7^k$KMssIRQ>QOMKYeF|Ek9FhBXp zPr~zP??{6-N!p=pI=&a`owRa;7KvoSHY9EzNMu}CVAsTS*i#D^>h8Pm3iYyf-8!|P zb?xp7fGNr++7aiK@9+GOXWk9=Oa2S}&1nI6nC7G$fFs`JyK;^GL|vkdM|47)?Vd^^RU%AkgNz>gc6 zsTddnoOlnd75R?pOhIcE21WjJ)J~#T!$;NVO>e$dS6=;Eopat98aIBV%Z*J`kKa!k zFtH^J3rzT(aG{v)^nIbNPP!~PnlpE*KKt2^>zb=CcDN(}JJQ9jiab!S)Cqvnzrrd# z`X=|&+e(Hq^hzlMo?fjqXdz+dUG9--WlgPe4U(eKbgz;gG4^}3%#;-Z08kY?9s3x0 zB(?x?%VD&P5qM=)nSn)#N=jM2jVpu5NS7%l1abPvI2KGX4YK0L%&*(eaUbS;gveun zD})^90fSdYliBL-N$K&Y7U`z1eoa66@z3;MKm4)oyZ<5W-n(C2-K_Rw$}BgmGU_sz z`r%K1rtkgt5A@SpZ`apu{-%ETlb>ntA%n2K0p%6q17|=u>0|kNmIXseQL(=9^{+c$ z9cH=!re4+6)@b~=aq3O>2EoyV^FlwH3M1@nb#`=V-=6*I>FQBuTUTJ#2s_FZmF<;x zHv~R*HLN7VW{7h}-e`hI4?}XM@t8Wpj}o>$xEMgdaG&~*!3R^T-2eA~|My^Q&eR{< zy|5|pm%j9+0E7_67^)+L0cGF*_P4{k(%i{_qml0jjm`%mQIJj$fc?O`tk@`f-N4@}d)E|9^{PXPqNZjW+zCo3=-y9Ea<~8*H79 z?uY&z49DFMc$OntDDub#$G+?LoTlrE9S3@oOldlfkal>Ce}RIn5f@#3d|Ot|<>u$8 zvbs#wwUz2l_P8G>f<`K6nX(LgWB|m#ja7L*5?HYp1*h%)hgLT?FUtd=F7v9C4jkAQ zz8l{WhD2I%`9r4sFKiO*?+*wL*E5u%6GR#C^lBxTOpcjtL5Zqr>kXjlg1@6Ik1P>3 zW}hCpcZ6lb9)lX-M2r<75cZVn%~DSSw*)COyc|{3)Ht3R;~HN#n1FePc$`iwq)?+r zjP3zA1Mh3OHNJDd4MGRMMo(D@y7d;?~NS-HNLqix%EXyM|ey6u<0(w+C- zr==^N)873DRcxWg?4lp`e}iFF(B8cb+PG=68V(%LhRs{GaobMyq?|9ujejbp<2bSs z521eeaHBy`m&@Ag{9$)A%=sJ8%o)=yv?6fH)C+>Gwzf_M`9`5GVZr3Wnn3dlPQE?Y3Zij&Mi&fu<)m7{}g;m4bjY zG+ICU(T@VigNTo*NL(+FCQeA%e3`gjs?Os4rw4!qEB&x#wX$S7fWX`WxP5Vr5NllG z@67YGLo{5}O<8HF-#ZE*hO>A|D{7}aLwUGQA3_5ad_6hv1P*iY>6DZ0{Fys2nBjRx z!hfM(L~+y3e9a-pWy#4a(&47ouv&G)rY&kb+^l|=7l)hf-bCYLlm-K)p$q&l0LnA3 zaoIe;S^V@fdgj^Z^vDxWh4MkcJ`Ina&O^v|Ab5!T3;Zeox}nP%%Fqd*40w9gQjUR( z)ZE&ovho_unmt!jr_Tu6v{cvDicLvNOA0*FG=S)1-#F|Vu=*^}V1K@a0voEPEaaZh zPk!<%-S@!b1}3elsu|%CuSeZxmNCU33W}KY3k1`H*)j!&@o2a<^hl&}GGpf4U@F&4 zHZVa(yuO#4TNoVvjhi@GHTA>P>lZr`J-*iKktrimv@O`Dq&#ZP_vn=|Y?gX`aex(k zPP5PNFa-ffD=8^eQDL!0jU1&ZY*|uS5u9MM#~prox(p`SPYexQUn&s<1M$z8F;mr5 zHM;iN*Xw=n{WG0=?s);U#9EhF*d1N=KZFp7ti(F+yz{~o9n({Q1Ar6tjA!jDuDBvh zn_&}$_67e?@$?kLw?OD}jdvjO$2IPu&ARNe%R(6t$~?#Ne!xwv9f^fD?+2R`zmEoo zsVCmUPKR@@^A6Kr>BLEebB^KvV-p_4X_wUdteLYy8PMET*Hi~>51J0#53r(+ zK?jw5tD@E!aP(@W7N(-8ooZmDD928fbJ>WS-|$M>mwo~MpE=URN9dgfj7 zvU|@Se~%JXR#gUVaWwsyt_6<34o8T4Jw4s-_ihjVP5#(^CN`kwSsv&8$fd&&h3I_G z*P`gCLBHd2rB+l~g`1H?snKyyYGLRkQzD5YR zFnb#I>z42Sm+pV~v0y+wedcVPZNY!t8(y#TFT6mb$Bfj(iQ`mTS8WE(D0qmmnVD(O zBlhkA-F@$4`sFY0(ftoRsb`k2)}FnMVy`QhH`))TND!2R`kUkDM}fiY~FBf9=JWXrcj6uG8u>cw&Q8v}a5;pxr{KFnz-6 zsH6i^d!0SWpgCjYOIH9m)zsAbSg5&kW*dl{<+5aH>Xa!eD#i(9zRD{~RZ>{stYIIL zRIkIP4V!`{qOP`1%br=joNRbmqfB~k?;=r^U!XGWlx4!kQV57wKn{U2Z z|M{Q)8C(zm9%2v^3t9wZ9LOX0c#gQ3?!g!tw*zQ#5YXHQkf6cf8NMmkxW_l+H{b`& z&R_h+Uj*M%AN$zH!jvBZ9Iel1Kl|CB!D3@zG+H>!1VC{g?H5`p(#GKXl1nZLTC`(P zT&L+r0(MxqM?k~HK7dSDk~i{+pDgl)u{(egN1ePwSpXTFM@!nHHb|fmatJ3wEixwJ z#OdU1zr0XA|b-qi`fE7hs z83CNos*pG8B)T@_x!+)!dL#ZAJds~O6M=hSFFIc%E%FH%WtA+3)YMvR93Pj8@-xNd z6Q`MSJ3Aa~;K*Yx>SqHk`VTLYRxjJHIBntzrSP?=G#-pN4^bJTn~vGf=4fw2lO8o_ zYHdT)TdIo6I^Sj!Vm3<#B(YM_11&-fqK5cQRu0pbIa;}Dqjv2%96(e@N1y8%%`V>~ zC#--CydzFHN}M9Oj{K7!8OqQJqNoRD=v7BdgRwP4kD1VtC9CzF@7}7r?tWBvctrQq z!l%t$b9Ku6Gj!fXmumcknFa&-9^qj-5ZN%GwnsZ<9;uG*UhO~JspbxkSo;ht473ok zjO>{*3M+v8JB&z&nD!B}Q0N=P+949cF){5%$QyKe&a2-v?_-L<2SL>=I>}Dd#!Q zmJb>~cBIy=S*d&Px+6)4U!+8DQlrL?R#SVU zMvbjkGS#J)mgb;YU@C^uEa3pPM*f5tiiWQS=bA6aeIKaj0N%0fKVr*`&s zbNgJsev?w&u0#3@98#H_M2=rI7NNdP!feF?R+wDcKk8 z?Z$Q_ZLa&g0uNN&p0LmB>`AGs$89*TP))5Z>UBJENE)Oywlpa<(5Hq2`_KsDtc&mo?e19G4SU0<@W6qvcTGI>>h! z%?TXPCPpAmeipk&VjT2&&^|e?WNJXot(`h}(7>lFsix)@H63P3*XjCcJ|q2)kmI=V z1(oX`L`lA|qh#+pwnD=5e~-)1?aS%3JE3y6J(S(fM?&_GBX@Dl+9LibGJ5c-KmEjc|v#H`;dP6vtR4Uh0DyiVfrvB zm?WAagmg4|#vXxq`m>4+feOM17vUHAt~sdYp%p}^@3TBYOsB%q66F+llr3?Mz2~hfZ98U~- z07U74iR=81ghccVzoTy*X`7%pz949P&N2NraRDd*OxPhY^@bLTsW{>WIK^?M6TflU z@Zf_F219dfod8$>6aW%h41m?@)vLpbIDk^DSs`Awl|jQoV2X=C+T(*wrs zxFMi!h?BUp^&{hebcMZfFoejoJCDl=^G z1}wCD46wT0Kf3TbpL9#>a~uZbxmo!JUs1cRA5pK;aszs73aLNx?CS`01U@s-XhrdA z-(&#ZlS+g(+uqsX&=sx&+Q4#vht{@^04BmU+Lg;j939bXFpD9lQ8aaR^JS9s^8FTI<73eTH&>IDxvxO9k zHoAMBSr{L$4yrGJ~rM ztCOm#DwJ;k1H*xlBWMu303HM!OfhW0iRQ-4E;^c_nVE&-PzbgWjv0H8bO8>-;s~HX zNTm}Rjv%=F4itj~C}Qds0Dd2vi-Z}cbLCd+XUrhkLZZn4?XgGL^5cHBwYDigkLitM z$S1NctlY5>;d)7Np+~dMW4}kn14&;S@U?uESg7T?{Mbs3G*I~5=RdE@uDnvqRy?Qk zF1SdAMWs6TybCpE?0CKQ;@4X67KUBwOUuetQC_X#BSr?#&`c4YkG86zA)Hf|7}!L0 zNL{=#LfEsZE-TWoZ(@5Dz84xkG%b8j0>I|sM>#QS&>ghI41kRaq1egIE7W0np*vfhjGfAFw0cjame!lXu9M+3-{xGL7C_q zv_0BS#0QvuL&F_ikFRlz0e(!J$M52kifKCv1z^KSo^L_@P%ly6d*%HvLm7%G1D;;B zG#FOjTye!^VTm`kNoa-u8-2mAOTU>G8jEQ37Hb<~<^?lK4>3c8V9en3T&Ocf2d(S)d&KusO55E6hy7aZ@sj}Sh^|S1m5&VEh{=M3> z|A5LWs~A z_|QWZ-pka88w3kD7(Wz*!qE9EAv7~MqXY;5mI%bl_rvKVHb&SU&6qLc$hZ1^M4j`! z5kwGmiw22lHsZ#BoT)Cr3C|Jl(Sh#^s6$J|v>AYjZyL7^^35thz|ki^`N?4K1Q3e> zdi*>`01knC#Y7r0rJtu0;2hA0#tER5Cn zwV@N9#XC0slK7-yx@!nL;MOBQKSyQdCF)J$asVI{xfo!>Tr@STX2XCQ8^VG9EcK;4 zJm_}>22pu9q#f!n{uk1U{7j)0q(1-#qi;rrBJdQQN86;(PXQV)1OO7>mdAM44~D+Z zcOjb4=RkY@hmD_`bLbOHC1&M04f6o*0UmRP?4QDl+d&Tym~KZK9j50%N(xiEXs$>* zKflQJTC9??Qk7SfhkMjB;5gRKl71Ybx{dk@zc~`(wh=!Qx2xzk??&wq;u>~Z;hwLP ztqf)8MAHl0DMPO+g3llb@l|ux)mQ4W%Put-86UiKPo6x{LU^+9c#KEkz>Lg%*+m|v z7lnclV1V)Y3nAL}0|Cf=0*nvu$uPon>gb64&;)0MPA39aLm}|O=p66E1N~;!9tCIn znKH+}08i1^U9a=cJ71@qe3ItQo~61PG#*I{0T?UVr+(GdR_k>xdRlbDG2&A zPCr%i=baKf8n+wxZQ8h5Y^#yzNd+g6+qP`hS!bUW40a>N9x-%|@%bwuga}{*e@=iI zgb3+COp#(Jy>8vQp?W+%;#tZD0Vqg=vS7mm;NXb85TJwS;Hd!xKB@rVqsJ8+QT6BEy2H75e!&02bJ*jXp7X@`TX-X3v_T1q;s5B^O_; z^UgineSn1$0FrE%1sgFynuWgGa!%e*+ZY@|G&J{6E`&YbBeGMYzFthUYu7g(wFg}n zXrEx?24!HY;MXY2fWrVJ7=Sz8$n}TQ4Q0guJkscp95FDBn1>ysuK|Ye={7I~j7UF# zOXBl=20(qi10Iw_n>y3<&<+Q!GumGNk^dAv*;4&}rc^mu1?pjehWk2g7jR3Utr0hY zvc~5xb&cQD5$zM=+l6~>C&@(8gRp3&ArwF*fRG9Z0pfRtGIV0dO+Q1gMuNe`yo3?@ zYy%ILi%*_BNv9Yb;3az4F#HHbtEymcXW^IU0ZStxh9@Ox3Y{y-v5(t?PO-v|(d3W00!X#M3-oKNE5 zU8c?eKzOpoMhJ~g{4I|~yn`@9yTmugn`Hs7yYgQtQ5_vN9-AeSh_1@OZ$C3z)3XudE|k8RMXH_957H-7sC zim#>L=R5|wwEwt$hb;Qe^+1_a$%765g1wPA{5 z!^ZVNlfg(40KzCS4lqCh=kX|#-yG2-aEuLw|AO;%-SyW68y)=hVDo~$)JI>$rJ6AbK(hU`Y-%;-qE^* zqvJeMhyIc0fdU)t9z1Zsz-+q~Enck0AA3~GmOm4wbJ5b^R|&7!dB(e0IsI-sJ<2Np zge28J*d4Cr7owdaE&2gNC13cY>gI{ZIsZ0F|$VSD8PUP4UoV2 z(9ta~0!BRRE73bJ|L8gj1job=(gJjOKI$-s0TX z>AxOJg#Mgoz{Q@J)H`*G%@p-XdyU%+^-g`Jw@a?al>Xi0clmyN=lEN}zj!4A;t`;t z-eck!;*Z>*3|-7nhE4!wz|*Uog5x_Fkr~XPLkEMC!VMeN`?x`kjnS_7Fsz8-!N4L_ zI)WMl+ekpgAgRY-tLq53;$HMz#PH&gemWs2Vh_Naqb;eOq(@#H5 z7hQ0k&OLj9D$7gM-qNf^Pd%ky|LWHczto+-{#6hLwKbKhsVvc%^JeReYZHJw6Dx6D1}1w!*hplxC>& zY}c3bj^=a4vn%x2qmOIT`i;R(?D0n))8a*of>T!j2|zl0JO33Gp^PN`!Bv@)K3WUH_cFnP6YkYfTw;loYW&bhJK~f4qtz4===preBy7^ zNx0>ELswo*ywksZ=*RCNo{a-6EuCIS``Gyi?+m3A#}S7(u28n)%*+2AA4U#q2l7A@LYL$Sr9ZBg(93_crZVh z76OVXAf{2$iB&;;IKDI!Mnf=e+yu>>IV%Y3$Wt|j!&z!+ZPM~(Pixi66*}dlll9Jb zyhE2>e2Gq-H&2yiy(vQ4*(M1;pZ4yWJmSaUA-;p@U z6YnzBiro?S0cezoIQR|N01!d%zQX2-sZpj0vEAam<0TBxd53mKJHwcswn+MbI<`*% z&@&B*y%=eeC+ZU5&XiPKW#ox=N8PiMj_YCNo1Y<1Y-XK3n4{4nMr-Vt3E}&D1Y#h; zJ9%ywOa-I$$u%&kG|1}Ffqjhz+r=6-Y?La>E1fr-Ut(uOo%&mYnZozP_`N7-`GN+> z=||s_Jdi%CU1?VUS+p$lAEwuwg&`n?E0Nq>rn#|A!tN08gUuYEi&dt?%e}}x5#Rv; zDWfkHm#d@8pf?xaY;JEZXHIs$^UjtuF1Me>h@3h?D`%)5%AP$`7Dp5K0xNNQ60AbS zv1hm1I@$x+#HB@C@9FI*)HMTuq4K5&i0??>OK%h5p36lIhN;IR?*bGVMDU(-#b75x z89IUVM+2U6CT>^fdoCIJ^#Y|nafv$bANpZRTscL}W2Q(`FIE2KmnwJjz5e|-Lw94^ z;ka&8+xbUdKNdyLrtW_*gf_?hLVVG^h$blE^Y&ZP0Nx`8Z|}L;dFRKA;~~VLs`uY- zRN~=d0mJ`2ii87(&8lxwROuT$1&(paZONxtI|xoz|8dIIdj#Q?9?)$tu606Lm002_`pF;tHM*YOgb$>$kN6F<|A*i4aM(k72+r2vI!v{>y& zJ;vn)NH8#PX`;w{;2k(*zXuo?3h@je-jPFH0`t=ohLBnBz$*H}?e9RL;WBx);a*8n|$qM|~d6MRm;C@n2=+QrUCsgEVT=J@k+RN%5P-Ca{vqq>^fFf~_I zQ5iys+e$@QS6dG+I|FR-7fiD zL-!%S8J^)D&u}F}89Gt)M+2TT@z28H==Cn0zwjvLri;RP|1H<4d-ZHp@7bcl8!uA+ zYcEpaO?UYBm&5&-09?7Bd&p3Zp!yOq`rT80pK;i=hrIT zbH~uHh~jt%`2ke@aS}`i;e=phzb|Z@U^Fl`t}|uR*47#fd9i)!?Ch|h>o7C)vAfeF z%5HV{bOj)h@Of)%vsxT@htVZMF}6*>FANNAxh40cOPiyw9**bf{nHF9rso27LczvzP3JWx{zD{SKe!3Q% zak}!HKAQvY*@H%7gSKwlu5t^j;q}9%K96V$G5e*UBs>DL)cqkS`903)hM~@CGZ+@*(c9nt4%gR*bk5o5x!t&IXl42fbfBTb;5?;!?|DLt7p_!yN3Y6C>kMc{s;sQa z&lc$5foAR7cQAl5fCcTov$M->GSXU-R|49{a)TZ06YJ`0!uCl_6W6)DGwnQT^r&F4 zK62D>jTt*y<0p*M#EBC^-AIoS@jB3tjwbHMz&);q7)+w^K`_36yh=jg06W}A`ctF&lP`Psc@`Tfdf#BD-=VMBnA z8m1*!7LAwT5;O5h<3?%R$Qsp@<*GbCrIOsF3UZ?nV$2vB`EicpI)qX>m3fq0T*U6| z{i?36(8LMjJ$fz)8ZX{Oa{<$YF?P1M8C*4oDS!@xIXn$_8nkTNu{}J~(bl2O8#imw zQ;YS~Q;WjR`YkO@A^pa~jcRUc_9(s7jI}?UcN*wnJCZOM9PH~2oBa-&;TD)7V?>Kq z0YTQ%(yD{|52?~%YwVaY9(}tW{D)^}03}P-lLnD}7StmwfC@`W!U!D(AB6D`*G4cL zFCk!fk9UX%K!QdFPyp}%oGf0vIBb7{papbtjp?W-o_OLVomn1>NGm=PsCR%XM*s}A zLTHT8=A;{prxSS~KY(%G14yw=3pPN2DDDB$v8Rex;vvNOZj=*yrH3DWIM^v+@crC# z&jl?JaUCx)?F=vjB%s+s6Gq%b&zW zcG)Mp`{ZfDf4-_~YTb5v1CTE$C<(_IGv{jTxXB)jbQ;L))T-4RwDS3NTE1ef zmM&YVg-<`L$Deve_dooE?tAEQhbMHekNoC%&;5_-o(CV-BTqc7CCgT6@iWis_Fvtj zbsM&5#HcauY5vXzf#U#F29<@yC0f09y%s;cOj~v|sGzh)V*}AgB+$FsplbH6HYm}NfKh>nVhVCRg~sy!k9YEoH9}~rj9mPkM{8kZI=ff zO~1cW1VE#<<(orsrA3&b48217qXJL)H{BxrBAzCb5+C`pazFRgpTcp)r4gjrsNF$a`?N?rRB>b@w!UNk0W+`UR z8mB8RJ5%SKK1t*1vNgIoOJiz_RZ~(BKmj8*R@^WJG1zYgi6N;6fVqRYnr5K$^)LUk z{^8SqsSm&FYR#KirqPvsDhOV@JyJ~d!ls>8G@1`ugrjT^va?bKOZgu4cdM(T)j};R z_~AM8j59Q6?ktUS8WX2X3^r9H%!(qmc3|6y!Q4D099^SF|1E4^;dA`S^rp-~Euh#R ztf#MAiGgnQDrFvTCht7uqfIbK$~VIjUH5Je_vN0_S;(CQY6a71o6P;vLS(BU5%i``ORJ{%-&pG&yLrxc^dd@jjX= zG(R}P1gHRD0ADd!0q6mYu%kjd$Mhmv6v~L^iDL|W0E>VX;ypUVX~smE2>`}N9(hFn z{_p>;haP$;coIj$!FDhhvEOvlO<~hsw4!Vf%st{Ef5Aou1qMPq3#}6d+xS{K!$kx~i6T)7Sb5B{Id1qXp5o4!0ZnvBKO4SUXtlAM% zRO3)TW~S;#%~0it$*LYbUDf_wHQK*NO;`DdsVb?TsA7l0n(@ji8KL&R676s4S66SL zdq9cCPMxij&NxdayPXajH%@s5vjYZ6qYReLzxZ`J=i;k1Va{o)9cA!TT&@xiXv!;V zf~{I!jypwvkAvHo>y4@Fet(Z#cm)nW%_I5^h6)SH!}ka)`P|p?UG}n~ew}~DOnv5) zAJm_}@6CGi^_Qxy!u3CpP*%VBg!^1J2*-W6*L9mT#A8yAVH4lS|GSz7HEsMbee%Qa z(5FB84*l8Nuh8gGHQ|0}1FW(eYHJY~GoSNu&`g6k2b{MIW#~lF9~F3#E;4g6Xd2S` zbg!1mZ=4YQI0kinYYc}Df9&k=$MbAZ^<+80*+u;K?lOFi9PL6c)n7!1#c4;!fw zBOOMJ3__Dh5b_N0!(9NH0et6VJFi(`)QhbM(*#)tH~nHGReUi)B?cVbi5|6gw!83y z9#n=**5*yoPW@)ZO7tdzV?i8jmX?%; zd{e$?$};l36ulp5kxm2=A9WF?J^Xz*63_^x2ed6{1^`;IeOfGJj;15!_jlsRcLPA- z!5#Y`-bZVM`+%1!2k~Q%h0PQ1Vtd6@8F`57fB-<^*?7}v%D}W5Q+iCP(M}N5th~dZ z{N)g3=6&h|4Oi^VnzT6Qh#eGLwE*OpULrqeohTRYrW4x3pjmNyqFqD*;J|bd(^Xkv zTCKUc)p4Y_!}2`!xt!f@(;ORH+SS_CjUHDkRA(FuU4G@Y&Rdc89bk%albV_nI?&jm^&59; z^_s2j6a6Z$7^af4dX<#dsiJnIy8GM?%#E8nx^>WHXzA)vuiIUpL$8~Fv1I7~)L*ar z0n>HVQoie@u&6jpb%yCr1Fhh&(=YV8o^#x9CX64a`no#zy#nx!BZ>k|i@qCHv$`Jz z!+6&LwGMbi<5f~zrZHp38Mx8cBR3~UQ|x*n>dV9l--;8;P=-znWw~Ju1_SINifn%V z_XxYDw!5xW_00w}=WltDJrnsXb^cyFRkp*|FFBI$^s*eGxqqYTdn22w-=-J8|6=;> zd!5Ap-&<6)&H4PNscHFp>3A@5PhIoS?*xJ;g5t6tD-Y@QQn_oB|4*5@^eB~RHlym& zmi4Rk@BmhC zEn2$hG2QDpR&8!kdrxG)fWTzjh`@)@Sxjqf_6uL|tkS%&nQnD;O>kwv2E=Xc9l>~* z4Tjm&lsGDi^L5Vr*{ZMr+;`xR8V@&xDLc}r_O*%QENHPoGNX)^VEa8YaN^6wR>RNj z-m^zt9UWqum$9Qp1OwXc?xdD1U1ouhtp(?uuO-hur-Mx`&NDu+B5e{oyq_{>u1-Jo zB-PiJht*;@5iKe%)z5FcP4_?efDY_G6u{Y8XP>RtTy&8hfAB%AeD2vGpb^Rl8Nkc2 z=%oNjd>zAUv^h+J0SW*XXj6!f5Nl)7iQl{rkivEbO%l%@{T#$|1nvWDs8gotF2DTp z5I2E+?Y{TD?}e$*W5oes&<^lITLlnOAJ1gq|v*ZULNc^~ypiJCnRbO15 zu=#EOfQsB6$uBF(=A3eh&OGxh{pPNF!y$b@hAa+%KeGz~iWW#M|2mfLWHperQO|l%0sk0Q zTdBXe@%_5}XSeB1*S|?W{qaw=ZTn`|1B)yARarQoH(qy@KJcLrX~(u5di2pJ^_x5I z)!uyvW!B<6yDT06QI5VW21YKeuTeL7{to#rPf1a(PMSMi?|%1NwS3tk?b@?T&#c&? zh6C=et`CU+S&3LwToArP()CY=_^kEEsl!?Eyr%Z8OqShp`o7m$3rAn@kmFBjwn|@1KGAOdTAhzXxBl-@7(-jw z!8CJKSW>QGBgSad*og)x^#(NMWal=CQ-$&8;lqu=)@ALwHNmE-%OiG1qS;ybnmTow z#!ncpin4Nz8#7kZrcDpidra|^l$L~@=W$rr>A2e3y9}%n>g-CYyC>y%dVH@(wY7Dc zK4ZG3PoJWy>T)yLUJJ=m1EHBguwlzY7K7iZAh6v*%(S_-;H(8Y`Ygw0TTVA_f)DvYK{ z7cjy1h=In@ME=MtU=PQiOrP;Q8X63%@!rie7@DMG5owSnnkNVk-@pF#uY-X&gb_HK z@iP5K8F&sLLz)072%r~hsUY%79*&m)N92<{Q*Ms5Exrlg?9Myy3}6XxhjxtbLs}6) zj2t}rLKIm{p}L}WfhHw#${2wxzz+ioY-@}%0+3=8++@;i!r*(**Y@sjbU5tuLid+$ zwRQEXJ2j|ezrV+zLH%H%68#0rE2z>yR+OTPp)~s8nbsN^{xs}gp<@3+!P}AWs7)Uvr z%`M%*4FShPjUD0G)ZC@UrVbrE+@jXDE+vw^K6V7nEp@WhU}yK9ed=-hF0H5x+q7^U z?NYY;N}l7!r&YdxpE_^8x(!wVWlV#kp@SE&>X7{*@A%a{`qzK`ntu7KJ4638VuCw_ z5Neute2ha359v919t0gY)S|Ea>o@fC+wU^ZS*f;;&Tt<((zbm_Z|6~aibIAnbYkd_ z4m@F$jb4JOHb6=`VQ-W_cWuz3G!NMoq3wyO{XM__Ex<*5bixw>a+;4?U0402!PI+R ztmz7Qi$j|6JGNKp_x|@OORddqy5o+!^n)M%M2iXzK7;r4OnXUoE0JsoNFj7|gu)*kg=Ukv^Q>F&Nh0m$-veF<}gD^hWsQvp7gq3u= z_w3b*S{XpoHAuf zxPB~R`VG*9mJ96|@v&+$23vR-2ehDdLi+^h#YT!cf&g2%8Mxw#E5aUlXdy8^Cx6GH zqsu^G>lFYT`M{nEFhyR_hM~m*Y-3wRo+t+zsd(DXuLq3`wmif|eF31^iUe&A-;{62 zbD<8MhxnTSn3&oFbPo(>vv||*5!|k^7YqTrpd95CxE&3k`N?ztF$gjA0Dc&Q`8?m} z`N}WAqc<)KiVb8293Oqg_p)%Mz%$W1Zc(8h0%QmwH_l*Dago^juAnGiHMsUD^EWdS ztQ}UX61VeS04Z&qX?qu>PyH$=E>LAng$E*q8acdH)22>TVLnsYJ?ckG)|=4q`YKJE zG+re|Ot%e&g&_sG2D^i~&hbzf_JJesOQQBq%WNC+ajyuW|$oJ%X1s4n4%ut3-5dG0j+Z`{(M&daW|Nor|`@cl-cl>?Rc2&F4Mp(c%Ht42* z`)BRgv02?6?FJ-O7O)u67AZHs)Qnl`Np^X3*QULD8p4Pa1`WUf(BM3f)oOXzA@wHB z=t6@s(=Jo41r*GSkw4RG2rvW?3~~iV6GL=iO|%Ex$dSV}Zu}UJn%8RmhApbC9TrCL zUELUzSLh3W`$>(c&erm!&jcaV?2+;FYt}f8XlXLSqA!^=qh(n#U zy7#W%1T6}V91&P|-F=T%u6kbEx9!yWjT?f0n~@_%YA~7byMsY66OIOr=|nxf6mbu* z0jOZ=jrt@$gg^C$)&?L4FydLxV=#fR2SBi@4L@Fd1Kx?_O;4Bn@hr088W@5XCebS~ITkK7a`g5&0qw z02pOGIfU_|L)6+#Ig#mO~ z;(9PKTc^yMAExbkdI0rV$}>3V4;$wqv}yE!ZU>(OxJt@OlFFEl@Yi#`Jkw?_=o z-9VksNSlU%Gynr1PfStqLjR!4n-wkBCvRa}5ywG1oHLyl96mZ8rn6}8*awjfz+Xir zE)%ZMmMxn!d(KRaA3s+68V>0Ft+8`lRe3KytM2ihXf0)!aI z_yTq7cd&~L(|HaIe)tQO?11(!v|-0b8H-8^#P&IzUF`u7e)C&5>*~v|bxaJ+i8DhP zdSyh*`#(v5rVMcU!w5l!CJ94M7}J19!m0io9ct;)&b^1UW&2)j+`L`uHf+}BEn9;I zgXudAF&T45tOusf^qohpOmhw9gb{MzK(4wh;9FW+f);`!0v`d%n+U3OLilhG!NBrj zezTD;n-Y&5!{)S=VrTZU;!+C>gB>&aTnm-vrY7Zw{lCy^nISt5jAVO#Oj!W-GNl5L zLS8x7fIR}+MxYVt>1Im~0EPvkfg}c{jFREsVYF&S9E4R)mOxPjXPj|{uSZit=|VpSHOCv$--!v3gjNNIkQhJ%tN=BD1pvvoapQu< z4^2?|dq>BUPUJDxPVo#!TpyrO!*|mB`SSzlK$x=%E{-cb08q#e&j5~ygCp^Bjlg{b z9IN7(O2ZK$ABv5S<20gU1Y+WCM+mzZB$KlsqVe!*Lrs8BAvV7bd9X9)>&uF)0LOKR`U$T%8T#2jP=;PE<$S@^^Y1qg{gjG6{+B~%e`>TtouBxUQV%`yqL6>-#Y6Z1?^FC8kM#|I z>l>SEMIM!fv^I>cVSW%QbA%wChhdgC63-C*cVU6A4Fo5Dcu7w6^;&3-^~k?M#U&L62IEy# zS*Np3pXU+rpxAU5K-Avese)pU@I5kSWg8<;G%FF~jhHM%y5ZD}+1UuwZaL0lbybB* zv9Za`3DY>c8}_NVtUTDKw0CxgH~^R~NqF{*(@xdgIkPop)aW3TnRcSAOc!<-II!Xh zpxEaZ7o2&fwr|}Mv;u$!m^=0Ky9EF5BD5e(nV|^+kl^ewHd@DVC3)dEefsoZlnrp8 zE&zIfD?kfN^~uMv@(_ap1W>G<0=N(tVa}X6K^US{V$Ibb4(`OjA zqeTJ?p|Qj76TpuA12WM}0c?mLr;PyV^DKbTIFV96Lf2 z#*OsR=N$b^cz*mCP2gQWJ89f#O`kkIOsh?pFiz8_P4@Sn4QYhcY@cMBIiuHhb#JaFGVdi2qUgA>b!hTYnKV6WToUY&f(Y=6624XdpQQ*R@N zkJeTX5}thW2`yT*P|qw~tg4DqjUF}90I|sFnNPSU)fuq&^t2k7{x|^QQ6oniqzzY5 zNm*E-+LP*bUAa!(&)_%IDH`Z7b!ejhMXMSautbBp$ZbT#M~-NK9in^mqo^;zZ{R7p zZnsRKztGni#6R|iZDYNdS&!~cG@mOzfH$GZO467{euH3 zgO#KneE0$V`d7cPpli1<8B|9*p1~RQ^_p?931>nBJq8{ID)?~;GZ1ECFsEQBYmPNw za21WtEr2}QHY*E228NdOdpw^=B*X6P%My{D0yHhzZSaz(S+l46*#T|Yw#AIqqwm5J zv$#Sfl7p(O7^ZK0(UY-x*ftr92u>9TBw7knsR%dw^;( zoAvcPGv|IkS5{Q0=~E_aSY5U93vyLaU8zM&SE!<9gqE&YuRZ%4m1E&aeg-|7uPiUp z```C&&6z$^Rpk|7CDx>glhoCd(Bsa}@@JQ8{ko0Xx_z4pEkLfh`Wij~Efph>d7hZT_n3ln5CO`qez{)a! zLYxQUPEVI_#fUw2EQ#^<```cmpv?kQ0mT0MzyCW-!Nnu|bRup*3-1vZ<>d$f0vz3N z!wtdi39TJqg*xOKM&-BfyHAZVUH_8*S7( z-}%nqL=yveuB~3ZI(#4U39+o6Rd11vTPc!VGYlTjKIc5$eb4am|5aPT&D`n_}vlDR$=(EemD&{(pTxxcjKBt2L0GWO|zz-~VU7IKZR3gQ+a8jjZ zc{=^HQ*_B|U#kVDo~gX-BK`R1cdDVWS*@*yv|{-ZU3$sI+Oun)-u9Mvs(Wfwyi;{#7dl! zqH5I*8|x8oy}tPQPpDW;VRcz|(hN5@Upx2g)uydGgXV{{$g`6%^=rV0F4&8(1lkwL zW3bJ#5GpM!(9Ed@Pqno!!=S1xI2JBhq4MexdgeL6(_z$329N9p&-7QHuDRk;oqOil z8Zm5G02JfLjkjRv)4liIuctgR-??L#wpnQ79CM~Y#G=O@^N3$396X_gdhdJRtM7d0 zJ7HRsI_Ejc52!(_gUbM>TA3C*9`MAw~)7t6;p)RN1;6f{187N3lN2->ZR%jO20?h z&|smZBd&O#J&3%ZO`|N7D}Il65adz&!G1_D0#DOt%+$H(ogaY2{sWDE18r@#`izod zTO6zz5!=gZp-CU&AgHdtiXa`g#j}? zds6^T)Is=025EpJKvPxliooN9O5o5tM>0YHMipLYplx3 z3kfLXDm)e@!_1Vw;i*~wA96Gee{VMVWSL1j7?z8{T?{(iri%K=XrR|Oe5F%)sU487`z(Q{5-q4^Q2?|fZ&;RQPP z>;;-VbBYDn7>yn~LKT&4Gh*h>b}J4rcZ3ijjJO>F)AtcWR{Y`wmAVBk6a-R#Ul1?| zcI;>JEkM)BV#f@gCI1MmQcamQMPoezo-}2WPC4Zy&6qkxRpq5-dh8*WA9k~6B@aL@ ztinkbH0Fs;>d0svyOfZ(>^uV}G-PNAm{N@FB;cW(5B55bJ_eg7-eQV?sRF-?kvyw~ z9(?dIecOQLzWeVFOd13ALJP8z!Xgd$eWrU50^`Px34W)rhazqAMI8m^|1ybp$X`0e zASLAA#4`XKj)0Qbfc)iBJY~lbu!Y0R%PzYt_(uZdu#qm)cP~{ArYF&O@jL>XsXO+= z14QwgDMyUK@lo}`4}LIA@nI|;yAl8-G0pbvZ+~02+;U4;nTNLP=<*+n$PqrbZ)jK86&XkqvIcsU-Jf!siZ+kN z$k|O(l~rX1O4ZuBd6R+01-kZ{*Xi`rPSu>bvsLTzvoNFSz<#wg9#ZGw!|H4%G^)F` zNj)vi>TEjbW21UIS~S?xu51IF-mZ4_yPnEjmfGrCvFR{g@kb1=)%;UtDw$|kZ=yTc z2^JO?=&Gx)(&blOp(}kXt0;HbN9w$D&ek~#PIrDT*ELsOqB*mts<(Ac*K3)I%>vj4WXBc36FyX&k^Nwr%>=(Z9 z1%2p49}cTd@zr+DIp+rLa&a-6G3Nwe7Z!93@dWDD-NJR~+T`0(u?~T61n6iU;%E2{ z9_mEryu)`0&$>?mT-^_F(uspyG*PQpukyFwVr&(G`=B9oVBttaFTej~C_}%CGT`Y| zN&~C+zPoSN)@>Wq(cP{ChYzW_y(+RD8PIiIVm3{xg#;{>; zRb7qd&!1-|oTGJXp4XxMd&5%c9Hur>1|ybXfR*CH;^1F~7>Pd&NnyA-7>5^zc(Xl< zWjl>5gGAD1`h-=2Oe^|E_??%Ps{&tRg%7qP;phNR37(T3&+w6B^@caTS(jPB1Y=k~ z0;{hqD;ItZ3v=>RXz6)i-~OO^!S@t`A{PGS4*`8FiU8<~Lwp^f11JF0U?|S?6W7q( zu=Jj(ve+((rT=JL04V^22;}~Dyb$Lx5aw9~4gd&k6??=1JovU~qtJX2KaM&9I!DJz zK&wcec%S^?<^U}Z+8#7d08SicGEIlK@_X*NC+yQlx&-XSu#G~yg|>F-{cce#?&St4lNv-Kgs|=A|CFs2`=TK+`P+k++*cg7Tc-#yBW3~Ni%#$+J(DH zUw<<6mGPtMLzpmnm?n&^Hy~ROR;3LeUgI>%4Q`4wY5Zi38$CheCrtKxSz&s)H`S+} zj)cKdr+V7ElF6Fk!UTu6<667eA`g zPQ@l`lIzB8xT474AgNn_`cpL<*j;|%xf)yN0f5Un(ZFig){VOB*T3)}!$3FRK;6$) zmX&J!=rOwUmv^YRpitLbb&YDOs)IvMXDWdBV6^SN7fnuPxt`re{4LnyvLMfW-p3sJ zCz}grkX-`s{q+Fc|SSLHX3@@7Bu+a4Yn8KcDA^hx%<6@i;zgv%DkZc*!A`@%36;&EeFycxy$@*BbI)s_mr=Y7O!5t=Dplr?n~x*E z0v|D^EeK4I)huu_1Pvi9FE)chC?PNr_y{0Ih6pk2Ja{ji02hI&nK2F@He6#3u&OGn z!gEXkoq0yIYN$7ra0-3OF+a@C?zb>Z_?3t$lD5$ieA7aLNF#xY!8W7T;M@>F15R{e z$j=d5LqzxT1DFVDT410BLxX}gC6!Da z5!kVCPX_?Mj{q{!2s+X}F%^S>H2?`v0cZjsV2F(t1$!ZmT;pBrh~jjA9|6ut1A8TW zD}DF7-_^hT%fIN~{_WqwIn!q>`3DsKF0B?InpJ=RDOOz31}Hz}z>u800g~A87Yz^r za74Y(W}vu^epg*_j}VuSG-zwo0qK$k(~ehNbycW01UV}r0fPWdY@sL@S`prhDQKS3 zlBFd;a;1k@y7S-Lm&E}Zn)vCde6Jx?X<4c)Txs-ecIIU7WG7X$TdJH zuc!>32mrOC4LFF8Hbr9Te?Sau5ou6y`TO0L$rt@~#*Eocd%C92nrQ$(TJ0U30c4Ud zw5214)vLS91Ddv0_kn)hf8V`MvqEot{p*$J>D8_sdo*JB7}w1KZP>8c^>v{}jU1<@ z!|iH5+^S*qBeZDI5`&x^U3KNM8JZ`Hy5joR06 zK!*+<(rYg~M-?T~zJ0ru=u4@o)q>pbA8c$49?)T+0f>>7`DKtjW|;hDgaAY37^V$; zk*T$UFaHVlF?RGw?b)?c<)x(>UO(InJUa;B zwd>ZZv5{4JondO@JEF~P$yW@bVxjo^D6Tt%Fm_IW5A2p!tXL5OtKOIj z1MFar#F1+_0_8dy9NtGumcH8VrHFh1%J^>N7uzGI-7xY7bnv}#K#9}MW0e7*2xw%* zAA$EVS|?wW1>nRpIPc^LI0pD(+r)43Mjb(M{J*QNxJUWobJ9TTMqLmet`pEgVc^dB z>8GErcN^pYqR=$q-hpxf2xAQtfQGW;6+0hol;fuqU)TN*99j z9|Gsj2lWuj=idR;yPZv(GF6vebv61hf6p4XwGORWyE?Q#wkj%fo5Y>Os#VWxs{wo} z*`vlo2ef^wZ_xpxD`?TG^*REL?oXl=Cm}13fn}H|OU(YOC z9-PZ=+qT{5ta7|7v~JxRjr0I#+T^L~YVX!}zWW3B*PX7LP1?M9gSKtn!}(+n;< z45Sw?d`exNEn$&{D=ye~r4mULW(Hkogcv-zjI8S5$e;&|Lr*n0@>5iRzfIH*!d`hi z;5v%I5FiTML{`;>0hQ}2kAYr(VW`8T>mfULKv!IOsisev5x`kg>lw<>E2D^8W$0B$ zFrU2qEHyXo*B!t7g%&^gn6izU@OhkVCWa+uXM300n%dNAh610lgkD?qx8 z*wG^87Zip7K;V6z$r@tV{9jpKrpk&kkKA|a=eOP(Y-$n)3OovfM*D=80iab`U1ed` z7~<+PSd7w&${!2+;~_v7MBHeEcpnfzh_zDGCGi7VsB3@$;06s)EP#)Pcn$y&2efPK zONWgRAP2i8Y@h&Q#Ct3vPuLp)@R*)sY7XE9sNo&}4viD<1727um>w5E9E$VI@pt7v zy)HSY9sp~sxC8XP{`Id9(}wtz;#&aPe(-}I=)U{z3qX<;dDIp6Al?T!r4w+5JWvMC zc_s$604L(1?g3zc)m^)Ght-PBP0gX*K&)ED&acRWkOB*J+8Z%55II)qLYW;%Bb3lT zalDGA5j&@_Wsxtl`7Xest*t}sfkz&Am4U#hk;61?%0$hcG1c&+PkF{5XymdDSf)*# zt}{+QLnDTd44Rwa!$zp2xJ08zjnSE>pBda=;P#`Yrdm~Q%X}*qN6eZ%)A5c_lYttm zTG8@&xDwKvFn)qM-RAc0*`uA?x0$Cj8K`YCQ0%}rNLYpFOe(9tS2^$>o)H&DDGNX0Q%JO%}X8RY%>G$wghXx(ci`^vvmo^tR52v!? ziachGXDCB|7`?!~GW4nGC(-GV zG)A#6Ol5_m1AwF;AIFtBD#*(=1M4@V=3ZpPO^Du!Y<~b4fT?tYf-WH3gHby`{GY^IxPP;$Ytq1Rz`zoI43lnZZqi;0PJ|*W4A-n( zs}Z9{h8HPwtkod=E--L3AutHkAyY=Y&u>5mf`NF64*(JaC4d%S0D%{OtK%V_i@ys1 znQ1p{m127~0^p2kL((`F0kY7J;mZjDjD1x4v>f(LXqwPKv9j)xOD+k{FewW}Ipg~I zef1QVD}IjOyw4Udcn${`;gSFV2jHP>)EEA+$R9w26@_sflLwAynvSNp2B}Zd<5_^n zg0s(ZT}S&jGF6G&g-H`9s-m(Y09;mj6}V0a_#rAQD-8fLXgvLW(6SthVn7++4K6_( z*Vysnm2_IT2f=*+Q|AN#R9e5Rt3l38;1~14j)SKV<=CF|9;~)Q+KKAjy(g!~9XFBcF`C=QR)hnM@ulWa# zJ97+nit<^BSFG`4>Rk^-GUjp|^!20zc7{=4Wh;A5;#)2X48GXJobmxg2Mi+77Q4+k z4t!WqM}SlUO(O6b13=ouk(vx`=J@+xhBEZ~DC(&hdQ}n3#R$NoSLwAEpRG5%;VLu9 zvs7M^6ByD!*dik3u^~I6n^_ebkmN;z8UX@RWv?m5&KOM3WQE+Q;VL$$DK7HJ+9N~+ zJ^~f32?9BOHx`yL4G#9JvZ^u&E>^|m<}l^w;1MSPhS6in%(%UyLv8JC{*B{Kg((WA zNf`Mfn3#UVJ_!ItoM@b)k!_X=4bA`^eZ47lc0^lsfEV%uK;k-Jg(*Gkpr{ADZZj219mT*DqWnXmHVE533u8bI*U^kJO-tI7 zCQk~Q8D1mrd|T3?{?RT0Gy`ZF`hEZ&+Bnn1ypOG*MSZxJPR2pz$Wd8Bf53*v@8&w~ z3cru0hd9U!wuhyq<<3J^ScpL$jNOCHU`uP89(nBXuwVoC8TZ_OpLXoouIlo%=XOM8>a;A_&izx|!?O?!J24hG|{ANnDSF!Bs~PCa#|KL7bo z>Bc{Mhk@N|Ra4E1ygon1GWP*x5;uHZK*O`kSiH@xLqz4a~E z>6~*;Gnmg013A7$@c+a>&4U`gf8;TqK~c0#Qpiw-GIW9{1D;;3WMI)hkTj4e)Qp)^ zG-70pnP|S5S4w^T2{WD^3(B0p$e7;iHz2|70D>f9Qg|rO*V}G*tN!YvAJJz&`$?U9 z_US4v#Ac>H2o;0?M&E#nShEoes+b~e6VJzSqnZZ*`B^h*01-+eoZaCsDrJU0ClkFOY< zcmmpvEUh-*$0)Yn;BD8A?E%1Cf9-WT|Ge`o6g=8BlSWX&m|awLc6SD?Q z0Z}>~E3G&jeV-{aY^?w>pZnbBg60dL#y!3V(~$Sye}AYWu7y>RuG6^uVcM5Jj{NpH z`67ROOEwASH+4H|Zi+XAqG=;+{f=2apD34Eh^JtOnC?Q9W<_?bOW%9~#i3oIG0n{@P*0*)MIO++;mvPWc0r*gOqr@TyyY!A zdEP17wP&|Zo_~tYz2JODnx(VPJ6G3Scdbg@UkV)mRj<2RweDAACQQ=1-}io9aPcK! z1?;q$vvld@S82gH=W6(`z5e2>x{|#M-Uy+}qc~6Ei^hzjWF#ab*$7?WN__2@p ze+vTE{QJ0h%SP?rw_lBiTP)z(%;3$;26DnyDV>Qfb#!(3Ii@HgX2cMgX)OF4U3={{ znmlogYOBjFptfq$=1pp9O;~Ujhv^=sP%0~{0^lFXFkR4X!PeT^toF`UwYOn= z#VWtPFxp2;!-^;J9yBNhkZ2{)674&1PX5AV=qcYlIjyA(&ap&omeB z;}QWQa5Oh;rc3-s6QF^2v1MWf7@&l2PrRfH5CmvYCgKN#0Yu1S47888ZelvRPMKz; ztW3*ccZEYv021kvC-P4{;x++$CGthualOO_$t-vXz|(D}&UHN6z+lUkZ2=rPHMO-G ztQ&B@7H`{UEzmjVo)f;yqD2dJ&RJ(^{n~ZvYVXiI+$I=UvC%M#2U=U2w14lOu;^gW z=>}ENQDp$mL;O#`2`&DNF1q+qgu(pp+U1xK1J&{ZPst@ zzDLVfKCh+EJgeo;uhPOLOV!Y@Pn%qZXO^$f;-{Bt*@~4~w)|PGSh-5iuU?~d>o;oY zvgLaCu_v_X>1A5H^jWQ1y-sU3Y}Dcmm%>ArWbLY-;c~biN&9~@Bx8ABd zeshQJd*Hrc)40L$%$<9R+u=qv9d2|#Vrq>kw(Kxnc)>*%s;Arhp|D7gKlzk)?cQTB z=|Pjr4^X6j<0=@DZjv78fm%R339rX8HzkZWet=VdRg;o__2HcDc%+X+n z={$ojY^7d%$witoYp&x#a~jokhBEX@=-9)(4E@Q|AZ&U7)6D`4#(AnA#uDlh^OXV> z6qc*7sLWsn%}=4h4R$WDu<&9arZX5}@7}c|Xmnay8iVaqX(_&yBG1}rJkUHLI1y6E zq9HhDfe;IIrpd5D$qV2FPt2^2JFx$tfl0HOVU8O1?$^3?8?<%HjsS|L8PtS**k(-E zoVo1vHd!+*_~*=;skyUf1xK4Rr%w%<4+J_Zh!Cbs86k`i8UT|)Y`!~o?N+V@Ci!66 zFxXExH}ed*uD<$8gQYS(v-D|g*}OTd8giDx%Ax$if-r3ea6of4XYO2GcIlTNA@+I58%py=aPPB z;i5(Q?;rek(6r&y6W|)A^>T)6(A){wBhPX?Xp7L)#AT1aXVjmf_8-U3FWw=9>md*B zPl;}28-*~H2w)=b{k_-%VSJ7^eC)oQH^M-cx_i+X=cp^?K3r7cc3r4sZ?@a}pt^bn z)#3q8GL>g0I2PWvnh)1~v!Gn1}E90_r)#ZMfVjHGB_ecG1 z;(YLzp$wfU%7CX=DFyGl22O_?yUdWfG;7wWI_*J(IX&g|DnU$vwOdR(?Km={=8N^yUGA+qn=;2Dy(iJy|PjVA4d$Ycm8Ws zUt43qQ5CKsG_k$t?C8*5kN($hSg)q0=Agl033tc6Ki*(?;F(@(`kfw8j$=QFY)}*M*{Uuy#OSsk9c(- zWd;CIHvnd?k>41+kv3%q;KX&59>_0KL(%jP+RDOUi+9-Hm^9G{a=ojoGqn8@*B#F@ zRmc004P7*y$J8CGwy>pe5CxrG7l|8-;at_qr_`WaXBIfl*%{(|)A}OiU-{`n^1ZuRNvvOu}HR*ZJvo zUI#-w1L`)yVn0c|?E|XN_EPn|prmZ3)$<~-Wq?Hae8KP1kC?vWxqjD8QX4n!&`*AR zo7?TEP>gY(h|1&Uy#}xW$rdSJITEJ5j47(i+;wG^}<3-P8C_^WPGT`Y|N~poRJG%AlZ+%BM{o6P7jjw%24Go7? zQBkK6qsM5P1@Gynoe@;s<3^2FVNQYahJ;^Ojg|wm9t?ZSEq`vUHg4XhRjc&~8(N4^6=5VW>+ z`!R9I4`no%{HGJCS-%sp9^WmS%!)rCxRu~grA?YEG0 zlEp=ZAs)abLK~vqVgPwO6bp1f6xuuNb@1cFa(njoLyLm&CtkEH*!Qq69fsNf2LuJr z1Aq|RgqH$72ygBa7wH0khzD=xXrOMo>81cmNRvFp&yz+RH=u+mP&9iy7snSr!;!M^ zeyoY4J~)zQoHw3}kMVg-0c;F~n9`rYLpho_%8ZwErqW{YPC5W(fHZkPJH@vlPvj%m zM!6kC+j>Nx1tb%%GUa<>yT_Cen$cXhm%%~jd60z;1;J%NHuiD`8^py_aKdSKcK4_! z)$8_~bi3*cpbL8_1_yYi&!R~<&H;!1a0F1f?r49G3)Au}ANTFvrCi_3alh+HbUWU5 z=gW=F1A?~pme5wwKy^F4FfE$vQ86%66aWbK3a@1}<6Dd#;`J$mS&C-lgpPiXVz&04nY&p8I$T<5(wju>DO;&ce{wckbQ&rk-=W6%nbuQ*VT#LGG5jEQ{E z=v?z|3$R6>`#JgvQO9WjP{L-@04K4yAc%jb%Lctnh4re$0|MSHF;Jro_ZTeV%oPW* z?E&b6dE6&R&jEoCKmb6|_5ctJ1_llM`;#dRBokV1Abj{hLuhMVo$YFFX)-wL_VWWe z+|($X(;DU(nEJa@r*6-Y-iC7n@qV{)K#BV=+Y-@#ImbXdS`32r%Fj|7SVPJH&VONH zM7U4Ax&F`)x&yI_jwy5U9n}*=T@0$EgpGMC!!#!Kh3y!#Yi+KdmiDf&={E~Mm?G!9 zI|V<>kvgH>vQUE6b`El2P~`jm-@qxMl*_?>1D&p)p57h&{_dzqjr;%OB?V{ z+#NU#@)4LyhB9=5C~ox`dX*6)Tnx2mW6xqnnlf|DE65MQoXiZ4(QTKR9F8fY%{LJq zu~0+sFuIPXGNQl;-~YK;!4whTeY|f$g>ZtYA5AQ2CN2bWEVzjaB0c{M?=m9BM^1fh z9bzpo^n8|aTR7DZt2cPTEkaS)+J)6_MJ45`s~>JAUK0U5$HmGV{8AMgK(QK+Re7A_ zuLn(9aY=EgmmKFMX(3VKICk#Ztw$euT>ts4TlB*p{YXE(_2*jh^fEJZXAEJNl@rp- z2Ds(s2W`imy}O+GXsRd%1=QKgrBG+&$MqL$XZTIPEx-pp@PT00!+vsThS0nK9?${- zpkl!A`-u3+C*KM}bM*6{|2!<|$8HG&?2mr*qhZ>Rm1X25{-&e{@vSjhXL}m*!gemK zwBuQ{JlIaX;SFyHQ;C2v0Nf{kw{P9-xDI;2)2x1{#h!4?mNm7sslsU{J;>-Wc)4>LjRen8*qg|9->*2%NzGbVyeW~h4jPyHsVX%OP zwlk3e4r#!B4TQr=J=(LobYS*J2fj4E&~PlvIVF+-Qw~#m264`AnC8pNW20^I!4#a^ zr=J~g`8fihs06oI10~1fa*UriMWq#0Vc|(u7TY&rix;`s0C3Y@sIy}R=Y?bXGn^A* zO23ag6ZSAdZ9ID=+#ALBpApJH^X`To8PD#-u`w~ z8Cc*vvecvH>blxs9}tN7gRsjun&K5=2;(En zaVU*Ay`hU?zq><+8iOb6^71lGo-)Zod8YR6+Z$|Mo_zB0;2vRrQ;YUDwrKDEM&0|s zgZlX|Zr8()JQ^7H@Va_U96L_4rcbjVON2eS5~+lRRIVy2tCd$^!3B7-(7}d+W$XQx z78jg%kxrUBPnG30Dk-hD#PL`@g^Pm&78sEbi9pAoLC01#I-fA|kn=x)YXg3$Ki;K& z03d*t7-#?_0X0AV@sGo@e1H;KA*S2N2Wj(-I8O&U$0D93J)VnQAiVdz@716G`JV^v z5JvFif&2i1Sk)E@r6_NFW6l9^IHrs@=*3~@$dMyMe&cTmc*15Y-nf`++z$r*FF`yL zAAeVPIh6j+OTEt(--+&rUqkf>FbU_;l-`lH>f^4RyF)ue1BwQa?^RMPJu3uu+p$>tpSxNva$%R#n5sslkmC@8rS!lx z1U={J01Lr;)`A7$UOL5s{b&Ie3#IsaQE^e&O@8;@y;{FvgId~Jv~$-^ZQiy;Yu2t) z$_%%=C!t3keO!P4cVEzFKKK9h&tLkgmOZ!1!XsA)4>f7YlBad>;Gtm0wRiU}ZNeu~ zPtw4t&;o6k#!Z+QjA#)G*iZ~w2w<3AVc~T88E0B(m1=A6 zWR}nE(};#P3LtX(L<`kxFcpPdrC1f`(8si&+w=gMEg$>QECIIsJ@M(5=Q#MTIEsxz zpW8HpA%J3*K?*DF+((02E2{-4UA)o#8HzQ&(KAB>G4eSSSB5flA}9l%UWEiRK?1-~U^De z@m+KKFMgq?pME+_tFbi-Uc6bJ&uBW<7IDNU5YM3Ti1$GwFonajyu-*4#)W;((G+_l zhhVIM!I^o(ToL3j%E0{0;D?PEqcawqtpW?_g-ezMJFVfPN9YQJy#)q-XP$em#!Z}L zK$xrfr!UZ@S6rpnzwxb_ebRhQojF@`PMNRjx_XTsJKi8{e^_cgZRSi}`}#LIj%(Fz z<{qXyn6m5Z4|Yrd%!;x~)z{ZqFz(l~rAyW6GAq|3S?9I5yfoO3VI##h8pDRwYyPS8 zHG2*ltoHsE7&%_zd5CwYzkBb!SHJK#L1TjvH}*pSH4Lw*BkGPRJU|HPqTK<&ut_e@ z@eC9Tm7@bdD6W455_VKy``Xv^#V>wQH{X1-zV)qd9kGGp+s64KjaVR(2KG_d`k<}i z2yo;3vX32Q;9Z_&S`Rl0#6eu7d32r#aXmosH7FLq=^_2S^k*T?Nhc2J&%AK$#r4Al zt}`vf3Ny~RR$Nk~^Dj70?|k>$_13q(Nq_yRPwG>D^QoY9V{4Rs`}d3e=dh9c+s}SZ zfB%Jl)PMcxXL@eU25s53U;A6Tw7;cW2RnMy)YYfP_M}=9gKA3*s3V!B?txr2_w?&v zdqRy}z1q{%u04&dTEBgdR;=D+5VcYdJ^qyb-~anZeee6<3*OwB65G3HucB>@a@}8Y zonP0f0aUIB2U%{1{#ZU5(EHke%QRq+Md)*z?+0k{4g3tNU2_Z$MW z1zNjqo!;5;f`{s__W`W3HGcerz}hgl=17{jBH&$s8gX`dWZh;UhX?PTWI_`sP1My_ zU!#-e&(pklr&_3$Y5&1PTEB6tFiARqZ>T-{bg1#LPCsLT(?46|4X&oooMpx??b^Lh zU4C%){sY>vt3k`3TV+ty5SDY}C=)Nx!F7Ve_19l(P|~62mOrbS%3(VHyafhJIqK+X z*TQF(s?~z7t2?RO>_N?*Iaw=~FIQ7zQ^-33q49EvdQ2A*03w7C(_UzCILBcooA5F< z#xwEC#`syhiW3J~Fbuf4_ktjKF~yo60Kj$ET^GO%8|^}9i2zr`fo26;D6~t&6XH7W#O>&4;`*`ZSl5sB{_mss9pXdKVp|082z%MN z4c6AxYR1eNTD5wO2MXB!Wx3Ah=zg?Oih|J&Fy-U zrun^DvuA3Q2L}ug>V^-~q$!ib2En7pjMD@^Gm))^#*ER_=`&R0LBVs+Kd%I<^IV@n zJ2n(3hf!4Mk4!^TV%jxj58sbJ&O>k;8KB=A5b>P*9hQ%Ud4tMx+a6IjOs~1{eDx*= z^w`4XI$$v0$F#Sf!6=<=k}kjaQuTTuvSiWX5MNKC({XtqVNe!-?;~mZNMDc7!*NJk zl>Q7y0>tn57zY3p<>Ncjc1uc%Lm7LzSsj}le2-0=I>XQA@@9BHLm7G{bo@i%4E@Pb z%8V9Db|>`A(r3)<7HN;c3!_U`167ojYsB#3!SN;bG-wex5}2k4jwv0`FmMfjLmUy- z*ynJasT;H}(58(W1EV~ef@<9ikmq20F>?z7G6=~*GvHL%Dq{79&Dy?uuR5IPE(`pU zib@R|F-nCv$g}{<$uCkzS5kZS9?(LJ zYMkTgHQ;K}hE3ZH>^24u$_0fb76Z(D04)0rEV{LC-yRFN$$H(jSE{12ICv&!sraF$ zMm4np=6ZzZ>9)4WuA^b^z9Y;$763u09VhV~U?c`S)En2(^Z`b2CxNXK{)_-iXru7+ zgsT9wQ892uv%`CUw|M&QXd-Uv9pTUW3k-5GKIeTv6PhbLcjNa7J1eG0NHZ=EN7}*j z7T##AFa~Fek8=Q2Jbg!5$V)tpOP!EU=veLIcTu|FjKeFZ7stUb@|!Z4<`+Vp-z^4y zY%)D&%t&=~wrkq7XbT*)AM`1V!fUFk!(xW9V@GSmh#LRKaJ)dp1-UMJuBt05ROUWZ zQCgzf%5qg(*q7z!sk*Glp+J=-c`7c#-Y`3CZBkWPqEf$KURtQBQ^u>l#(j%Tg55@O z8-O;npKpT80p`fuZaHST-q9ds_Z!#`_By1L*~ja&R2ls-(dan|lM$330zT zI>f|OVBF@@kMT3<@5JAoI5~fDJ2GGK?`S2k>*Iv9kql)hqAWMS!R9ejhJH%bd$tUn zW$6DJ#ozH*-|)A-v6(B3wym{I&s)%~Sh-UB8uqKTtu1&=#`#^aXE4J_T2LhME^Nk! z;6o6?tRkR;=?_x`(X%i#p9bNIuna=hqjj#Am6r?0nol&ghWDV!z3u)#OXr?yI!!;m{fGL+UH7Q7D^HzmDUBUjtJCLA(-V(88a9MQBST$L zKhzt?x`K#P7%FybD&0%fNMBsj9AU+sk!b zH@Q56y7@o8uIsOTlk>qqE-ir!W#|v240!qzqL&Mv`jZJg@#rIF`b)HK!-illfrsMe z))otW3lj?h{4n9`2_w`XC>h0>X~j$p<{Lb5dn9UZW=0<|MwnR4;LPHVFl^ZJvpvxg zdg$c*XvNH#3(hi#DF{=CZ2rrNo-lRh=lcS)bR3Q#H!oYoC1!vYD#;$Esgfa`oUG`1 zwy)^#&+&*n(s&`%G3LgO0?k3b!2m|DLD&ad7CbMr-&aC|IoV3Myx2NXoEUfWp0XoQHUt=T9e;D4i*3C~3ji4lN!kJRz;ysq zT+aA-G!fs?^it2J$MyT-g}4{j1^L2r_zU2vRQUUvZt!&JWtZ!Y-`u60yZ5;ad2W-G zIblGaWANm5Jz(IIU*a+sYFK@}KKTCk>8?9|sjIKLRO81CSE{o~hxYH$(xuN@n0Fa4 zxvjaIBn-@Zdy{6ut`h^MJXWKUpDedw+|RP2(?64ay_zmX<%Ee1`l#@HaTMS z1QnE)={I-XtFdmE&8;0;;xu{zV8M2e-Xo0}R-=#H_;yX6FwuRBEl~_s+}0x}mXVf+ zdI*N!Ij*}r-Yal69Qu9T-@uQnxClq6d8&3E4jydQM?d>jt@S`FnQSvSZ1m_QsWSJk zPkrLAJ$QIb3!i-4#?T~j zq@4bAfiDIA`-&_ zE*0k|mEGU&@n*N#iy4!dthu+c3yYOo%!n0D2O6Fn0~9leqFh z!}9w`i&#}zYYvnatDnF}N*?pZpCRA21;C9TaJrZ)=XZI=B>0=?610ZmlDj3Ytoau8^URn|C`i6}h zMV8do+~j_jA3W;!8sxL5W1ay&#U*6Z_}y=@X)MU@Rbg&QMY)3>6u2#T@R4PZG0?{< zIuAhl{q2G`?nqNQ;J%ki;Uu@y5wTrSrw1Wjt`9WI)Qe$Jcc=POeJaW+_MoA{`DP20 zyZ}HCHysWj1~2^h0VU1J<7#yAg{N!s_+hHADOE*TLFjY+28Xm27HKeTPEEi8_!`)l znH@Q=?hDRST~&$3jjq!8QKcGDkJDSq=_eTsI*veomj2!Ayk+y9%=?< z#>A-EEYXZB-|y7vlsPkW=KR?jH>y%KmHENQlvo(m=6hrr3tSlF(UeLgg8f8q(xY)k zoPL+7HoO+IPZ%p`a3Y8RHiSYH7&ti}IHyeXY0~&fy7JO1^qzOVOQ)W4k_wD|ii+8% zE@G6ViFa~FxU4?vP4=rGw?JjZWfpK2I%eFd-X1?^;bDQ24U=~K*+y*xeHJn~1uC}C z8a-yB&O7H4rx{J96qMv^?fQ-C?CA5T+j;ftXtJhEo~(_VHizj$gb+Z2vJ+ko#e)B6 z;#xW=h=Bn|Kuk=47FOS}qK(aisfYOa_??*IcUc{YjnZV7fmL&O1*Z(yI^p~2cYzcF z+NShtM}xKaJiZ^t#re@8reocU!_jo~{q(%W;dfC`)-Yu?^caWe9ruV-A{aqqd^~mP zWPSYOf2|LHP zDk%-$i@sB21kq;@R9{yUrvK1|r!Gy?&lPl_U1PEO8&s3sdd1KiU+) zB{wJEU8_f@o;*!|^T`kEJ@0z6uDt9bm6sI-;KQ^feIEA+?tZQZG;jW&_Thhg1Ike7 za*i5NtB-%=&-9TGy-TmX;560M7_^10SfaKc?PB1z1)uV1xBJj#Hl zS1B>R8yrZQiDsL5b}PRyTV<6+VQ;upUqZ>=E;TjnQ$xcJ?cTG+jLf4`Gy8!-c9lw~ zKP#o|+&*3S+H>@ozh$ZR$92}ZXDTNz^4Y_55z{^x(Z;L5V1!3&U=T>`#|4w-Uf`Eu z)X#IyrLPZK;N<7$IIj^9;d+0+L61kwOu?+12SX_!?7o#Ra7*De#Cszf2|h!h8GbL|b&l~m--CP{UH36X^^S3G zmliKx9P09IZ+lxatMMcG71s8Qm zJv{Nm<9gtMhxGNE|3hE<#@F@DZ+%O9_ceqm(>AvOo&!wgdEii0T@%_Uc4_%}`9bRj zQO_Y5Y((eSehpn43QSq!i;b115dA7PxTk-$1Q#ORVYMvV3l$r%InzhlDu}^nO1M8{ z6&kD*mS{)A0e$y}Khw>(d|%)E&i8fmx4)~evqAWOd|O|;`P;hr8@K4|U;j@Zeg5@t z>#JY=58ZUr&04r{sd~C;L%B-!L>mLsSLugL@drngPOsN__L)OOOv|A$V-LyNnmSFG zFi|sS%`w;;7M_o{d7@u~o`P+ZL--95=aAz}UZ@{7(s!~|US1hY2H+pm6?vg8zua$} zp$z>YlmSn#V#4lWV6aaKkA#_$U~et6>fkjPM|kb+&1PD@$HttfRxtd`84RQIK?A8& zs#|r#s#RK6q63Ex1O^&5Acb|pz#X9Ycq|Iq5{CS6$nX#M3Fx)V?0pqxh=V?#Sb(s{ z7TSat;$!*z+BNHf!^I67Habt(+Z3q3*UZ<9)?@By5Rbs}B~}P=FWJLyk7z9j#8hOj zN6}6um9$VuIRAaw>gwoGmhWXNPxst)uO5BqQB5-AKkLjhb7 z7>u`D+gjbu*{>4M;yelXh=2sPg1!A&>Kn{e${A~H?bOoc&uQ6nEA{O2Yqa9|wOa0g zL)qmkR_nRv)@j8GmuK-ZhiCNcv%bE3l^XUPHm|_-09s+DF{9~pY^BhAv9KhxFOm*D z*{4w@I{yZe9<1aUM6ulqm!r*m(Np_Z$a8pu6H|Ec2(jpc0SHs*gMKH~JLr1ualel0 zC=9rMi-;qR=f&}5C_}H5GT`Y|OhL7sofm8<3X02AT3)TX`cVc>9-*2s78Vux0I8_XPwRZ&sv=K(p9O$;Hnd4Z81Exa+#h64xnx|uMe zRQxKz471EgbDbzt9c;SV*VpSjMPAK`FIs^&s5|cXwH|!nVGEJ&5DzvK+1c3FVAyNq zWbmh~elz|~GvI6$7GdB^8U{6t>a%lIn46~}heDT|eZI1Ny{@V%OcT~rl<7=^i1W`o zSM%qerjGW`P?k4bf1R$m`pTevDl~Iv>MxZ{7;IFBm3`z9q7H%({2!(`ee9SJ;_){) z7EzDfL$kzIH|(2->jA(NM{KC@`^2~W-=??>>BpBUSA6g2a6A;A8fvdhAz4I+dSn&o zX{X|N)a|CISmg#rZ++X_!gOafD>@CJok{>hNrMgKda1zb|>c757)?86l0``bGbVV6#whLeyH1iafg;0 z)Uh(R(1RB8Ng;SQtdZ^_WBkj$6{^R<5MR^5B=<7#MV(YSHb zb^00S>D+TK*8F*A>D;p~(%6v`Rb5uC{9No4Sj}dp>(Og=R-T1Ijut-kjK1;pZ|kOi z`=%azXpy?Q4K`|PRg>oD38T?iXc5v0#y)fsggYz~%kjYhj6GQ*ZScYBBQxrro~{5y zn6e-sM3@%Do(ateyQ-I!Rv64+)8S~cF?z>?`k+VujwhEDe+e_!9$y;>K6C(C=KTS% z<>z6?WpHI6gioE)l6=jaF-dQG>l^f@H@+_TygIo55LqRct^=HBUZ?Tn##o3JglQd| zKyKNzIoLk|m=HPu4$6I`tp5WP1m+N6BM$)1mr6gr9!-4=hShces9SEiMc??wH}u`_ ze)q_9UThTpzfEyFI=Vf*QaZYZs1E{dleSFz!+ZLyIkP>$aBKDT_KwalWp>Va=egbG zN2}=!O0eMxRqH&KmXrqYRL17GEW%(hh5-TtfZQ-}z&^;JBT6=EU_*z5+cm&~{?yZx z2<}CS4cfxAnLjb_GM(ql1g$H;Dyo(!?-;Kaxozip0Ff&O1!bByXNFFjH&>_6JIUc> zEjWF?7MwB9pztKknLAS_oibZzpR+*cU2wKeUvR3afj8{aDqKG(kat?%d|gQusTSr*(akax6C!9geac3+HF z07h;U97Fg9#51U^TX*R3Cl_hQ&V~?L+uEZv4AOWefHqTT7rY+ofg?AE&NGyu6F?bP z1%E>Ha$FT4SUcNV^lvwPQQNm|R!dW(0ZFOyatb`k%`r19Q$#|Oc@OiE8O5)5zk`zaZVTkGE!%=Qbx{9UnG*fTG2}k5uIKVjcW01 zjdREQA9yHYoF2(C1s7EHB_+X66QYHw4}2HRn>Q~wV+2q^l!x~iRp%Atm~kV#`Ydp& zR8>_O1P#+GjNZct+;PFU^K%PSR8SIxQhr{v7afM>!-m&u%9KeOJ9d;tjUH~Hn5R@n zLInmzEggr{aB#0CO`WRB>S6lc_kN;>9(*i-q|swW= z`Q3RZpR5P&yIaeic}9Qxx1ZM7F(Xx1U#-rLRxMk!NXr*LrH!jsDSN=6!_W81?biJW zpuzMV+8d0(>14FoEVsApoLv3o$3LOAo^Ev-NR1pjMjh>4y7%sfR90T8&D*wXf74;T z{XOs1V^2P%)$7&^_Zy6j*+F1*eX0KP&)%R3$YukI+0$d$N-AL&c{Fc5j}MO zJzDzoVhb1}C$zh{*e(L_Ts8-Q5d9C$6m{y0{1+%ICjx(9|MT8sE;v#VhS^n?D8}6;O;AW>s#L8C;#^~ry2UwpbU8W6QY*`o?y;x zZ5{f~E&rismp`p0GvA`ZGBY))yOUKm(X*^X!%qrM0Aof50aU^f8>qnO03D1LX;c`D zMt}zJ)N6*uIbaJ<;j0GC&4%dw+Oojx*kS~u=bZl<-SX}K)>8|g2`dJR zi}3(GP8CIkT4v!9`+0&WXIyW;kK%eh9(p;?k_RaLTgDXsemU{Qbo9INF-|Y0^td@s z|NXlt{n?j#=UCU{_tM{u&(rJTXz)Zk13XQhI$f7teuW-<_)%@#v`GbpMWMZ2bL}+- zQKu-;lML=Q3iAqe=Wl+azxvC+G^qKFmM>eXzx&(I8cdH=O>LEu2Kmn~dq&F^KA}}B zmT7?1r3L_oEzIkNb_y8Car1~;NLE<#4|wW#{yzNCk163iCJc1yMhthRvvuoFZdYM( znVMSLw0Y+az4N{A)#D2nY1P_wVX7=>blk?r7(9LA#y1%}ja63{iwD@tlIg=}Z%g*3 zLvzG}ft=!^;FXCc>tTrd7t{Al$r)U+d?L5ReWqIb_qOP-KJx{w-?qa8Cp2JvzMdae zyMFG|pVE%)TXpMCf1<`i`-4_DYoJFtxe>4dFahc)H09=V@C~>ZzR6G*8Tw4oH4~aB ze?8|7V|qN?1D=>JH^1<`-0XapJK|HNr6nHBWZr3id(19~o<#c@5zEg()1^ zS-2Ad-i^&7L;M5?<_Bm%tAiFPoq7y#*pCZu&S-8BOl1Z^S6*?YKJwuY>r#*UFS_7- z-_O#nT|0xeiA`@GdE^mo+_*t&Ehtv4TCEi;o-^=TsC(|YPq*LpOa1)TU+C^T@729` z-EU!bpB{bWaXoO~Lwe+)$MopKkB8rnKl+rGELo=I&#u&S&#iQPTf(NU8#is$_rCvq z{q$!)Q$=NkhFMtQL=^AMV<$}3sIe1+0WJo&Pdv3)jm<4exxQHDji>Um%IdH&EK`e= zoAOhxKV^yqT>3FS|6`z|^L4D>;V=ES`vFHNnMfR&5&{ta>Q}$k_rCYtUh-S_BU z|MeyvI@IVs;ntsxr*(SMkWG=}1;{hS%yb?a&M;j?fCYzc5@|x2xQ>2BZHB=JHiu|0 z(W>I5pXp&VV>pGyQ+cjI9&a#!pvy(zf)Htx02D?p0b~@oO?G!DwSD`p(AT^DJ{t)q z4Ak-r3|FjpUjP2JZ|HCS=5O^6|M*4y_kaCJn>TGUNMvCNJRnB}`9(pK%F02e!vKBQ zNddx`dMxrFgcYY8OG_(U4pto&tFp4*d9QLlOM(G8e1^UDqN!~((D)6*wLx^`T68@M z5s%4s0Bq(tJzw+pDJm%nQ=&x%elfvIn9h$l5ER)$Whg@@iZbBo)k7y*`hwFV<86=9@aclAFDQUfVh02xkDh0x9aBL@e2pt$Pb{jC=5B>R{>#PL}G=JWFHSFG{um0P=YQ?hUX81d_dGjWNs9j-o z9ovs=-n>Oyw{Fvp9Xqvq_nzn(Gy9Eew`uE^-P*o&w{~o6(4HOpbYS0MkC+eZ(Eb)R zAL`WML+#qRqd^-tY}3ffK|`eJ zBtG6LE-8&b2VI3U9HK#nVS&L!@YEj?9=gw|ujADnFo170rm)f6VBZ8VLc0sNz*R>W z#`wJ`xT^+Lu+zxOQ?lQ{Bd=JEZC!fsu_x7Vs7b};RqFS>K7*0F?t56@|G`hSZtWJe zv~+3D?gLu5=ox+G%U{)}|K{)AFP0d1q0t>wsu#N^gA<~sS%*Ot=TV*ugUbY|++dIT zVw$eIn{A!|bWEuOF400oE(oxjiUY>NvvyZIG41)LjH-XU?3VS+iyb zfJ9Cr{u2*aTo-@heJ?}*^OR{(uUZOvCbxh=jT<*gH@x+YI{$*Rb=Em&=+Y}*ql;d1 zu4c@fY-W$m5saGA9O`|KUW;-(^37LCX}<3h3LFYUC?a4yZ2`j62UBTin_%wKrcM7X z%@d4{(I?Eb(v0@JbI(zCSBHiTtJRZ_KN1YEaWl}=+@#jlmN2z|jRvcX@cqK{8-An^ zE`Tsr@U*nFtF^gHElr(jG(g&K(6npUA??|D&_HUhc5HVrXxg%Mr@`0GV0e1)P>Z(j z*sHDE_UORDW;5YjoqO&@7EamPxN)l*8(S?Xa@F3EQbl#GuDRywF#7N4>{N>ZV9NQ& zf#}H5WBj|t!UKbLN(Up)2?Fj<89cop=wE#N-=<^bD-OT?`^EPHm3cIZ{4+$}#OY7#55iIJiH!fj|Qm?53Qh0Hw$pT`$yihB9eo3@3`f!hrV04Lai1bdKFLimItbo*L&DyhzH zU+d{rqN`V3Z9VESqh*Q$EfNf$sR~Ah&?%>!{96D5-^6e?JbRxycdknFvNdDc1g&26 ztnRw!&XE2^7hI$k1EbFN*5Gt7VWHLUk+=DGV3d8mJ!aN8WcIlkzOtECD7Vna)qv9r zK6m;p;IfL9-Ctk<;1RkeN+*8^EmkuX=0`^A>|9S7*q#R4rd_-C zX!`U?I(hC4zh8DEc&2xF#$EZZ%x0W^RUzz^QzbHN6IVdnaI zR?FqN9rgK}XAk<@Cf$#^*?y%bY*?L>pA($T7J6VYKw8-as;sH^z$3CX3M=glc5>ae za&ia5fFwJ&Us-Gs(7ez(wV)9>SU3%Z! z-lECl#)QQo41U;DyT@RK=|6xG8YR4pyW5#uJB}YP$4XOx4&?*EB+M(&o?*aEJaHe3 zR>-~h+wwb`cU{KpEaGsSEK0#=Nzi!Xfj#MN<2c>h^9@izquaM_*WNu1Vf&}tA)p(A zgvYmIkKZ* zngCk~LNxj`a|*5tJj#Y?`*);rk9@_%BTJ6hK*ZB`F~Q)?8dY9Wrd&URkELx}H)-iJ ziw%@=4QjfzZ||PqC^KaM!-}Q?fDQg~V5Ny3l@%BGUZ(+`L7Iz{FyQJ9hOjOZ2JN|K z)|MQ7{W$T9Mz5*9q=gzjYAm=ch_bT#T?cGG(WyL-?%A}p#sH|f>99xL2ZE>bEDODc zJ$rTc-FG_v9!;J!RuyGs7H%$+g)M?)+tw|*|Gv9D5=MI!O;N(OBhX0M39%S{X-XIH z>ET#(yw4p?$9nG%4Sxu|+<1=l{O=1dMe)7#X9Li%kdK3#$`E0^ol;40Q7}ZNJ)>Ez zuBz5)r=Av8iQ@Z-m0m13!1Fbm{PO(^@QiQa&!USww}G(7qa$Y9A`Gc>jchOy2M}Zr z0tRrIfnm1qF6ndHT-4Su7^fWA zOyxNM`ttm{%>8G0U7d;x3)R`tuBO9{>S*t9zAJS3rLWZo{_LH)?wU(=&6StvuRi)0 zns>@ct^%~ZT?R$0n8Uk&Lj7!NOzZZ)EVq6BAhctV{S^R)sea#gzvdbtwr2`14TkDI za#er^3uATSbiT9lLKrlEahG-Mem<0rF9m3Y2lc7Q1FGuED%Dh02Ea!Dj=mvr^JK)Q zGL)ecNxz$eXXsCw40i%Mf}yvyx9OpW9@68FKWYGB#^`Iud5 zXt#meoH-|H@|4L2Nkw|}u_x8l*{Rx^YE7LqNmUi)W|(=ZDlb=URhcSE3pA{*N+aqj z9m+Ii!brzgtMTK9Y0UT$8a}p8!^YNX%%tI(ICZ2!&j>#=N>j#;(zJC zCXOEy;_2^Cn3?zb*c-G6W5$d&_hpZ~ZuKVHb#UKaACp1&gzaMtEYS#Hn}I){v(7r# z^-vtZ7J>xMjX-cQ(tpZ)A- z^yyFkwLbc>59yPi`lv>X9A;o{K;iGdXYXFsRAba_Py>LcZ5ViDv4+iUYM`$tfT29n zaO`-zFLOUC%5(qmk%3GOz$!N~mS(Vl`+|=47VX}-O~r2aS&nn>?p?lLpfO{|8ITmZ zZ`7)-wId96UThd00S~lA#EU%^&OBfDy4Pv!=+P=IDpaA<89RD}0n-(F(;Kf>WmSc` z!+v&snmT>5-u1q>>zdbHt{F2XIe$z?_NkGS7mt{MDPgP(vnx@Q|tZB2SYL?Hj;hH^bicXn3Q)irZiq1acG@Ul@6fN-an%7;Wi!Xkyg~)I% zTlTaP-5oml>%iUyb#<@{J-{&?48)+xf%%j`$B;m;GNOKt22Sy{qr-`yIG*@O`wT18 z1~9&k1_NkIMvWaE{5r9k6RpX(3FCbJtQJ4LNIQ3KHyA0^s@1F2+S;xp#^NQ#I^)dK zv~k16;9soL;GX6CS?*_t_BUwL`gI0Et)Z_J8@S}UujCnoyQ3%z@Amnu#4~6zFv?@v zIEQls2H$UKYcrUcrt-=PZP>g;S$T!3s;$??E!$LHRU>vJTH!Vc1SC*0z(b|`)P?7r zsbTfQlxJ{;5%)phK_1HPhMw~==ykYh+;oa7)`T6 z<~fX&hUm1C3RRSp=$}9L89nvH!(s(iM31g8pu6_EYgJKEt|kMy-CG+h z@QO5j)_CRQ59*u$d5fNSVwt*}(4a@g{T32gxdXcL@{6?knI$@WV862&fk;NwhJ<_qlY6-}yt{jfr=ES3JiP_wRd#`%&9`G5xOeIHup{JMcRe z+yE!0d$H>SIF*;N>1>`(JL3!k>+AHhpWUjRyLPF_ZMCnr&+SI)?&%Io@4Gq}SfDA9 zMvWYykN)L{bl07?tF7&@#*C`fTi@~q&7Cz4|Q(y(%dvRdHU0_U=BUPyF2%wQSwipm8O#VgtdF;zE7$V;|M3mCx$#JAb28 zPgj`o%+EtRi>m|k2j?xUwsZZHpKuLe6bP?tXq&Rcb}SgylSjT1`{%^~ESt=maO%m3 zjeY)T66}{p%V6;eAUK(FUpH^~x37FzZ-4VUT%wGV%nY3vf}>~XRYou^cup$OtyRxG zr%mhDsHOREU`}XRa4Hwu2C@8_%}ZGpO<+2Xl|>kZ<}oVvKrT1fbKv8p*YC3-?h6`% zFq(HDF4XA=rgW;Qs@BNiBUE2kuMxwBdxUD?(A27h3m5CDg$u*JYV+pJ4_iL~zWSZV zrh^ByZOaxVEO>_3*Q%zXSo7vi(Eesd)S0Kv(a9&z(40Aw zG=1hM&7Lzx)258jm=RT)Gj)>2j~)>;0*(9ksqx@mwY45nNl~6s$!9`~Ho9CED;5sH*xcnA0ALPS=!I2E-ND#C2>Jk)sCzU0 zA&nEVJPMIuKat^onod-@aU5}cFBQ`1Sl80&Soh*<@wj3t3i#+OQsqt{L8ulMhz1wu-p~Kp^VT+zxyhNL}?^IX6L40|o z`tpm^ZHUmDTdbz8UTxcZSQ~ci)2fX-wPELeZQR?aO%9v)9MabP2JMGB_2e_F_0Utx zb>HJpYw603+P<$@`wq2e_nv(!Ew55lO`W!E+ZljUqG0tWm!AOu+Bkzmz>dp; zd6jD~Ox;m)06P$5Pj{y_Z`u^>5remM10BrQ^K-LQTAZ%}gCXp?unQxgLy86$09u2j zL4ccwPC3r;(C!7;S^?m4bIeC_`^<~_436+og&UB_Z38R(K#bTy1*3NQB3W`cg3U6N zp%X+I&C{O{9k1r8a@VH7O%h%0diarhwS2`QHSFCLHts~@)X|<&XJ@Z@o;i*MJ4tpbmHvn@C}8auUN)pk{u zj#NjoUn|zE(bccJChS?(+|sHa|M(|5>|;k~m&S}47c>v^=NrJ~=Bh8*qdj|f>!C*; z(X-F4^yrwCMNBK?E7jYtqSB(US@60Q&je4>VVejG*BqupEJ(nV)XR~&alJ%S4#!74 z|4JwTxnmIdIUY&}8R>Ma_g^Y4=;-H(^QFp`elPvKqu)8!`$s2TJKy_}4mGyA?dOL< z$tABjPak;iTXp!*KKGZD3QJ2>SW*=Axz294=}z~RZuO=xyiSp$&<4V)JcBXpopRh~ zSpFY5qV#ouko%zhB{+O#^v>Ho>k_)+!x(&ztL zBFD?yq0Vx{hRS5kKvji4~eECaX(z|bXS4Q)cp%X?Ko2Ne^ zI$oQn!jFB#ycL6RY3j6bI&Iz@Gpj!B*tx@ip-tW0EX$8ZZK&jt?YIG82lE#MqHmfp z&6+Vq?|9oA&Co_@ofi@JMYXhW*?A>qU-JhMdIm?l%dms_Zs@(Nx0nv2wU zaKDC)9Hw3ScdMeN#sY#3fJ@Zi(KRdXh7GS%S$VbAZ`^EFKcF$gYgLptpqh#TjT%wy zGW42xvP}%>^hV;t0wBwy;j+RU73cU`qDwh0SgS|V{r&lB?@nl+8TgbbQ!Pj;)Y;Xg zRcqI%tEGpID;3jfbK- zbYG`=GfjBlPi@x0gGdZ6e`h2`c%aO4rfC)g6vM}Mu77j6>iV305 z)InQYn|AHqqgAWdXwkwY7FG-O*b`6az6b8thD{s8HZH+ApGWT~#4s%F=<1FbuZ13~ zczS!glt{EI>3|ljr=wl%2O8D1YmX9#o0Mv9mJ6&rrp?S}lYTdm>Mr(uJpJ^u^nnk2P#^r@ zhxN&i|Bc@Lj`wSeL3C4dhw}1ERN!au5!GNYzI5p`pEs81kIc=RdZ&}(Ck^$G~E zEm^AXe(%5Zi(malKmX+&`qgjl)X#3cP5R$vHST}zKPmG8oMDEvqU3x|Vhko6{QRJg*}ThBTpR3Daapkn3vykbOtT?=c!vNH z5mR?)s(p39=L4?)K{RoB>gh_V+kL*iwk~o_P+jdoNulG8G7!KqA8rT~L(y3T#G?FV zC_^WPGShZ{LiBQ`?Qq7Y!5%aCHZ?cz*Aq`ZqK6-OR1FOW)!NFm9i#Ak3zGgYU4VD( zumy=3FwDyQ*B~id*IaRtKK$qJ(*C_$)ZTJHn>X*!Pj6qM#^wmv1cF(cso@zMyq>-> z@M~&EYwEZO`rM~Jsr&B!l|f0C7OhyKZUeN#22@?`37xaxoG_9dGkTL}f*uvIjamLdF<&K+mr| zp!P(u4z+Y^`;MKu>Y8gb-lOoYM2~)U+b`7E*lf_qs>4zXi9QR*0`+Hi7)&MA&(;)! z2AT#=h}Hpv!aUanjvv@IW6%OP)!FLjdNgbHG}q}a9X!-v;fyUuq}>Qk5?wE7t*D!q zL$R=k=~(ZlYgmq^qu+n2XL;^eX&vkNV@*9C>$#Uoi|a3S4@AuH`;zy0?K{O-y6bq}*+&99Mcy4~s9?>px zv-4C^R^@i+c*`p_n3JcKYuBqQ$>65n?QbyHTj5SKMcG{kybDGEu;2@d&oXILy>9x4 zzx6<8WYFer-nvVF`}u#+!Gn#;%F9!`fo@o_=Db{U*~NO-n_sU{BgY6Y-77tqx%rzn zYj?wTUHsaMbi)mAS7q5S4+c8*vA_98J-up8(CiMlZ5QQ9r3Lx==wIBZ#~yk>&py2< z048iAiwb*n?%Aj5AO7i|wQJ{IZQ8U|3!h%5haP^+^_Xja~{6@ z<$uw8{T=hNUTkxjp$z?@l$o~s6QY+jZ3lxgQ$e$YaddloYXA`~&21J!IjXK1rg7sZ z20u%E=1c^%J`4_73Y-%dwNz17qL$VsEnB`+`w#9{dq?AIF9jeoP@wnKU^}k+3OhWmSy<+GYckUR9J9 zs;06?_4VZ%HM%aW%3)8fg5u(!3CJxhw_qz*NqL!>cfN}9&7AxC)zOtwd$;qFU!nHS zq?%jWHEGHem$^2m_*YwCum>0Ev*IW_r$|L5Wfp9M>h*mz5xF^qu0KZbtQf**+@o+8 z5CKYA3tVQH_k|Z;q)C$}Sa3$h@D1rjvV>V^?u}h zh=Ok%*K0VwC;)!q^Jsby%>$qfFcO0E%8_R{#w!CkBDBK2w7|Cvt}4Rug^+DQAHFl^ zq~U-8FE%@2ae;+2fem4!?L`V4IFAB`%wbW2>nJ!=e9>>VHZdSBC=BOJCB+UG!!twI z0J!1V@H>9bAos}i=$vZ>g>EO|9B=eY57TCff}ImiE=P?X<90PdXPmJ>b5EM9$DdfF z9Xt1E&)x$%e7GgJ(P(MyP;qI6y4_aTq!;i5sKIIL_|e1Ea`=E=bJ4k)H+#0GOqi%M z=Fd}gMOn~@7UpNEq$pP<23v*s*(&!t)s>aH^pcA;ZtPf9l~<_1Wtco^Vu*9Y`VC># zDh_KIgaCHX0MjR#)S?~IX82x4V|3GS6`QAuk|JGr&Kat$tqGde(vp&3ztz&(>VDtu z`p*qpzr6nX>-4raU#t4cQny2RL!WBvs@;Ca>2T8lm6m&;GHbRfDk{S+0{1@nq#6z! zaym{MFz5DyR_UzMPSdU(TeWx3?r@JkrubQma=_2CdH0hG7yWU;rbSlbD0DtV{(fILMN-WyyK$@yxY7w&gh2Gvl0tEZdSS$;u){Qlgl11V|$1 zoEnX8bT{zUs)Lp|zIVRQe%6ooBy8~Tbe}$9@7i^$)~>2u+ddEZILMOaRxwYn^DH;E zMeaoL?dt;#-e+WF7#Gf;$LUk2aG|yy?Ohzu2sBN+5SAp9UlgZlZaWTEWGxr)TU5D- za?7!bPnOB@kCsWx(_5(gt(GUHD$wXIGBSu4pM4rrKU(vuDxQ*N3LYHYg5C;6TDqf=ohAE;_~Xj1!2(${j|bm!6uEo-4w_m$OM;360bvTq6W1e^(0PE+cYnP2W+ zE#u;j9Yaxm3d)KyaR2@Hq98Yq9X5_Z^0H>39X_;|;G&B4)Qmo`FL)o);F2{Pv0&L6 zo3W?<*|+}y`F#owA3uRzukA%Q5r0xj8hMlqL!!#Hu6NL^Eo=|J@SgyP-a_?~6N6M< zn2oRg^CvNF>J;`9+Zv7`h)+UWTN_^9@iMx4y0Cch0?TXWX8qM$#+P!Rk^^+dtVe>nkE z3AVlTJVyM3$jwQ|q6Kp~YUW74tiVRTnHj09XDq_;ZuG?l(ctgJ!0;dvsJyZwF670f zVz7IF;H(?zg@qg)_u}Zm6S(&JcVJq@bc;p$!B2jS-aZBFN+~C@{hGa(iqVKh{eFy7 z=sTlhwO6hYS(2nYrGy>9?~PAFn2JJ{hXt(TNMHaR9WAyThL-UVu+ieEQWmn(=sLv+ ziDpV-7_|aP+3T|aj-x1HfJcBzz(T)kZ{UDH#w-a`fI2sxw3dR=eKc}+z*D@PtA2~# zQ&un!!IA=)EvJqY5U(Lr*tg{wBl7$Eq-zMvu zg7fXq;$Ixgf_Ok6I)(r{E=~*DCa@hrxZ-5F(WHbh0inl0oYmdvBfu38-{1)QJBi<& z2?;tbOO_O|@-p#pVoW<%d7E@V^iW$(X|o92xp<9eFR-Edi$0p|mOxOXSe&U-O4zm- z%NXC*)mS>GU^C4fOCPPihA$qe#nVO}bR23zpC&pm*tWo4S5 zf#HE+G+a231AAXV)!C!Ge~`~rvf45Bc{Z+o$IZwqtw1M1miXz#7hgi@l&O5~FdljI zaa1l|fk6VnSNHC>=UK8cl2ZUjo0*x^Dz%?KXw$MRQ;Kr%Z(n!-Wu+xnzgW^+NhWo% zZljr?T5d&_EcM%%-0Bv0BtWM3njqB`W5CDdN`}{yhL+ZD+)wcI>d`mAlV;tfBqrd2 zk9`Dnb?5QBU;Wy6TD+}$#Ks9YI|HyNFpA2Oi;L=Se8QsNb$;}izB>TidC!zCWsLo& zH7}K0EUK0k%C6t{x0l^l~wo4-JG+q2QG72) z$1`Tm$FynF@ci@7V2r|AKq)^j-4;#F&J^Gnhn9yK83|#)AHYCd82z!Mi1#ES+nb6^ z0-?CU5JLVC+{q~@pFbZhjqNyh<^t}$?;cE@UXGUbRy_2rZ(_g~B#@G_6nJtWX#zZr zYW+O6$%HxEYC@bvKtc*ZbkLGD@k(Jp`nk27MjVwzi~^Dgz9uX&qFIDsQc7DzLGJ=V zQZ`yAO=%5yE1u^7Cs|i?EWxe1B-twR0z(20y3VXq?nL`d$s6TX(WqW784p1fD{K}o zEq^0xpsZD@yTFuYV2$`jpd4NyS&h_xrZfqj;^UkVd?zC0C$UblM8sOZxd}#OrBM8s7M~V?5}kD~ z0dFmNBbT8-mCGeiL>>)ASeF123FiFl^OUr7^z{vx`%udSg~GBlIUrKB5&#fzR4})I zmr`fwJgIQ4*O=(h!qMzs0)n}7W?4JCyLzaU$KXxLM0#c(X+Mni_72`J4&~)#1}thW z)baV`@uXzD`;Oa@pPPbLcRhoTe)L|H7MF-+5FiL@ZD_#W-7n+hiT&i0QSt`gufQnM z({pgkt?x!cN*;RoekgA5i6@^#dR7*)a`N&0AN>?J-FBzV4*kh5enpCsH-w*rLDW(F zVt9x}>%?isr}$I3o2C*xDOJI=@^XaOMp--sUfF!7u)PA5%E~PessWP%we>T5lzbOv zpX(8l0dYu9N=IWuFFyUh9>T7J2YD82!+ukmg5*RO{^>KHxL5)%l%`3}kYrWmw%K1BSh0DFPjp`m_W z6~=e@PTzj(U2M-Jc$zG4PI-evC(GNeD0$vg3e;&cX2L@-Az>;7sQ3*j?$?#JTvR%r z0_x(;6ec$lWD}oFg;Ma@cG0;43ks6GSP-fVQ{X9SpJwhzK}I-o^ie@r24eoMeMw2F zEp?%L$SuV?n$Q(E>+bHKNKDv?mX=P-wk%6QZ|@KW`i3#s>qlSLAUfK6(NNcl^R-O` zWPP|$*Nj(Q-G`H>tKjGJHB-r(oNW1_6#t^t;T3NoL94%L`EO!(qHWGchaTF?>c)?D zPtE9)MMtqJildUXg(o0FO&7yav-&{sI?h^XS{lT~dk{QOp=upKS$gC`kuo8f2`WZe z-yoHI5;C%~P5DdVWoBlZt4%;gAVBw3J#=q@69dAmlY;mKl4LoNB}uawMSCsB5zA+X z**_LH#(T*{s>G18;%F^H(OgDI#RR3Kq#-Rm!@!m|CB@P_cnHh{&IE8oKV8F%jTZ!F zWR*%zO+{Kph5;GziX@P77Ab~DyrKTh%g@J*88c8?T8g~9Jj^G^Ei5b|jgrizSy)(r z{DOSs@VCsY42y-+jL@v?Y>SafC$Go_sni;(pWJnxBrSD9I`TO#f=R_$W#{A?P$jX9 zwrcyxM9!hvv*+US%df-@*I#d-BZXjd%chOE{<^Di%zAM)&%t&lB535y(=S5z$8r2sCIiObLgjA_7}xItNgA3exVoUI#Dr_RX5Yj(E)rQp65`#KF>*TuO?okU%Zn}R_6 z7{S6|2MUPQQrtq&Yms03>e5*y=En&TcWy==aeDlo&OU0yXJO&4c zaOa(OVa>YLxQqa1!-fr5xM&eNNt0#ER^ZahuE3I|%g7rkIA2q1U`~tn-g@gz*mBur zX0@C}I&a*tg+MNhE3dl70Hpd*Z7wJ*vDhkQ4Zr6-?}Be=$N;~VAQFJN{PN3i{P)g%_X4-unaru6Dk+tW6|r z>syNdFIlsi?@}gS-nomfkDH*406#d42mzr0p!iJfi&~KWdeiUG&pI!9u8s>-z5bhR zqyhhxQZCHoyK?H(X@a*Hdlw}iWWkh0`U>{lyg8NDeupxXWwQKZWfDBSh05Opo>Vlp zCBlkQ9xR7SJrEI{S_#aL3g z0&}L%BOr?)JEsuWTz3(N)jv?(R0=w28p8qoadcr@51KUPgMR z#mfj}&a9XPfiSgcfB;0(?UxhCBqgWVY_fEI&&w+^P*=>lOrJgjz1=-%YiqTB&mr*9 z48#=DC_OC$vu4e~;E<1fQit}gPP0s$rb0h)@)WA7&#_Kr$j>iC0|D@20;;PBELIX2 z$+~obpr);@-7IKx=g-H~^69MS6auCxSVG{XHdUXmCjCNYZAwi`w?vA&cD;(Bp<%On z6_pktBRd^us;aT;mEAab`ZQLrU2j3d<5Z57ypI4>Qc?;sd0qrzbP}vxdifRPM-LiX z+E8ELh;lt z+lvH~L#$U7+sO8`Hlwm~0a|(A{=RG2|aXfIu=pdix3PL}MkmHH+j(5SnnHWt<|R zAm6Kbm_2K*O^67Ngz)0dJ(kmoEwJ}OF^7^3YuB!^fr!ADSzbxc(SVNwF(u!1fRPO_ z_)bJEN*9;tyXCaQv6Sij=yw(8cg2}G=gE57*w|>PMs%92e{y+`YH~qb%p`c4EN^<5 zjCpzsmA@zE$z}*eMkz*QHIm>3s?Jo~T5`Yt{qHd{EZ3Qnyi%ejqM*=;qWC2VKq+wv zKYdowX9+S(q|G9wpx>m_1fZ_J{sz3fV<(=dZFEjxS>#H^x@DK)E1&--_4 zInHY=R!1BEEJlI~N6G%QtVAr^rjfiX0J39g<#_=aDG^z<6#tP(AUPTdQmK(s@~b{zBP&&8s}3ygM(^U^Xw$_=!C|3TJmBSCZkDd}!| zW^HX9o_k>%va@ngxnLmyQ?kYNXdqBuU(YrlqGI)#`)|hd*?e9yA2W_Kr_T~#c?mXK zct2SgL&!?cMps7{(n-gA-+MR8%ZlMmO2F?P{;kb~{L+{H#Q?^azw%XdwDP^s>i==$ zyiXGD|M-J=`l)AaExT1Kmtj$51zy|xB0luN_n2E;R+5pS02&E6_rCfP8fs4y*ig~O zDl>PynofYZ2`e{VgU;?g4qBWgOf-;r?X~@Q{OM=0dczhB@Vyl98D$&C#lK`gC$|wl zOfaK%gcLSmK;WV%Clz1*{Qa!c0@5ai;Isx``^GoX)Y{H>(}``;;=^H^Im&+Ey%qef zU~}=T^1&s06L81vw_?l2%TP#w*VQ?UFMRd8*nRY%70-^iF9LKuqF$rvb`r2 zz$P~ZX{+vX4+i}saI8YojM0GN`w|G`La3NF63pm>(gHs^^rDvXSi9PO&pJw=x_FpXiuI{jGe5gA--GvLj&Z2cn&5w zu;P0#FxYGF_;Y~E^o<6pmm)`l~fzo-yDCwSU@@HjfU0=96dJ7E+70+*4H;)~)b3<;oE zhy1*J-allE`3hh}i26+%k8JrWwDB(f)X%r0$Sz1 z;Q0cfx}Fy(5ZBzC{H|GYx~I{Qo9>&G?6nxQ=*V3~&s2b}u2+3DLrjJoJ=0vL0%Qbm z0>C;)LCLzm`clsxrV`amHf3Wb7BV-@@@0$hfe+kEC75Mv^bzY>?9Y+Ir}69GK8jwY zT;TVhc!+z&RHY=RA}}04h|d?rW8s1lJ8BwyY=Xd_GowqDl=V=Swcde#+bd^QOhiH6 zL4w9aq@|~_?y>0X?Bwt9HakuUHUlH0=3*87)E)(d3kV79iVmWS61$34qM7I`zEmHC z!`u@XxZO#79_bgWc(74|7R|sScp`rVd4C0cuV1qoS8Ultu#k`c_};%`Xs{pezUSST zUpd#TfWP_GAF+JtN?d-`<<=*1QN8%$4)%@uBaFGTr=qR(0!qtrQJ7yq+N5DD7(rJ@ z2U?qJF*w+XzW#P>+Poga1lwoM*6=+8ic88#Ptt*3G=V_?xw~(`(ihB}Q;B0|&SA(O zv^Hze-7)blYtQEWH}E9bGci;^X>K~c^}jxA$!0a6(7VltAcmc)_dp5CbPv%_^wM6I zS^;at3n?Xn(nu)JlV+=V+^Oj8?!!ku{Wa`8aoqZKEX4Y{}VXb*z@t9?^rRj*=(b9=ExeH5+qi zsE=2N@$GLtggb6~*CcqFEN@zo9+)g|!(t}Q^84b zQOpql1*lX4rf4O|B(x-4qno~~TrA`SI;6m*yut)#QK7eI&uge9IQ#EKiSsxD=I_e& z6Hic7Hl-M|W>;8@)auoj;Oci=Z80%&CKxDCJ$R*DYyxR=L545dA_OHNj&k|UEDBPT z(Rd{RE9D%LK!x%b={SXHbW?L=DAHcfiwasb&uQx$!dshYpf+kR8oX|eqZW;og63VR zu_u6&WV`^I4(T}SK0%-GghJ61UUzqL3sHIMn81lwqw5frJOP8+tW7P^5*?ulAfef0 zQX*2WF&vQ-aafRX9O;^n=plXGf^Kl}vy}7*!KYtC@9U2m~~9P(aMX=Sa!Bkw8$SHp^`%tDzL> z82d0pP!{GE7pE-Z1SABsVS*@avbqcn4;v^RApjT`{n(~+7i#d>lTYK@|Neb6wGikG z`mz7O5u7-A21kyaFqdvaV>8b4yhDc%!^gJE>ZLgbvVx>%WuvgP3?1FQIDGUd_U_q- z1BZ@saMEB|?IQ#siOHGh84Myg?nWrqi`c{rwDWv{rGDQqx_Wxi!$AvgjOi7#k&=;R zbe3gGw2WcL$hsuHlNHmL^rB6CLsnvM^E=yWxt+*U>Pe-Lh*AF#IFF44Fh1hv-ba8p zjImKaB7tFoU>|~hZo@--pNIJ@R)x)EW7EKQd9R2%Q1qBuj7I}R1B?M8>m zGFjfFGHH2w3zfge@+8;9I2E1NjQh!te~g;CS~KG-K0)ylikDHsIZgG|jID$?7c^~N z0>}iEMb00KN{#~hD}W62R_xH!Z3y6im6ESZOIe(B#(b#gz}ZJvjN zC->oGbuIb_$EiU2ke%bfYkT(K_^CPrHnOJ3@|2O4A?b=fS)MdCkH4t~V;tp<#e@kC z;}AclY28YmNCi(Y6H7rWun;c|Ad4956%*$qR}>I%EE7(CA^(U*_>RC;e2kxpMX3NT zcH60_jk4fqugwI#&e6vEDQ3!a2Z<)oDp!(#oX9AbmQCBIaE}IpYlfEs>lMQ!i<&HW z%HJeFD!>?K9Yk}@ij<`w>gtOsAIIWC0H&FT0xWEo6p8MybE5rav1>do@TI`sFoDzf zn5M(WBFUYADP={t>(1LyF=LwXi>B1a#-^aXT}c}s#s1?*5JNB|0Af~*@mMNe){Pym z*fO?Q#ps+ZZFB{vViuK6fgTimMX_Gs&*;;7Lh1Wi7EjFe{{HXzIAsyj+|Fzhi!Qk`gcy z&_dD5P33}zKq!%PlSM@q+PJuJ+;r0oxa+PP@$29I3A?tvhSJh0xcQcwkeZQ>tlT{O z^B2E@=H@ozkGXTF;of`iKzf=bHzF^p-w6^3U6dhx$k#(&9LI2Q1j(uC7#@+!kk4fO z)qd;a81gB>?l^&ELvuU+{Xc(*lU01TSwDfMIPwu6W~?O`t$h?C*habusF&tu;IkjO z2Xkl7=5_3Q@`!J6nDlh+BT%dr0+bBZ?xr|F^_^%fUWt=+GzNYBeXKk0S27KQy#D^r zeFLu@b=-F29AG88;w;Pfhu?oMjvYOOr=ED+cu{>QddHH6QBY&=h+?WH@Qi_L+dG-# zqwi7ln2L@?!v|FKE=9<1YLjLgs{KLMMf{)~VRCB*_|EbyeCJ!=v?Q6bhC0-lER*FQ zE0f^qEmZzi@TBEgD8)FU9K^4F`E$Iob33%szv6T>qSX3y!BNGdj1zE3fl+Yrcu0bf z!ruvGreLQ~moRfDN-4<-Mae3q&5^y7lrEGYR3N9L=&5`ORQ3)BtsW{jOrL?`%yNAB zpFWENdtSiC&1>=FU;hlps!pMuBk<;yUgYGYVA$77@DPGGErW_U)@EU)Y3g-EGlKjC zI;=ly7ej?dInDY>B?vG{C@Y$V!kTTCgQmN)2RV5KmZVWu7y%B&#l%u!3n+GWDaJ}* zk04JsqDo1kFdpGZ+7|aUTqL4M4D*leREwn;)JB07LyT&((4y7BDH8Z{a|rZAgJ(q> z0UBAMbgd}|o~OJ<0yhEy4givsODaOoa+Hf^6>04=DO)90)Mw3*(qm;=(&DxXsyCM) zH>n*Z$W-+$VWcHSq<$xO5?E1^N+}yRL5_@%TG;sAci)M;+-yF}g-9qKUQZTYeQghZ z`P<*1cW}_^8sfbbho&~$>`dNMaY(W#1p*^TPzFqCa&Drxo-Y@y2&d*MU|s74^77Z| zB`_Nn7*qX8FD3L8;1iJ7IRdP{z!2P2*rOpopCzk^qv-W)n;1XX#e1YAH}!6^({0tX-&9fDsMlg zO)tlTpL_uS_RzP`*{xI(fSaJ~{qMU2)2HNPps&XOab#3|6C_CUVQ7fg6Kv$><)Eyr z94F6K!#Biw5VVOeWKB`#_4Mp4%&3@+14mEcKY#R7^zxjbaHv3iguG}yDzb~uE&?2O z(B48MkmkARDeO~ah*#{I;|>-N3N+cCCyUn%up0ld?Xp%X2_?aZ_#(u*SI$|0k9^?$ zW}&|S3*W}BLq~ZX-}})3QV6(H6XWsG58j6z+g`#eyS5om%k`I-ApTP_Qzy5W&?%)r}6W6BEh-FLA*Z!m_(0gA=j@ZQDy%q z=IPtt{3h;vXEek3WSK1gc$oxGZ=v$Hf~WL`Y7?|U3i0QjeFBFLyoR3cP73x2h6aW) zG{_P7sG|_IB6$o!h0OMjauL{25=3p9HKrtf$^t#a;}meR>>!z+4OvS48 zn=JMzBQqDV1Wt*{(Ci=J{Rat@I5MRGRc332YK$d$5h8eqVV#mEd*_{1}JZoW;G>Ic`CcOS!Z5X@DlRcJ0M8f zNzYomSk!dQ&l8S!?lS(#XICq79@9C;oAlgsTQA1L>Zqf8A@@E!tB zvdy@u;8!ePgqv@=fgm;;qohefyq9%|#p91XftPpfBFGGwRZA`_S&@Vr1$2}_J;?&b z2^xX~P&`M^=Z&rC`574*tgjNJhFHfC5(#LP=0QqZ0q3p+0xAMRky$ZfwpJNwFL0~; zS+X()2%LCp7Cu|S@4SADl@YkttUGhhjVm~s{iDu-=;!nsNy+{Vf~T=<1db8K)Dgh) z906Tr>eezXDM|4t%uB}uAO9f!{X5@9OPl&Si9l`)`MDXm@BQz?cmDHxXzlFg@p0s5 zr{d17*WvKqoyg74w0`R8=^^N9Ca(_LGCBeqRPMk%6ZByb)fm~}2i}*_XCLS}MVjnnUwjl+%!FeCq!xNmw&jz0K z-QiL3kYWJs-4QKyb8fOe2@HD(DwoWihfja(L&!=?#wY&eyLj=yA&iGP7$7K1Ct%Uq zb|1d?9_)PiMeKg%Wwuc!YfajF^( zb@ga#X+mdfJ!+~?p`E|Cw>6`=xe;BRZQNQ>*V=@t`tzthe-<@0XHkFd3hDKWM?21(IgQ-}0I6w7$j-?yg?9SX8T9q}D0l@ZOwCvwG~>^JkF<}Y&H33;Q4U?C z035&=YnG|#Z%)zaI!Aw|6}U;UNzwhcqT@VSv*e`ER40KT8);KXnB|7o$SonOjDUxZ zndL=4*ewJw94k-Mnx*fu$_RAnEZt9|b6szf2iOKFDlJW-Y}p*gBTS{J_4t(HVDD>t zxb4N>-FvZX=T0;sT-_%r>&#RKTnx;$sB{WbG7S*D^vnPjn!7BiNGFNY=L0lvG}; zC1iLnDP^`s^%K2ZF2z21oc?7!;^KK+#==p8G`V?6dmbSXwNW7}mW<~~A#dKa4xOD{ zRQPS|URhtqk&@yeC|HBN2M=SIJTDik+?)lunP_gT!`7Rw$BGrpkd>Kf)}3Sn#R9>O z^-Kc`?WYn%EvTHw{tj89)JrZ|#r_`0uHAdEZo_&4tP41OmIEKTMAgT}fBZl`GS%ilA8n(T`=qBtH8xulh&2Rx~0Y3-rB88qM|&M^Mx zxytcmmR<6rC~?`Po3UX2Leh+tnJkm#O(+8QljUt#q{OsP=V;KU5DJdwv?PgE&X)w1 zbww@#RGnjV++WzPlgXrwn#Q&!wr$%s8rx{l#wKRN^JV|m zex7|_*L_LcQ>ev^Ca0>36G&}tfd@zOy};=cVcqv=^7+0*AUMOm=1X33g+}_PU&`SJDNzA0Qvw@+4CR617K2L?m=Qk1WqOPv)G@vDUkQAQ1NgS9_ z&*`>L`ReV>i6bZMX$eWM#r!>EkzsHlrMh==$soFt!yu}>dei^mEZO*h9KObGQn*5= z9maZzwl6&CE-vAq_x=Q$l6pkkT>}*S<&}wBc$$MZ)KGEp8)Qv=i^yWabnfcpJ%gv@<=1vI-!ES$ttxOQ`)Oc6q33~9}+7s^gPilc56VEldO z;Z@G2j@2PY5UGG}6klPvhhH5bVmN3bk`;>Q0kFuoQCdliRmmN(m~4J4^Xq<`+_E8B zAE@sR7%NF6p(DpGJD+0>j7n3HQB2MFjkVdIk?Q|`?t*q?rZO``9F%Kf zXRaV(r%t`@h%8GtDQ0Pe!K|Viii<0xuMTHEMM-Rf^_#~J4Smk3*2fXrT!Dd&l8KuH zLNb>1l7v%A#LkW$GMUy!dB_RYvM=bdgD@`MJdQdlh?c!hmGe@K%63K-PQ784e(`Ta zlm>+p=*8MdC`{|_{`2@_8bahHy|6+}9A-5!#`@t@t%G9G>E{6^8u&IfK`WPzjSbye zhw*0$*wO%u6D$dFncXI%*4!Sz%L9oc! z);%*jV42Hrt$P}yrj&PM|CZ-+{*4ixB0Rob|456;lwn%lf8Z(mIrPdm5>iJCwBT+R zKQ6WzriPHLl@4@!&ay>DYILC#9T9@G2~1h<;FVeb!-_CAQ!JFE8u#NM)+~@i3q)f@ z{WBI_X;h7Eg_x9dEq*^ddB%e(fNWf?=O=`uFB;=(X z+-jP*tyr)dhDugTSx6PR&(74@^G_Cwn^XvPzPFbjPJpU`lUkygO1{2pnT`UOayf{i zMvsZvY8w3BKW$Un)eD*N%Fnvne74cC1rbBFVn8jCW>NN8`h@x)8w+( z9#3qFh=cg{UN|{K$>-yn#LNA(+wxS*5L4vZdv<1p9)DRHPn6Xg0Xm0{w z{2)1tvnp~cJnxhcZz$*AJINzHr!WCN$<%9Eg+$r(hsWj1#W87%Qf6{Cm9+ujMdR$s zG7W1G<`09YCum^+3o>>1(!SP!mtK&96XPlz1aXI&$mPiIwD<9HBd~XV!s3>FT59N2 zo&$ll#x&GvVuaG{G$ntiu|qSwIzaPH6B}3VykIbzD}z>XHM1H~;DnFRf`=3s1{me- ze_j_Ub{xNzm_`GKh+;w0AU`K%N6yG%@84WMq-Du|M9TTwbNY7$5PL^sxTr^F4jRT) z?@94!DSrEY;NRbfk&V za%*h{ixsd{#uA`jIf_>sQRh69!BvY!(|1bV7COirSl}1Lf*h1;$QPKai~I|a5@B`2 zDft(psvh|pSex%UIHRROiC+WK9uKo~nU^+elRK2qWbN$u0*kXh`Kj?RnVm~ROOlON zBTq^*4J?mFlPJl_QXE!|%x9T*%bpi($qC#9F;=4G z4dch$n|^nyo26SEJ#$R>ymQ=(;vh-!jZesu&=1=Vr>SZf^d$V4%elTCXD~S!L)Ywb zp{jOAMj}Uz9VQ_kjheV;g)#ycQAwzX;G>Lww;VkWiByfqTWv6+^8{hQitbK6Jit7^ zIrh71>_xlVk;5p6>Ro8T&vqT2j@RTmvB#UD7sdY_my?iE`qI{(;rKeBgmC{zqLfS= zn9X@xj3lC%Q@|26wjgcxoZHtCdlq{_8{Dx z*by84LvFo@^>!$%2jzEPkh6`u_0CS<_l%s$r($;F0Ehu7S|xn>vTLt5$zi$6U)Vis zpty+eDt_Hh_zz+0^YZ8J_ZWU~2Z8+OUuVsq3wpOho`fKFHQ}e)|M_t1&){-uZJH0F zu@rfep~PTjuSDm39&3Q###5$O7sumtVJyp9Q+X_4lj(G1^7wMb{v=|OE4O&ISl(Ar z{l%dhGJd-BeV(xB3jHeZqTsPJxHP@I3|&cBEGAhX6Ffq`Bb(rkVxzCiD#x$Xo2A+T zhv9o(2CDHr8uu_-lkqrQvA9{@i`ijN5ct+@a6?nUBC!XM4_}y(Wii`PXDr)w*{8Vv z_NXmc8WG5u4B+5A7x^iH8|>ANeEooGc+-Kjb}SV*juuiNNv59!CwK`B^3cB31pPp( zV8Q!M6l+@ltqcMmx;WQ{Br3m;m>HOn$6ck8| zv{dv1Ap#zRb{(JE6PZlk2>&fh)EZ^^%i*oAt;WX3hZl_}M*tL~ixV5rfG}{UIHyXk zRjcc&(xisbrsxQ5Nc*v{GgIv=0rhA(jXOCI= zaJyW!C2DPM{)%b!H?J!X1MK?GT5fv~M?*8MH9!+U4XL*%AH|(o;SS;0a;k5&+xJr8 zO_wZzaG3#nVM+5-GS!n$){hx*P$^+p%YMG7B@;PsgpsV?xEmR6%mq$Jxzjn|Dr{1C zYyK;*Zdi}Rz{b!62pzob7Ol@4cnQoCf)ujEOjKCF#AIxOj^K9OK!6~e@?1Tm$sui_ zg()dd34|lw$UbJut*@le%Y7Qq8nP?lVub9+$MrCOAh<`mrh0E8p3JZmT#*z%X;yyk zaFd|>cmFFpZFa`1-a87S?4AY{qOf!Xsph;Tro z`<{$wbnpBMxBBN-{+FAW9T|gni}$Oa{}3-*J|368%7pnGNvyc- z;*ZM}U97)ozn>p<4}1nmD5@-l1`rFJvGfkxguJTPx&h|Jo$MB=p$wQ{;y;7<1=4kR zXbsfuuVNn>0sWq>qw&WRs#*mV46g8>_E%YV((+&!uNci_YV_8PmiY~o+th{a{fOUt zlVCBkz#DW6k0_z5)(X8W+|=r+)rl$lL2$CF40g%pv_gynqU<<%B)JJ&+` zL4UZ~Y>EOAmML{vI?y8VpdqMV{T$;KEJGnIevPTD6iZOeC*ex=ageg0(np`BC|jCZ zSl~F+c~EDU|B6k2teELW3pXbmWy?ha^VU&JaMPN7l+=t-ni6Hptj;vV{Bgpn<0+JJ zpd9jTQX@G-5HSsO<#^JqVEt;QhN!LEuN$nZVXK;t_yKNu#)352v2(ctOJ;5VQAjyV z%q&vqJh^ZU?13aYo|ST&5wGOG$fn*V`vi1|J<1!Iyj%b!?F6~iUPql{YU&9OeGqF8 zNlJ{rstcLDrv0unZ9p>EKr=m+!7>i02Y2q;+~`cgm8Y_;VIc6kzS8==-WjBhTN#Fa zpoMNP@OjhaK0^_H!d&|Pdt*XD*uFoRE9{IECh+E69R8aA~#Dl0=OD8{p-s{!ty7THEM9#mI>TRBXZ{61;1wV&A z`-i8OXYIC)4$nQYun1}g!xgf)Lh!542TxA5--l!Tf*F23WO$_c*Oe9~Zb!PRc*7u7 zjIGb_$s3$uUI4Qx1d*FLZEZq|zqUvbYCSig#kCfWVgh7;NngX%TxTYVB1*SRNy!{; z_eHVMB*(cxb~HKjuvhB@eFvA6Ay&kX?itPUg{By68W~n31SJd;yrE(+XhjC*3nTto zZ}Mn}fI=(!K8>?tG)pbJT6P5;IKe%-5nMZ*s(KG z3c;~93>iUD5DMYNa8Dq$8TyZPr_T|RJ42-9zgM+PX0EkMa877(#f>1t7Q~^#D{-Ok z;?kH`(w@;LyO^RxO$%PQ!u<&iz=pNN?U#dLr$YOta)6^u!WZ>RqU;^*o{qe<&^4OK z=x_KV6a>f&hIY7@BC+Gkv%*=*o{?>=VgVAyl%F1%14U^ccxWK`rdYhTq{61aO)te3}V`H3nUhL2oRHh*lsj{+>@o^!z-=6-q zxOi}RQ3Z=}Oql2zzu?;8=PYDJtcv}Yu>PtVHV9e`oDcTFQf)uiMVGJV9vW46g7=p|8r<>H3!2|{VfZ$?r z?c4*4lv-qo<^T6?Uqf`}EE?K^1c5jwE!f@OF{5 zZWtfvE;BcZG+9K{qfr5y^ck*P&aWjbWRec| zhsX=k{QXWnh5CeZ04pV}5L^;*-SyuOTnc%-ra z!x&L27(HUuZfbu{qliq*Y_VS($##|O6D@6ZT7Dhq&X{>!q{O7H2fSa z`+hHc{SPUJMnkP5;+=qCATbba-S_QVs+Q8I%t5#lM8hVZ)`<8hm|DPjj9PZ_wGYSD z`|y2!nfe)^RZs13a!4mB?X)v;!-BCjH$5x9J%C%IXB7g~r}cAldVR5uxlC$(oKE7A zBSkOt0TJ1y1M)P>y_Hgc@NB7~zrj*vQjCV9-8g4}X3QcvEpEc%N97==^TrrHA4c?n zXWEY+Kl(+sKIjqg1*}+CTKozYjt1iPTK;{$#R>bpoD29O_5;UHWd=#xcKdxmB!y+P z4HX42z1EGW4CSHXb!c#1+{p%7Pz&<2(NFG9Dp3g%nfEwV9-R?JvT5Ak(pI>Ze_lhN zbn1H(LI=Kic}t!#`P}7I?_Bb1$z@Nky8ZtkccPIloTiL95QdiZ_j})OXOGh|i|K`h zkWYScy~v{<{J+|Uw4)Yi<%zFc&5ZBb4q7fAY2wnEw z=pt9!j7dUx%5(8ETML1Y>2s75d3{T0Zqe~U0M;9{+Z(Fm0zm174LQU;G0OrJW|FP2 z1HuMA|2=}(wiJz^ySD)1JHCaAw6$cG zrCUJyd#y16xa51iDb@(&oV=ql%DYza)jBJ3(0H4;882@jld&4r09njZ@}lBT%Y6oj zqN7(>w8jd#IuN9WUfX6on>3a6(DEHa$1fI(a+@snoGJTcO1iKDxADG|9_QIPVD_uW zPmW8^EAQB>q%f$r$KCx=RLuqtWbL(f$Ngie`^N`t%{z~H#%)}(8uH5c_zAw$UHw0#4*v^_bwxZ2iszLszkyq>n{#n3?lfv)-5-&3TsB#hQ-q-^gg?}8T>_<{|nvo@7l_zmZYLo`H zc=>w=F$$Nape)H-N{`ePM70v0VeD3zHteB~Pl<G#1_>Ddr{?5}lOu|hqtf(Y-0RDZlpdsR*m*hs60BPpYR_Cs z4%}8QElCuIL+7=(*O}GXv8VQg3ySVGJ8eQn;)U9VDG zL~qzly0Vs*CqTid-T)U7z$J7rzqMUJUE*zNrZVUf+u3&IQGs_S|&d0hy@37yz zAT{H1Kqy@jvk=r6Sy=qi#zKDOvE*iSnClmO3W4P%?H7Mtqg;JZ+PN`LBb9fXe=4os zWua*%&rd{xKv0n{62rrzTU^)P^CAafU)EJeh7S{L_WzK8z5jVe__n3Q*wN*L2=>ei zhD<<8dajVw7hJ~S(vII6qu5mcip)=|?g9|dL;;HFy`)QVXqAcnv?SqS@jV3;v3{|% ztU{piglPNK4(x@6qnkC%VEuyq~br2aGm14doxXQCCar<%u6KQiZE2|OC zY8FbGf=Js@5?ehm)aFwXIx-1+fufVNLLKav-q>%ToUy+Z( zMYJ55>gMK3b=8_VRq1u@%I6G9xnt&r6}F)WJp>LXGD4giU7t@yw(+ZGHzrou#3AWvPzVi9xkdf`k{y>4k~K{)I${K{va7E?1Ua?%57(O$t5B$%IPE; znL=ekMYB|BX`u(W+(y~;cR7}WLM)ePu<*nOgQuqgShr0HTcnG}4~Q3yfd|Kg!7nE? ziUt}XDb4m0;#L$@?U!FRX_5s?alU>hG|xc$+V^%xAOs+154(Wtm%EOYfAxTAXlwVj zIZOdOAH=JEGd+kKluU-A^QRG`Mk?7hro-tl9yIPayId=4=r}&N5muM~;u9qFelRtq zGIQAg9om>{%PCwmIs^+-qjor!kiW1y-4QjT!PSSho+ai`Y#Iku5y9+Segy1um8+-_ zP+iXts4+Ft@6ww2@)eDYLGhG)SwlB!udbdIVqb*Vp@>mMX2zCCn%<7edT^kXjo2`gNc)4MIMeV{C~%QT2m?}^lD8*4x`!MB4~_Bg zZ7>1ab=j+al`1D~7NIofp=$Zn1}Ly6{e{}5*Tjhqj`AdDlVLc$+`tq+0>l>@4cj#Y z+p*EnO=FG#e>63Ou3^)69$toL=wa(XHm;Wn70}e=cD~t(Tiw|-{QA&&Y+P#4{C z3rFzsQE>d@ir8K(Hf8XMj5*M$=rxriYl&?qxl#xA)we?fkHGsL*3|rP=eUe?+2KSS zysyddWsF`dmVduJ`o4#n^Gf-~3Z3&gZvmUhvn*lvD1&KTX_jW{>-Gg55X&wS?~?}^ zPHUc@Fp7km7|NUv9dn~_g3YRlJT)eFfj|%V60hq6LXV+nt~D1hH@8?NDlDnaSc=-? z+4`io?1S~dGzf#7aI*9F`#g~U=_$RPPC;m3a zyMvW41U9=p$!bDR34{cC2_LQo@;W=xh|gCQp!=<(A?q&Y0ZouiK;$I5$!G-OdntbiP3J zi{tZSkbk+vLn{v6vO5GDUKusN`NL`B$ml4koUq5k0w30Glw#Z{fXwI&<{TIm4x5jL zRG~=@i9{f%9KZIp5P{}SdjJI zeC9)}V98P(M`q!V=6O{1po+G=17&p4T30lWbS^uoXsEodkzoEK{?EG>+p{rx|z z?%|2Jo+Re77|elpoBFz(2ER|{N^(m%L*Q7xq*+>~Dy#NQ!2(tB+20hNkS|=K{1Y*Z zFmhqP0L)kBX{#&l5r82dhj48-uNe*w|AY__5Qqw&@n`$wrYok=Cn;6My=@CR^gY`| znK65_C@^65EpK!xcR(Ao%{deZBaNK$ui*;NU1*|}+|>GVXzm$raoggrnJ!r5SnjCT zFb+Q;O#?LP7_C=U^^5@Aw_{jW_$dR{iQ^99P+L8L+jo$Mja z&sv~k_gM|0`S67BYmd|nX6ne_qYO5z)-vgLc!83Wy7LpPW}osz8}u7B7##ZE-}E;c z@k`_h$R)kfdLG2i_jp|1rycRQJRlBK#;z~huf&y%kTK{re+35OWxJ#CxSxwCbfc^W z(=IYeri1m?U*}-;=j!VmcK0oPUT6$N+~oPq)h7nQ!Qet$yhDc6nCg$UCCA$VqYB;H zFKn3UFuUV%sj-0<47Ph`Ont9^E`Oy+={t)I4bW`LPpYj!hqG!LzS#;6`R(S4@fJUc z1hpM+a!I%tGa-#W`B#^$&yJ3k0cGl7;Aw|Zp1C8uV}QY)i=0h1E3$^I(}Jh}y-129 z!z&~=8^tlsaceWD&|nl56hSKpJfOK*1YNV zwW|GwqGNCM%;AA;X(xH@s^=TWW+cz059a1u*VA718^58-Kx3#wEQC@r^Dy;J%LIz9 zqvEX8n8PmSaD1-6&0y4scK(4d^^y8^@0-9c?@d>pWV-YSF1#0-y;l(7JB-i-ZDcgU z&AU>&dY@i+Lh})mgk?CQ^0IQaGDJ8JlXZ3?^b_|mttTfnv8lmmJTe7kY~XxnktQ&-D7=AViAdt1F7gLO;Ve=q z|Ce)*cykQEK1V9rkb5vwx@9o~juLEHUH8{8T zw#A5?@MK2Zy)G5)39C9!adg{WlyzNK&|6&QFlcp}B6-<^L7(qrem4;Yxdok*w5+C7 zf!ct%*sYWApN;Mh#-TyEDo56Fsp-FO!#%M`FZ2?jEL%M}U3UQT+0{dR2jeTnoE{xz zBV&^i`5;*BdIs@DQfP%T^1`BI5fp#?Z;|oAiG09dz{Rfb__&xqR=TNxFqFqAXRl5%dtcFMLofzMD1(eYKt!D=vg>k`!W)jUN7KZ!L(%8IJ1~dMhsslQ`Au||4MGb7b zFJ`k|G&~qf!W;ZMSfN#~sF)hl)Wn|2ts?@RDLc&=T~<^?IWg6LEhw;_Et;uvnUZHj z{mE3Hi*Q?yQOS0O6%dEkUEBnZMJ4DyeYDq#eE;@d%55MLs}v<75wqj6z{R7%lBj75 zm9A{4O(9Z+8)g`Uuk11Zg@C&5O~8fl5QQ-+B)F_6xRj`rwsfFzNZZK?CmN4Sya%1# zI;ki4S1YaNYgNTzTbW#Wvmf$cA*&P~2H&thDtdHyo1Ci$-wUyjh_)9FHKwZZlXbgP zIiSfGrilDt@$)g7UN(noF1aJ_O>PPuroL_YuCQuB!3)FamtcXf^N(V`#09ar{i11; zmF_wM%P;9#+oobWt#&HeBFpaE5Z%K@hZ9r6;3=3wl zF9Cx(MLHWA&kWeeX|af%+Piz1({tv;Z!DHIBM!KtrR2xDG;e5!@P(*mfxv; zH0#eMBC_mAKDi889zsBsIZHGN3k$MmYZ^vwl`|M-mA1;Pw8kXLO>A>-HI7XZ+Xe?b zkacgI5NfjlisG*PDtc2YuqgP3wxz*2bUS2cXC(hDrEo2<6B)~Jg}vI=L*y$GhLil& z#*;}$*c%$zO&%-Y8dy0Ttc5MSF>!DcoeCFvWFHK-eYNt7Sm2p4sOSX)_S~~}q(iyq{b;C^QlmfTtRH|JMdT6Anr4cn@ zX_Dzge)IxhN@6z#VC!qy!i6U zW}Fx*_3M-GIU{wN^kb~3|8-;npyHj7F)&l|+uKp=k5p63CDkLg-XGmZM&ifg1miB^ zGZ<)SFw@oveBY(+?;lJuZ5Ou%lk4UavDW>Dd17-?rrfDuyWbv6j!(}AHm=$bi_Cs6 zcOP+rXBrjWBaDfK!0y6Rcm~`4N4<8u*FP*TWKiGsL6Fm-y7{N7Z(^$C_J7Ks>D3bz zdXpc@ndY~~-*`j%W`%W~M#-_xuZFueysxWx>_8q7z0oNKyFDglS_!P#gIuu6(}z$N1MGo<%6As5Q}3JkmIiaU}<< z>us(yU_T1#$_ke#1u-6vPvDgZExVn*SdV6)9?uha`0n_I`6uK0`pR$I`!Q8kiipk@ zatCX2Q#HFusfj1RRyKaQCYjoeiXxg>+|j^oz;l?Df0~CFF6wN9bQ*p8h$GOlNX;>R zE*wN|!pbOl%Z*A2C~#U6yPoFg3VvGm{O-L_8~p!^QAJTMqKpAllB zg(9BEDht8(q7CKDbQD@*(|~c+%w&79cpKpc8D{CQaWHBoj!5^h_Yoh3SB0JIE(aHk*LOK?cTML(*48tbY}Hp2WYTLK}I1^$4=nuG8JKDG!2$6eDat!d3oe|EI<{}!C|1e z2R<)%u=9sYc~dDrPJdfy_Mxim@SlT-$H~pX%I@lP)%A#{)I?%daZx*n>r*QH9I+GMSWggW8-_822`i&__p*9Nk#by$*uJG3Lw$5VFG%d7hu zmvm)v*}j5bt$M5dK6Dz~U5RNojr5WTrKFJc>L}xeceSp}WH93%S#Bb7KG24v@rwJZ z`YOV)7Y%GLY+H6-&tk=qN}kIN{@r38BIDF>= zI?&m5T;kOGP5wy@5`(ws+Y28quO?vCD6Oa-8t*ltt;if@*9|26w;cjD!hr-RmylkZ z4gei>);t&tx`F9;u3zkOe871BfS=7u9dyaxOp=(#glu`jHNvO&7_ef@wadD=XcH7L z%Y{C7-SxB{z(#Xq{3G|fT1gCQhi8qc5;;9NzDxvMY+9VrHXQ>8{Mk|!vHe#V$$A?l zW5`HwDM);`=}e>;^;gh%3f+PI&0)nrE8#Fv=%$vXf*VjoOyDYu_l< zC9k%A;dQP3L+hCru!==bzJV#H{ole*bHEZDPF5#VC5uD*wK2OsLK<#=lNMjQjBqfh zv?~}5L~kZDI~wqWk^B*jHf;ZX*;G0Ovr9E#%vQ-2kh4d2?FkRZP4!g^Z{N=eScn!V zzGjaGNtX2_ek)9^NiErW4fbXkd;2cglub+^wC4n7*gC3Fk6vbjL+tYz-oo%`<7RtO zyOb$oYSO9z^)>^D0F-tAAZRNUrcv%f^D@6GAT=}l^r#sdB#aa&02BB1wtnk~v}S&S zj@lGcqFvdqP~1R)a4nB$pUGpL;1~2rAace`5%(YY4L#KFoz|G2&ua*FIY*CG+5XI#hxDKfGjRuLW4exa^L{mXZC zF{OU0(&`e>jx>@fhA!)2$fSLO2my(@g&#qFypIEO&$B6A;R2s0On3sGa2voR=>#Mq z&A8u=s|`;3NbBF~zbWwEAawl;BGk-G$Z)y%g=C~49^Esel~`zAP`j5fM(zkyJ`+k z|DD(LF#l3pAQaRF9u~}Tu#&cVfxT6q34#7~`9O!yj!VlaNaa#gbePUg9U3}?y zs;az3%B4K>Fqei)MC%vP${id)g(V95B;G$dB4Oj3ti8X^BnCKlmWL0sXfU2tc6v}! zDR~y|)>@g7(|7xPvuoRNnn=?#H*`SUt%)_Lty{%dQ5Gxm);ok%4O;xO zc-&1q1{4ITVaRm8=?EPR!1<@78i#`XowXdiDgq!NRRTBSYgoV6pQ%zijNv z%7R?Yf_OSSE!VsE<2o0JF?$NJwlbZw*I+xGaQJZ2da}-K*8^Sr-RS=5(dhBqN!RxQ zy}|&<)6^Xd8Av;$k4lwXt!=ro_;C(WA6>QJHN!2daF3+JxQHK-Fcm8-@q$TIj?GE< z{kQ20tu7;GBXF=ccM? zKLf`?WuB`nZl5S3y5W{>9=(NGxK{ z&F#^lko!k61R-?!FKgc4e>Im2JF$%$w7V)O>uJUu@4jgmE!;|@9h4B0uLQxnUL0TI zGrDK2+s%3dD)JThj5>ww5_ZWGt6tLpWoRx?y!u!RElsHSsdQ{EO*yvvI~t!1ERf0>HCS} z{W9!1j|&V)L2X62_R~mi&}otX4moxt&@6Y5Kx}a2D?9@?MhoNFMX#9-{b1nO3lQ1~ z%1+$Tvh2Y6ln|?@&Mdvw9ou{=J&2CCI^P)a&3sltwESv$JQ-+Ig$kT;`~BAeIfK&u1BtiIyDU`bOgqf8Ba7RM+tB;3cu-> zA|iUbG8v`+Ym(mEL?Cy)G52DHo;51k<0X%v!=w0ADS3d)XCLh}W3^QvU^v?Y zP7g@q3nj8#|5M=a9q(WX-^WD4a`P3@8z_6*90TVpLn=o6_6sCWuZr! ztX$PW*c{P27gJhTw4W3&$a48tDVFB0fmW_f+)!H3>SR{z@b&okxF>m1r*t2~$nn4i z4VCxXqbm|{fP{|*pIk+T$#qPXdd_JgQz_c=K!wpvy6hq%0$z#9ncI9aQ%Z~*3T%h# z?R&Ya2^_P%>66YD_%>IZtb7l7RGd<;>RB6RfsV)WwoU@*Q2q&#E^!ahIiAAM|G=?sh`o@)pku5~ zMrWPB{Ze&l=krWT$mURM{(u8!`uZvitYHm{7$WwrjMiIB3$XqW`n16gh9w{===b69cRk}E;RIyzdu|@q#3 zDNiTA+`I|nWuhSu=!F{rhPU|NL&-l>6}wjHrVZ6G?DCCC-dLHbHJYFlQ8%~=gkXi7 zxIA^#Ic~}V%acwz8XFf-oaN~lngfD5G+3yMh3esSv~fn@cR`4h9?k*BY5Ts=12o+_ z7y*7(R_UFyCg(pZ?X|>^*m}I&9IsJf25AEcE{ z@t^0behgRJrmmwC4n55!+ZcVnI6gz9{x49|lsw*5^pdC515F_px#$;>T1^1VA9(`O zw`(7&azjd+Kuy{MsrytmsT<|=G&1;KgHDR6mhCN~IVGCVTE#8`S+(v{1UiQ5!q+1^ z{m*-ZpZ-hwYjQhjRzkfemUTmg{iHOq<`lYN^!0^~!#I>~lJ(Oli5TJWE}0OZFH%xg zP)SmTp=*rLtdNjN5yoB^NTGV8$QMIV)^YKfrmnHuP2WipKWi^>#H?kunY8LUMhWU~ zN7R<))-3Alx<0P{jb3csj1UqEH6}+$DqDq!lBrzNT#BB78-Bba?04O@d`!`$U1*T> z{Uw?3;1pwi>Um1Tj-luMp$z3@K|^7jBlAc434i+zGX(R+hM8Ar zVWehiH@B}K9Z9f;?0V?#piK=g4kB|<=%%H}H23!V?_gUM0^&h!s+c%kHK!!6^}=d^ z{~p-zU9nFM)^HnGF>6tnan1aK(t@Bn)=SS~Rn3>IY^uIT)+RQv%OJWTt3?oRyd-{+ zRoP{0S7?!1*|_jJ3l8JevA$NN}8=d9Ac%IBi{QH&j@7|*D1t~zf;^s=k z;2*c&U50^CZg+?)Q4|c~!DnLQU;vCb&aFM(VzpktID2LPL5kgW&%V0NW(xuMn!ZZ` z5DN&>aJwAn4GOVA30%+iGU?D~@RU6Rh*$h;^ogcTYtm$Vk-mwDR%_ibUq1=h)d{#s z21ioX>M@VX58Ceg6VfATwjAlU@Bu3~9+A<5Mzr+E#9gvb{ltJjNMAmXBWtd9VMlBL zUw9Oz9LTAQ6>a0JX3P~aNzb>lvxUyRA0P;R-dpm%>^p-^PiSujK0Ajmz-i!AQ*N%_ zlQ`3=1NT;;Z))p{RxXcQaAI2|Z1H!mCh^j<>~if$e|ePWS(gJ6!Y_ zaGgrjI&d|IL-d)vT2MI*vO*whAw2MMI)pqTMajWZj*dVho`00X4rg=-3D45%Y5JNH z5sxdvq~vtwDndx-DR=S`xl}o~Ssc8in4m=odSk`Pq!dVmju4dN%AZwHQPe3xe}Nj2 zROp{2kEnGTlm<(FC(WfBo0~})+F|C#N{rtk))aL)@dt}Mk5A8zMxFuM?SEC68Vc-l zzq7HiaW3`EIC+z0OMgfu@gCFKyn{0QPpE*aq{ zZ0sZ($cp=8%%rL2Y(QPZPq$z@ci_ytA$c=EL_-JL%5_p`;SV9GRs5j}XH-~HLLwlr zrfZk3SD5Q`3K7UD;YcB?>3{;0*x>HOW2s&M#Yu+`ObTQFun#v4OjaU#ZBvWkiR!uV z`7{q7NDN^QIR+3vmTY-KGJRPaqIu4wjBP}JhGkA7AW80#6dKt_TXe*;v}1QGreZEHO_;%xy0D?)ML- zjk{gRw<-LIaM*Qe%O9V|&3LP;^Z5K!&_srmo13S`=0KXCB^vGp;%|1Ve?S0neJKoC zhK^DDbA+5J55sSv?ME>ULK2@=w&S^P$7_rZ^>_bB6Ofr_6iA|SDIW(&9+wkagz$}~HoQ=4(C{M} zSGK)u$2w0*Gs>@!dQj{cDrjk0HqO`=RvH6BN77i=th>}1PgZAIUsfLm+pT*pU3hrD zA+A41w>w;Xvo1wzQ*zD=2Q*}D6N&Cziid7j(;x|!{DgrFOsg>cu1uv%D$)-ofUPec ziJ92GW?ckvwp=k*wOEm2(CZmmBKqAY801#^`a{GQ7{QsN!y5Jpd7$tv*SMi4(`H6= zb+H55%vC7TnK#xrdS-m!q{pP=(&9{OK~5sl0hB~tO-%%9s{2kRWg~DTjk!b`S||Bw z9g~3J{JqtCK52!3D#{=Khoy6VsH}awcAZX5wx-Fp?V4;(#$>xmCr`F*+it>S+qT_g zyyy9T-t!-vU-rKDz1F&}@XLa3J>B!rMAWGnGylfQvWH(b0L;_bV`>5XAI*;tkCTYJ zdhu~_!933980HDvDNwLbC+(x$%9E0=1R*h$26d`rnKWo8{gNPr#U zde(ZDzs`;05fS$oh6zQi+3X1DiF7xo+?E75zj`l}6-s{gqm{x(v zrpJy$;A=80yIFO}RT@I3U>F$0R4eSvp7tE#3EA|LI-m3~8s>7C6RlCYB+=aL;~7s2 zU}ZIsI#=)*hudMLa`MBU5D^jG!u=1qCjg}Vi+VF~$B({o?;2HlsE#rGkH=MqeV?HL z&RdsHB=j3D!OczE2sI-$v9C+MGjS-?kYa8_2h`){qfbB$xHzq|6>?ifbCuF#Wz$({ zO|tHKheXXV+~+(c7&ylC2XjjB9jEh1&n-UqD<|3QeHjMz@WfDWf#mer*>_i0EOb3W z&~+eD?Q+v(G$&vPSuRawocCWWVcqo?(bnndU=4aWyBvzFKmQij97f93lQ*{qj{c{288epkVWy+Ze5U#Wv+13T z`9&RO!^+F8##VO6nRl5m6IEQ26GGvoW%S6n^PhDpF;mA4By^ z-UV)_Up7fosU~x8>_jVTHizt4# z3SU~+)zZ2do{;wOIt2HUOD(sBTisD7P_I$G(+Nr$s+dJn2qZSJSVpa_Vmo zg0hNQEmE~n~BN}p-8iE_0RZ(SNTDt!_r=+W9@gsxy zSsYtf3qRlkt+gzcRn}>FT3VL1 z?nSRLNX{N)aA8#1G!ffFpBRi-n@y&g_FlY0^;K%%^N>dSk%&zn4uUJsSgtsXxn*_8 zkXyu)>N%nsl61&K%P|;|D0k^TjR{+c@dd4gu;!DQgTYAOw7P%HmaSPZMZlLSYTpVF zJgA;f?SkYP9tikD%jSxW2gl(X8(aFa_C>r^5oC%LShmseR23QoopGw$OgPZtve}oF z-m)O#*>-wldyeb0EY%klfOTY?N@-m7j9YcOP#J+>s6SL7He1n~=IIholC0x?KM$hT zd46(07?oyZEsBXPX7@EgGzpU6;2x))UGohtgE8iq zj|+@8UM^f|#1=gke9oiqTEFki)UNu4dOuEkauV}dBE98{eBPIOlVEB^6>1E6e18z7 z#zV4vMxDux3cwL5IP>x6e@&o5?sf1s{FitSbuzs4Sgx+~1P6@%KIv?6*=4*cJUmKJ zAa=`se-`(sMgU#AC6Ia=vng6?TblW_!)FN$&nJvQkki#=Pf%1{bd4#EUoxMNODKC+ ziSA0$tPSlk2|c2{6nT^{GTM%fmvLJ*8}p!{CL<#Y1w!G!qbQ4_^l%UgqZfIl)4_P0 zNK56d%Nc>yAO0gYvOiQ<<4doxz)IG{<3`T~gKg2_zo+|eY&eBIg};44nP$}#Uzu~= zCEKwnvAB1xRBCQ*2M0#V4-cB`0LXw&cLudFW&WHhFN=s-r75*ATEwIXOM;>TD0h5b z@yD61kDTUXj~S;Mdl^NNY4W9PXGOcpZq~xFdSaYv2S-UsCM)XZu&YwD5P}nY0ynKwsTY&|*K(u0ED) zYGYJ*9#Kx1YFER%+#W*Gf0{gV(KTz)RCeHKdM^7vu*^_FVnx8;E;P+Z#mBj~8s z=ztZR=gV}y-O5&ONoNdpIrM_~U)Seg8aZurLkz275z|4{6?f}M=qJQ(lQ2AtuxG}-`Be{x@`(LHNELr;c zo|A!6i5;HIuia!qyYp_cLrFzDd_NJB_Afu}UpW&;lN!1H8%Fp+I1$pCzp~B} zz0F)t5~|8vD+71B9~EulK{9hJ3yC!Wb?@hj9ZeDQ?#%Rhw7?hSy#WHgo41E7_tP|- zYXhN=uRgECL^l&xAQWHsdujgX`G(~#TPnuPnmuokfxQD*O;KM!?R6U%6H6CXiLP9c zbxh$Yapru34bAsMDEauqo@T}w33L+UMUZf-te5{717i0h2q~D-bwVhyk0ErB!K&ES6)|HPk)aDgHXJ;_0`6y z&27m-^HkKhYYzl*mn71aJZPZ<{o+>(GbMwdp@&Dui3KE|Grm2mmA_Q~P98N@Bdv`? zjd)wP0i?5Lgth&A#~aVk^Ixx288qecJs zu3^Mi-^Gnrwu`z-;-@m2zfYVz>U2k5<+Ij${e_Q=H4AKxJ%k6(ij>RPD)q1niv{$n z5TsoS_B0{YR1|T@(P%xD-^DJ6HmbHIPhtSE09{{C%z8u}h^diLA78{%!i*6nSi<3W z*bxlDkK88dT`wmD2y11Jj*eFPkjyr<)Sw_mrAu>#7bda;GV$dYauhr%ZnNfJkxk5t zcGz-!P*FhVfls&HAi}f7%Klpl1ji|_%K)yKiAVXL5a(0@GEp(?87gCcES&r*6Rac^ zV~on%>Aj_0p#7;~U%-z#VYxMfZiLk_E8DB-eqnMGOHs|Avy=3t{EAlzMu+`m|8Z}$ z{xcUL$@*$RxA3eV8kd4tB#tWo=0C2-_ z$2q9|rHV)v7)+~`_Y<9H<>B4n^tFoPakkX$@$xqBxpUf0<%Ma7CEl&_kdu1d+ zBU3|EZog^q~#UD5UEj2*%7J#prR0@(yk2g<8jo34m)$k8qCBgyXt=!3MUI}_MF*8s2D>PB9FnSdPFa^tQEa2@h zrCZc{0$PrNMgsjzBoo5aD8=iCq0GvSmzS5r#~Y7MGM`UVqpgP)ESsb?Dc;L8(aS(yzwm?X;2{VM(tBiq!QMbFN;u zZW6q>Cx>Vv!IC*RNuITD5dC3pzP;Ge#+dk|c+to3;mrY`gh97qQTbFp#R zy$lIQe3#7PJVT)^PgD+4Aah2M2?sePR1Tr33|0U90R9IpTy=BB9i1%@?B@;9R$q+I zEgL?c>!6>^Z;cor?2ln%vI1iZyE9=odm#~B+ArV5sp)dUEa%L=mdcZ&Vq#cKZ&TL9 z+&oVZ=p7T>lJzD;CPvbm9347N7d{b3SsE=%pvcG~I9&G#esh`6tQd?7{1SU89~|)4jCoX(wnrs>GxtT7dVjNZRTyL-~T$#{4`ttb&=S;OF2t-G5(P^Q@l zF8IVDPm<%#sDrA`3XTjwTi{Li$IPuSFj6u3^ONb*9&+!qTdf!oCA~w=<5x11K{U9= zI4^M>fy2~1V5?E1FAwdpxyxlXo*F(!#kk$9V>j50qyN`-sr%cKehWODT4`35e5WFx zf6Sui-Oo&I>iB&Trlb;yKOQG1*Gh3nZud$v+5P@x>Y;aL1r0+n4eCv;_u>CiHNa}U zD`KCQ27`hIRl0!=c^b=LJPs~sA231{5&mAvX=u6f&oVCU7g`>-{2@Tt_jSzgyK(tP z3#O)EcTn!E8OGwm61pEgM}-u1q3bJ%az2S)rAIoMj|K}8yNwi>N`4LPqIR!UEuvLY z_!~TaQ@97$=3gxutEcK|OOG4E*MkAl;Y9I&7n@Wpntw-XZQ~;(RxiyvdoTXEz^{N> zqj@wAP*EoTE3Z_brO}y2YrailV`ziFvZB>`Fj!IR_9zP!%hGIgfK-8HO;6W>)qa`Y z;0oot^h8m}E*q@yLSB|@i&=L=0f-PKc{iI9iV2>e;Y}ubzUNoT|kYF8whrF7$6=x6LIv( zUeLKQ(zZITA8W>qp=jTQ)Ad?LIPU`npxEIVrbZ-PICa2YhP*#5F!_XGZc^bR*Bb-x zVGH3b5{cv@;I~|dY+K)E8Gvch!zMymHDyD-AJ;?N61hUc!fl`vmC6k#tgf3YAz^E` zmVq>i0da@tmxWf)7$uMgRZ1aFd^PYWXDi6y^Hh41E^SK^t{wemM9~NE)so`ro=R}a zEiVJKEZ-g1Od-_V#FTwe&+s|^>__BU`zulgE`|&|K*UHAIV=6uyN%Ms!2r(HM5Tl+zCTa zhz2Way5J{V68^@qrUXfPXy%(eL{t&aI5T4ZdeO@W7xu%f!(2Q_(Tip?FLyYeCgH12 zQ|OGwg-5AbbO4g_U@aY(dL z-yxVp3nBsFH+}4;pxmYD!Nw?$j5dwD(3lyq@8^r&$NzwYQpDA;No7r;(xeY5u9Ymc z@h;Spb3m1bt4nt61S?q zdJHd~Icq(ojIGd>vP)S4xZ38K>eHX)U6OcHo~2)w@!I`4fyZBO37k45fz`Jx!vp_j zHaCBY%yZfNAvj`WH+tOsUT95!D3=kg^+0?Tg`o#qrDBW^ z!ev})^}4}!Nqn+8Tjz!2Vg*sS;cFt@3LRqEh&wAYiTR0-bI!~1K8Gt zwzOJi^T1{%_aA<(g^>StNN9V$EWv$8+zy!@Dv zu_QC+X&;t`et3pmD-55(W^nW*Cn5@xCjO6dt^tc;@~rTHxky1PJ1}HCEFi$??Wv^O z`1NHtW7I?Z8WV%`3CLNc84g}H&Iarzm5fwY>vMe!d%9u_m+H5*X(iWi_PmTOY;3MZ zgCY8($*i&lkvj3YsWp~{hf%?c0<_z@f5M*DAvkB~4D(K8kY6RKCg;jn>^n7iiG-CvHa?_6=(Mz3gjCEqH%@0}AB|83TW zcrf)!2dD;&DBo86MT}oL3OB_!*+}v_&#Uplx@vp%=GPH9OW3yj|K57&F|fDZujOjWX#PGMYjnv!mNwRZk8vln`D4n{vG)@v z8~@qGoUesMrBq8CYx|J>uO_7NcBe=LJ)_x~t=rCdo98*mwPpab%{XbA1-UKABUK`HW{&Uo`)jlcT>KzV zH0OOBYJbWTpT`F?O{I}|FxeMkB)neSj~``8?BC)w>Ozx*S{YDyQm*`A>Crc z_>#FI+UVRCmicuoZ3L>0YgJr7PvV2XR%zVwsq9|x&5n|`3QUcp-Bj#>n5x&oht-+I zP|nAb`T3exW@nO^pMoJO8Nv=VB>9@6a5coQ6E`l%nwRfooQXh{m9Zp!k+zu15IF39 z48fe7E6M5g6m2`x4=^XO&Iqm;Bho5wx9)};%*S5I)@Gm2?t3nu0|kG{c^NNZw(r$# z{Pke`xr4zy=^sU3(8QsBOn7U&o&*#Ui9>Irtk>6Hw72=~UG>mVWBl}1c@EHRot`-A z?@N$#xWeld`kXV5Mco@%>bjS|cVUmGO2kcMS`~|?xY249I~W`gza?%L_t45I@RQA1 zu=Cb{0Zru2{l-Rz**E%jTv%Kr%=Q-S4Yj|A+Vx;>&?|7&m11bks@@AWdxiU#giV2K z&uaMMG!#7Hwrd8g5i#pDyqk&xyYvWumGP5WRB$cI{}{OyS}+lQm5J4R)1!FNdYpZC z&6-rL6pt0Ag-3(_Nd--wWR|o#dWaWi#x?%gbOQKqrwguY@<-k3+ksb);iG&ji~Ooi zqg7mbF>ECPZGHqmMT8?JVcML$0bBbv?+Pt+ z-Aj|Vr=jlpT-U0m$LA@b&^@5BcHhOH$4;Uu`H9ksvc3Y}C#X%=BR#W&*BumJiw1T9 zAaT~l2Db}%u8?ilena{8z}4MLQNbu8?N|p{9n4F0wFpM)g58dco$8yur5^>@ijuELHpUa#;r4HUq1N(P8D^sG6Y{Nhl8B+dv93r1p(X$IqM2hy6wGJE3e}#)yty4CQ8tBW24R2Kfi24K+{+u92K%Ga$jUGWt zG9-Kcn{SkHXnR%_1pQa9`TRKjepsr1NqQ;Dzlu>N5Ju(=SO zRdfxdr5cEH|3kQcaD+b3Sj!Fpj>kJ3_vE<@djmX{m=+WlUKc6OF6_aHn``@8V4yD)t(&Ub5w(=M5R zd8US#4tm~sgd0F{sJ?mozjCX~pe80Zz%ESTD?cK#eWte2eeR`&_`kab zGo-kr3r4#vqJoj~a={F>-)j$vD5{L$_S>Q)xMP)HVC@`%_jNauw^D$Qv=c2e9t1SM zIx^dvZJ(R)cu)XD@Kwd`6XtkUtZ*%D3&~c{aO>u`t`wl$jO%0KZqIFJTyuRkR#NiM z%>ZcJUFWwxvO*m`e+Q5f#%g?D(-62Hv=iq+cp7}tPmgYK8^nj-DtJGsjkrD>>|%GQZJ33n^X z59pQf;Za4x3)qB5eYKsm!2o|rt^DM>sk9+-M?VII?w?&;%{SS`w`a8 z&px95jmPzu` zfi@f6!lZA~`T?|UzX5$}_@fh85&Mbc`}<}hW4(pN6sjk%zu|LFq5%?4Q&H%KPqqnO z^lYj}w7tZ>y3BITT*AcSwdO59`U<$(gyr zi1S&rdH|Ppkd&Q^J)=qf0uD{Hf5?+%ooGCZ@%3OF82vM}7$X%V`r&IKKjJ$}EN3zMDtNRO|NPfNc9SQWpI%-wG)v{*1;(4GR8_>KTN3w!U z?LZM$n$#qbmLbE9{&43W3!fEW6)BnkP*^E0*ru`4VyS>}6~XMWB*)D&NpJ;s?jz1K z@yD1Mf7YbAH5^U$uD3a}_9xnPmyuZ|1 zFIBjkJ`&>Zaa2_iRC1jN|D=;5TcGIWlQAtrYYqs-GMEz%>NZ|t%&aaSoY^zKzO5EG zKK)vlA2|T#!A-1NSt?hiZs{iDRkbv^ra})u$|(#!ls3_5-z#rz*x@7@b`EfkoR-|} zW5-iSvSWDv(~^<&n$fti`qlLk>Rn_^+rB6ZL7S3O0PblTp2_#^;VsFVPF0)^4?Om-ipR&s&BeJmNJ@AhICTX_>cH~ArL4gL9 z$4a+07o!OmWo&rKrzO!O11gf)`x|URcI2A+K7h{_pPUF&Vm#Ak3JN+w@)$85tGU;1w<>tWlMv z6?x`Kk;wI!&3;^ZEoPL7CV6026bPMU2)J$XL9 zQO$s6a5~g#LUnCkt&PN(#n}^1#Fh7os%t)f0`Lp+Z0Hz5ERb-7rHDWonQg}Y?hri4 zaQ}MMHz)_rJ}DFbFZ*O+QH#EW?H$3a)ak}oEpbZRQj77=^P%lvbcTL@`@RkfL+xi| z%n>15O%YBCS9Ze;?UA~4V7%E0h7m%?C$M{s1ayXrBWReSt_bJ5$)}~2+TO8R;Bg<_QU2s!bsq+-U2{~_ei;? zx>yQXg3%wnf{3V%E-o@~K*Y-7q`X$LHoO;iJ0-TyQl*y{SN)O+GraQz1o|H95c*$z z>t8E##Oj1GKkJmduLJ9;h$xu7?xz&Di!S(P2F;tA-1bCm$$HiJ6ta0jz*#JLw#SR* z`Aqp6&`Nt%%zAT$*E~y4;>*l;_E;yEb@%A}d9yM!&BD};Fl4FlcBT+-U^z}!#jDsx z0YKbog~w0wenD!@BRHFanev+45S&7`D0pT41u1k!wv>nR<$;K0+wpY&Yja)9LuZ#yW~+uY2sMV<)y(XSR#cNLBX6Vj&cTAcPC!N{OB%k`W9p^Y#15^Y@hq`e zbf(+_`CO~aEohr39=mlOiCD6hq+4;CZLPCQINYcp(`O83Qeoq*vMt2#rF0j6_U@iD zSr+>szwVN06^Mo=9Z*PAmqS#y9m)WL#6dU-E19rg5GObj6mq2_co7Uew5I-@{Q$Rd z`~t(BfptSOb88VI21G=K&i`!u1@sDvEOc8x3yAvPi9VPYYxE;T178@T`@+Gs&2bSKXJa;lZ?hE9Q04vHfSi-3ek#Err^^&Zy-Bw}*m`<@qCwjo z6h2GB&@46yMG$DIOW?ZjV`s`zhTj;YUJPCJY9xg$PC;8$3Mki2Ca@t463?=n^o57< zg2iUJl~5Kgd)MfH_VDn+GZT+**s|)(f^y0fOEa)Z1VK(VJwUzRf9Htqf0`r;o63z4 zK$8vAvKGlQDsxNcdhk1fEohXRzE@SPpT=%`;dIF)9O6F^^sh>cC>+9Re>SiyKgs5f zh~_es&#T{eq$&@wk4cTnMRbkE=eH8V{hBbFqrH^z&Q&fl`w9(PKUyp&!Wi_Nx+<1d z!;PsmD!K|wDhe8;eymF;7@VoUWszQ{J!@HPQ6*Jq6GTTx*YfAqmmDxL)`z6B1F{*b z#Io3J4n_6GIU=fdp}c%QJ}Q;jG7UifWpdd^(JuDOVO9rRs zODL!Yr%6aA@Yvi|1pvS5X69pwtv?+vYQ+>=xR+nmOzk)83eT+34)BiFj&Cf}tYx*N zQ94_ngOrPg9up6`fM~NI$QK?rN`|#dd{ZK}j?JZECgqA)ukB=7E4zn=1FFX{C;3)7 z2^U>|Fdxv$&dp8n#}Iw)AGsfAZ2jF+aA;hc0S*Nf^H)Dk7$g#&ja4(sJ_z#>%0L>T9reP~k$#VM(l^`Cg-aL1#fH2Ugs zUb^1p{-F;tI%wV~lw&3yY@_7vo*CFf^NK7(Xik2jE|H?EKqRaHvK~z5?*rS5jV5p| z*zjDI$YI2315J%#x;SZHEvZZLXK8Qq93Tor4t_Z$wuJ*TfGNNX%%>b9MAy zgNbYCUaW!0VBNa4R)nE@zCNg!%%uz&&IS{gUgNm#Pd0xLPJRoB_!vK1BfVm>}B*bG|HA_fptiW+HWbQ#_r z7fnkAzC9sq>gsBtYP%qPGvu>D!3ie%+D@tb7W8Z@Ev$v1m+)}c(?k_;n1iI*V#jef zm1FE@Wmt=I1H_suN!j~&6$)qaeI9dP>bMfMl!oivCo#=dl$QZ3q}G2=c^^8rUUfa{ z40^W3>`LK4u8od*v>qIOb2vCiF`CR==``T)4Tky<7yXzLa@@i_A(lmhgwfIYp&NQK znya50bYkj}%EGbLoOTej9?op74cB5co~_?sg^M*O!(uJ*HGWy5Y9RG=;qM|jEo5Sx zL685oxJ`1R6muf+bpeIXJ@SbjJn^`{JP2`$r0rh^`T)F1(DMh4aR>G_!A?iMspX4T zhld?1TxU)5lO`k{MLK@dHi3N-$OHFO+Jgf$4t$ZXM-_@DyEmX#QbIR;JNrW)erzbbOR-#Rq7MRG1b&6(PcU# zioF$?a>A5-VJ|K=j!y_BWJ6*C#=^$HndyM2_$ap(Cyr9W-xLrgY%HI2#l8WzZ|`Y? z(*o^yHpe#v97bVFOPXT&Ic*&~aGsX2CJO#s5F%Vt$!lst+Ylg_7b?KcC`?m1 z^ohUSW21lY_ZoOE)o)&hfv%SrM{j9PJqAj}=O!~Z&oDEgr>Z$pkl%o4*`dLbP7|eG zlVY#9xbvo_+g*41>_;X1WWV3Kto1HQsBbiz7Q_CWxzxs^a{e07l9=J{>-VdqH7;%G!lrJpBcHXD~V>|H?5x@W`QYA~N zQD%|*ss)x~pbtF@)?p%Jm2q-4HPaJ>JEO(^ppVMH)0dg8!-uf79sP4KG@b?|b%ZM| ztLl4`Ik?jf+x!sWe_6(`u(NAY|2)v17#;m@pvqhX!rOGba5eJ>fdxcx=^;`&h7+gi z$0x_k4((P4w5s9tlj<58-*TuwlXN4&r}-BCpMa`x^Rdy%-f}EDa3KA&GPhm>KWn|Y zWGa(o>@dCQOmpcMt!s@|MdP@vmpP6?CVyD|t_r!wTU*D?R|VmI zLFp}OgTokh-mhZ5$^)r_0iRUf8Owu^64GXm@vDiv} z?ga3FY7UKV^2L)Stt|}wh~uEpyEODJ7>>_Q#1~H3xHVh}F9OxrdT#jc z_SS|5d%+$Lt8~}Kgo4Ls_qVCWq|_MklZA-F4^GKf3N`8q7TZ(IfOviQYnV-{05LcG zpc85lS@fEJw_W&U=&csX>4GIC#jC71H=y7UuQvJm2G)sHSVYSjRNb@)6b%lB zDRWq*gorYBRMDAmz1a%?PTq!xSwb#O{Bolfu^0Z3R~<9RbJ77(>fEgvaS*TKD4Y&8 zjS0GJJu4k|uY%NR*6}WH#HuGf@uVRdxD&h5(idFl2{r4ZXvKgfeJgb4uWy)Bj?Ec* zXp1$74dFOjL~X77u4sVbNsn!bd11Q&2Bt}>%0^67pPdo(jLeldZug+wqadPjQ=n}F z`g|A?oZ-#O%at_@VhV;7wih;Pe&*U6U5Lz@4Ad7{*xEJ!JH*_-P>^H3cHSTU8E~wH zo#=bM%mV&6O1Z!=B$WK_4fTo+)QG5ayAqU*iR%?qUVOm@Xc1YqINniu6$__gSKE^2 zT3guEw^m{SEk_G|zzQJML2W~0B~f;+d*8WT zu8Fg~4!@qSwn3?zNAFzwdxM4^b`~_;cAjSTG`oyw6tcMkSGC)qNAMM>ZuBs4qll^a zu(&oHuqLwvq7fFTW@Z*ci-Yl8+?w)>#Or+D&r66!)jq_D(bK$5v)*?&KzhW*og1mRc;$f9}!s-FJBAuQ#kqh3x;)Gg6bftJM023@F%w zHM$=AM<^&O@JN4{z_TorR}&Hve(&c^}pzG0L1me zQmJ7V{L<3c&UsT5MMave%hK^QDKW{`aabh&+cF2Uvfxixd4ki@(5pX3`hXOC`dq-o z)Rc&#B42clV5G1GESbLu;#d-PiQITJpKzTDz!`+4C=1qN%~nQC53DW9hWyE1nLCV-!HY2Iz@Ms8MMbI?9-}<>qt+dc_fK$( zd_mo9RhxBUDfK?M4&)V5Jfl%kpdoWBJ$}~I{!>e|cDvDB&knl+BoO zRjQlQYK=%3Y&S8(vchtr_hU(AU6n}R*HMl0mY<)@S10ry1uN+~Et`=wzV9S1{~oTt zI$k5a!mg4Y4ub7yg0k1wq}e{FOh!MUblN$ky56Tl1b?S;r&PUT$69OP_j$@DS+^w= zCEeWwP4W8?eJ=UtJAL3+>NUWaPyWa&X!zoL_?}qzf$`{z%2uo>LiUALk_Xk@b_x>o zT=5w!N#q5?)Y+QxFV^}86Pib&AwNH7z}q+`|5M%T^}4F>f6Zkj6%`A=))F^VKJMkR zvNBVFtp3t^1zzm$ra`mjnnYv&iXJnw?B9)`C5OFME4 zU#U9&t*Dlk^9KEhUO%&%awPY~GglxSiVJ*o*j+|*wmn~NL!uZ6GW#jLTk5&ij3a*n zr)J^#XSGb&QfnQPs>$d;C3+QSP209!lV-BQMkbBsBb=v!2ahRWaW?@$xuF;D+gW5V z6jb_G^05RAYf}N{Jk0#?UYcl~*{>pey{pmxq_suIYTe~6PYD4(!kOl-v^x~)6xwm5 z$M;k+Ckb5TmZThIluz|NgU!gI>T8m4)zy`@q(&ra(3VxYOZRaOZ(5k~GQPzsv=3Ju zDmc)Gu+f?67>zVPAkWWpg3skLeuggdJ8)V6lcqQw3I8`hmE))-xf_y5N?f3f!HuNP zXQ|deVpC>f-7m^pPYUS?DaAcY77URcisA9`zvF3Gn)N1O6U%BgX~}*>5;Ehh5IzpEF>^~>fpcc#Vm!~%j@~a_7B{TTMFGN&oM3lmbPxh|I>qh% z2^)-p$wgemY|^n&cgS!Hji&Pm{Oz)(ZnG&yabi+BK%;ZpKTwi+|Jz_vifw&R+`&h3 zko;v&R0d~0_-Wtd$^b(wjozGNOAzxtoC`Ypw>!O#W|$q|@~pZG9;>n-8O8~1J4eXC zx&hagGKUU3>=Kx9Kot@16nN4>FDorgc*gb58E{s)?TB>~`DC&e9F<(y+*};|CSVi@ zadWpr7J`K6=0rRrv}}*PR$p(#|1X6KHW+RacE*ZverKAb%iiWOvrX2a_=VqJgUkb< z+5LjPZ?b>)$201xhnw_wCcMj%lOhd`jPB?II)23nK;icF0@q~srhkc>QX!1hTsOp!)6cGcEkTgo5UB1vYuCMMKyg}0KXd9m=$r}H$_ zdFT@pqoS-upr88H45rFDI)U-|qGM7UYjY6-uMaYBstJe*oi#ct^!jMksn0m{%>bTM(KC7i>%jDxrP;jboPTR!%rd5AAzHZTQ=8m zAW36;{={mwJSY$)_Q$F7-*|TlP^37#W!KLMt9b+N7@Eo!h)UKzs`hxedDwP$qJtmb z)N4O1{H`*N%Y0m3rm!J7xvF>H8gn_eqU>_o6!|78$mgC4;PYyF2FF3eR8&QQ9e&n@uBi!Lsi!HSAI^WQ^doSD` z0R6tY-?XKym<9ZETugAmC#hAo$yjg0Lxq#$3)3wy4E;@PZ#BQ$3AH}9Fg)dCZpBO` z>nfIj`=s?oqfxXz4VVFp9ZhC6^akr5fG>MS!dsek+o2I?aTEf;0D6VSP#?+qr zo$6f!37+=Lp$A(&X71b-`7%r?w9En}0nc4#=uHUHk60R3$B0S=>^bs0x$Qz5Pi&n8 zVhxyHY{@CS9Nx?W&4xk{0!?gFYwd1&=E(zDaldI>I+t5E1LW<#L3aKA@6ojc4JF~7 z4Wp?vPFGswt1aux(hU3Ma6LZ#U_L?QE6*hYem9zQ9Y$hYJTd;Dmc^6^H!l_$@pVN% zO7VO@%?S(mC#YnTyXBdL*%2TSVJ5c^9$j)x{~&|T^w7iSVT-SrxAT`lBNIJTDHH2P zM5LOfP(dm_jIT8M?%byu_^G;Id_H5fNI^bANxt|=NePWgi(i}Mu`}D-J@NAZ=&92I zjfIH*ft;j;aIt%skYAvosM2@AZyHf?8B$Ed3gU-W9GW zEBGIbTxH*UHrYh%nutBb>n=MbK)Z!_4mTePufGvF$dvti%Zchj7eow4(@c8WB_-m{ zNpemIfk$Q1=NQgRqfW&|{{05$1!0_c^3Qssns#*ZyG!R%3pz5I=Eq&>YK92J&KRhu z7O1|Z6Uo+Lk1eWI<;JaNmwb-@_^L^8U3RF1UcY`k&Cfj$j;C`%8$8{YTnGAoGGg6v zKfBDCAsKkh z+$8(|0^uAUu|; z;HdV>s;77;|HQyc7Ig)y_x33Zc?`bx&{uKSJMW%!+f9}?txQ^;-o{0ORdE3d>QsPr zQc5}%Uj%=7`UQ@fkDF_tr@IZo5g!G0kivfyAqv3J@F<0_raDvkP=Sw*P~Zl_HsdZ5 z)JpvN%}I)>Kx74m%A&A(^%{%YP%MzeKT-L~O`%opGt$yfQe1@E^VRTB$uC*Fh|lgs zduuBi8yl#YfTF^D);fa91@kEsyAea6w0`4iq@^bk;I$*@??LRC4@p#Ti7pS46WvHk zh($qW8j7=$k?!Isb+E^RXxsbx5r}nTz#lrSt`)0)8#->PLUy2!?t6C;?VvECFF^1Lc$&)Ea@lFop(|bv*^y*#!g}=}$xfuO)bP@{FZ;aFr{GQh_0zA5n!_O<5Q<0FZ@L50}+Y;6A^g5Hn`X zWS<1FbH^(dza%1Mrl$RW+B>t}x~}VvFU~VXQJhIpq(n-TL~+tYQj|nVmMy!s0;GZA zCXO4paM3T&e1`xHP@^w-iyNeA93V!4q#hC_@)TPWHBcnQd7kHa7TN!A9p1z(ioR5D z;wBF7y=UBe?S0nz?=@|^c>aR5w{_Ua$hZxUO<4bso+r>wGS-AWNxnqP=)|~aW@qOl{6>5k6=4jUnVPi&`FW~?z>^yJsJX?a7ZI97Ke-M~Eep+*!!1fo znUn~4S^T(3PtK%BK=YX3`riixUeALI4HqP1W<4dzaV~v^hJyYGfK~7uo`iN#|NMDd zItGZrABv3DXsU3o#@~gr$@S#fwRLT{q#WnO?wA$HuSI?;k95*CIOt<80{l8q*L7?N zQowUib^w;{1;`_$9kU>S08Is%yGxH9vA5s;TT4wzQXAtX*!Ou`@bfAxEc8fC+6rCJ z@?loZ7T~F>I%{|CKD23#=hRdH?5fq&oUyE|4D0FYvhs>@tE#B5vEdQhw{M@FK6TQQ zyHdyM>a!Z7B!i9haR0k^@7T?opV^a^CjyMTGv;IP%9YC+pXEBg)-GJEx4MfL?P7hs zU8t|Km#$s1+M090v7Knd`b+g17kll?Ki#+9eoZ8FC$xR>i3y%N3UC=8A7%9yYOJfP z%`VlS_h_;5iZVNTw8VX|SOoy^K%c7PbPaS2#t-`fZ6l83K`;RtHQovO3=mHn0UC@A z=siEb;C+UfH7p{=QqxlXoy^J2wEg?@+`lg)EmLDdb=?TFwzf|D&3}HPcWA>dUahs} zrU4rpn-%cev~$%bEHOIKZrr$`@hzZ^rb%0zfD_sv{N|u5;E&W6&M!jzLv_Ue4A4zG z7!Q1M{4ei8pk2gNsn=pB4@zV{9iI$)cV7hSOyj_3oH3`N&MgmL(H4e5s zlSG$HneoM%)l9y>zt>oXV{>CgELQ++b52Kw90 zOL{JC2W$e!NPoaM!K6l=@y8*57G|u&#fPjQKi~f4-FH1xcwhgZuWR?&r=QtxfBT{5 zbJ|$nw26sHd)(OMAg8&h#f}~;(>b5(dExfP>)+8cBJ6%4$^k`y&_Nd3&+uE$Tx!vyEdE}sOc|{;r?-JTRMjFwm_$MXAMSBW_ z!GRuo^_7>b^!PES5%1&^O>jnrhcyNm6G0yWRO#c;ceIg!Ud9Q4gy=i^fHA;1oI5=| ztvdB;GBfC)lXKfUyX;T5ZrSwAw0(N>57yG$>?UIzvJ>JGZDDRf;B86$6JvLv`O{T< z;iZfA@L`vY3{7b)t=Yx8D%+EgY=69QQ_q+bE!S+FjPdGQXy%g#)y0Dr1bBE?(Kczo z`lf1l9Ybx?e{DKNFN?p0yqEfP&70c6M1lNK@D{YGj4j&34c69K=W$EmNt3SfUGTJ9 zo?Y1mPtR$A5n&c$!OfPIM*Gz-e_?H{ZEng450gNaxP&obo@4g5z9MFfX+uoT{Zs^e zJcG7#ICL<9xd#mePPRC)!pvaWn48GwghM(rUQA7x4G^?kN0|RhFTSXK5tf+|fVF{v ze$VejZl1y6Ay1y!)z#&U@uQDEwog9!*!p_9CETVgD{Zfsb)2m%&0C^CTXZD8SaBAv z@2e8{5&Dcu2%@7f%d9MK*u>bhFDtSn;WaruV}}mrTfT%U^>294Xmg9Jt`Pv-h()WG zL7Iaw(d1BJo?Wi5kr3V|TCECn?GwHr-_oM(N!g9+?CFNjDdileDnMNESTW}!9r5Rz`q3`gutXA9HDQZaoF}5IlmE{oQv?~ z7{Zl;z;x|}3nZ_IpNsYhjUiP-j~+XAq}Z~vGX?xatEgB#qs@UBARO8TiB84LbscmFjSt2IfSNw$T_XyS zbJ^&B&OwVsy+=kyY)YVX9Prun#1~f{=~>QhORFR&C)lG0O>RQ0 zzj{tI?yyl!NTMP(tggDu#)il2&ewMZUY0eEf@NjUK0-9!ry!zE&DOXBJh9hvIR!g) zUZ6SC5B}*gb(W6%*wnbzYwh2`Tb|}ZFBF#($-S)yie__E9|%zI889If#gA#1by;pmg(b{jgM zg@f^fVz$1%q1m5+)26P4dE<}33==;R^6_8_M7@qVh6J3k@vMm!Y1hB?nlAuLMq^S1 zeER99HaIeD%@S;YGLl11P0UD0r~1nKEQ5lXEG0S7(o-eKQ&Su~#R!1KCnSlZ3M2^Z zEJz-0NJ=hCpw1HQvmoHG9$~`+(-I(&77>$RQ}YWBoX|XB%4}$Sq;ppUo}dt55n2gI zu5Vea>iy!?de7ZcRaxR0m5T(t>gsA8AQc@fw!eG(ZF}kJWh*&+NNpqk%$h~GQ@OyC zgz-8+$AyH%hzkt8hz=Nb1cG+B5K#$zQaFb2Mxzia03+_pF@y#Mx^o@0M|kob(2CFu zfu|6lq6FzD5MP)<^gQhkv}Uoc@u{t;w$jpLcJcgqk61)#lO-EX5D|(w*;!UrRwm$^ zA+VV2f|dxjs0h|Mi}-VXr)c%fiPGa9wqKrl;A90?sE-Ra$vjsYkM*4N6bX zbl`;cXJUNJ0ZqHW3;^MkmtVH@j7*KCWos80A^ii(ti15TRe{Asfq?<*5ZFc&cCMz* z8tKp3X-g5vBRXwiZdSl;#oLHx%_j+JlaF0|`Lv%60Z-pyW;%GVz(EuMtE#HfBSSso zy~g3;;$r*W-+b5GL4LAM)hSUEfNy{MTdIGZfYq>se7L=Q?V7ivsHnt>i;oFN3#6^9 z570b$PY4{SA28dYd8#NoZcPGnLjqxg0y6Dw?E-zh*4Ex`V`Jm0*M@^S`jjyd0@L&@ zeZDCm9r(BfK#60F1HRMuAzwK(m}6sO4xmUiLB!~B^*5R+5^bJ2Q>}Mq!_9De_N2IZ zJ!Malc*bLatr4rcaLO7Q+HG=T&SImttg52O8XFn~Qrq<$(N`dx_4d$Uih=kinkUCd z8vh_5`bwzV=@b?oXgGtScR}a4Sr5}PV^;kIxZ=&gG|v)D!FvNp476+T7fuMYo?CYH z>I)ioCpE%>?z?5T{Qpb9ZFkFaSr8mwe0tM*G$W1{uZgrxu@(ur9lr!LNH8nd7!gY_ zFPIa;#`CmDAOc{*#2m%HB)o}O!WSg)lkw=V9pS`XV&h_5osJ&^3=MMtA?Re`_N70> z^lsk#qYHz&^L18RTWhJL6_7w&S;bNPslXaq5oZ6Jw!FG#B>!7n!YNkZfiUl|SlbE{ zKzJH!b1Pvsx%kvNM;EN6Z^HT}R%~Q$!={(FZDCWh^weC-$j*1B&8!#T@vWzLUH%BQwp8lpMC4+$_t>%d#U!iX~`H`MPKY2M8^XcP7qSV-|C8hqx#_oKX}Xb3GC5-4m1Sf(N>`8 ziPrZRorCYzJ^^vE&QoXd9wDd`R42e){lyD*@xleqZ2$Uq{@RX+9%BL>zy9FY_SLQ1 zw$~TC4YzAAUiBb|C`}wrojmD2T#WP6r_Wl^p<>Z4Pv-@I0P`w^;lMsXF9U=3fp&fM z_1E_PFW{$xCbzz}`H{vcI>COGN?N~lk1JL83o>*zDi z_oTAE>Aj4L_h?Fj2KxH?1*m33g9JAj^Zqg+!kkI)0~yD)$NzX9fh3EI^TJ3Q4p0H) z4}d297U)9LnWo87Qi3J|F|7X> z<#f{Jem#YD`k2$ReWd{X_DIs;&bwu|Jd?5uo}SktW`c%jV|~ei6YFDbYnEmLLvgH^ z6&vl$K07bl(lXP1b|+4@BV1uZ624+iIACwF9@qA=g>PwAyS?JGJ%njm+CB;K05o`n z70gir3C0G~Mzg>^zyMCwtO1AcU7>*yM?&Ym%Q{G`_&GonKtg)rvx_YOrqi51O`}H|6Wvkl)7jb)S z!^o4gZIJ>Ud-kS^8OI4JY6i~O!Au`$(GygV{022xAVue-2@ks}WR8VZ=`Gk{Y;34}%P`4{040$Tyd;WM~C zDEvlnI$%-#TyW^&d=L3Iai7pNq3@x4BD90rg#Lnd^EgbeL~!9ta_7!n`{Z}O_cphS z=Hmh}(-NwK1H*a_K!)qcB+jxgWIGR131G&9@>`G^?#Xt9uY}_te*ryMFyuBXjsa{rJb89sAvP|JfcseBfY!HSRw6 z-~;>7kA7tDz4uemf7G=yfY%TpAgeZ?U`2#K$4TD+cp!xW8zA1h8`?uGoy;1DFTVWJ{e>_=uP!gx=;*LO^mcWq2gFpDQ9?XhbwU9&gd_^!4$?A2Fawfy`7RV-L2nzqx|j4Rp} z0+gYR{-v#^_rL#r%g)IWsNwzJCq5AG8b16S2L^|9 zO)w!MY8IN4$P_;2#+ZpWkdZRR};+P#*x=ZrLr* zs_dHWp3?#|3XhZ!-dM7fwb3 zG0_N^4b9x~kqJWClf?89Tx2c|m{~Bh^e8QThncyi$09wF2h$J>!xk4EvfSKk*CKrQ z@ke(5!F{{i&|vfPi(4Drlf;~#!@c#A2r$ZJiZzdaN^tu zQUn0N4lM!NoR%jo_St8j+t;`5>iIqPu(8FSJn69Zt}c7<;E{dy`4<8k4GuEm)js@0 zaBRh-MC23Ff&2bnvlj${3)F8EWUULPof6PAa293@2@ZFn74YFVg---0!WN;)#=hVg zoPmT2o*BxAga!l1U;YI`^X3HZbMV^-6!J`H{QC2E|uxV3MllFtR-g5B#oB#OGMutW``Q^p> z3l=BfO-Aoqx4x3V%(ip2)gG}3;7Q$=>L$bV^fZBq{SwSs683xDH;BN5JOS>Kqa}9e zV6lDu^&Rim>gsBHB(OCzJ8eV`0=|PDfClPkfe*AMn1b-hS{J|&kqnu6KUtqReSW_*!Yay5$#@n`9*It zKx%So)*72yJ*C5zXugdT5A@d`DJ;ksUVWy@CPqi>_1C{;HPxrxWDSVH5gijZ8SK$A zg`B<7F!CL(W2kQdhJ1$lAAm(+%!H1yP%_bqXqCtefB#{l4dVkfIiYL9JPI*K6Po77 zO#&>LR#8#r8pYrK<`WO*xN_~1ef~wGK;(iZQj1nmUTg!sLk_C9Nyp*yt}V+<+vDc! z98JdZ_vh-pPte#I*Sm~~T%^{s*hG;~ujnrTl@7pkpZ&QRcIeQ4^?kgpt}WQo+Edp` zbGDkOu^)_g`VtKpxy5w3U1nk6%H6f?cFVIXyWr_LEnBRJzqM+42eR$xkwVK8L&I@) zR$ydGjGa*I`K2YBTbvVfT6W-o&<{*JVs2tcG0|aGd#1uJT{vS$OZHoSc8Y{xf_3$b z+Vt$4X5dq{Akc%h$(_S@m|I9Vi@l4nMY;gQSEQ#KhuSChlb`&=!O5CtxYIK;o=rMA zX|G4r96NT*G9@4j3Jb01aIqC@%ga9?VOd}qnK?SP-_p`^^!tDvC@8W5w*3XFTb|{m zXIWNin!usy+T&JrrdopUh*efqSlRJomYf_fM!sfu?mhHqyDb4bI6sV>g@nbCEh0L` z<|JUcy9eAi1ROLyyI?D8qK%G2v+llL8yOsP0kkZkec(W$Xcg)oPRpr**)oP_0 z|M-Rx!HOyFi9l+H0F)@J{@CN7Q0fc&mcgcy;&7O-}*AAO?(CvD&_QAlkg z3wngT`R2Dp=Q7nd%6bRJ_1t;A7t}ZaP?QJ)6L!E8DGM%Nt`~SZwF{nh%QGrw{{_Xl VYay8}-FyH5002ovPDHLkV1jk&|0e(d diff --git a/images/SoC.png b/images/SoC.png deleted file mode 100644 index 9b8800fc393d0e805b47cd0a1ec40b5aaba01c4c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 68905 zcmdqJXH-*N*EJkOMLDP zAdm}$S1yB}5U=x=ffoWNb(!ao;%>SX@Slt35=s&fNLd8wsqrQJd+cR(oFI@J&iFqB zXfsB42n3fc_d-I`-4K&P^j@oVA4l=1l$2Cq^KO$|$wyY#ORdEgn8a7UPuQ!C_U!mt zjo#dd`W&2N@#aA+EBpQ1rJ*G9ap-I;x`V63uR9^ZYYFYPIbPE-ZW?#yd(Z^sT}n=< zbDHw8+pObt%lUcz5&;;+-=i%)g!$rs{u9n-ulk?gM3YRXUHJDGthZi>`X8^?O!Ze< zqAb?Osw#~Um&Y31O8WkNgZNRFbQsM*mK>!Ac6BgRS!m@!Cc(=69};KFnGwsAZd2J0 z6=HkO&rViaJ`hI7#hF+B`$1LFe9inDT1C2AXmHxhbjhIpx}{X>%DeOz=?2=PSWp}# zjrY4PcNe?zP1?evqoO3W3N)YG{+~|^Ixk;aM>i$i=F*q_u3eN-Z8P3qHEgJGc7o-< zJ#9fOkmq+YK4pO!DRcfw%+fpk!#`gkjw?DPg^cLdKPOS$Xz%KZX=7aKOpu&wi^z@P zcqz}ls^YstX+jVl+wwqeZKx>9xG6=*C8OA&K^=^8Ci&m%@;<|H0jc?2n{(yQTwe|b zy4@&p*XM;$-su)M_CN1|T>062<3v%|h(d*(v|px0Vqtqg_}&d{;?CrMt~5kq#0c5h zd2@=GBQ=Yv&>tUH_#;gd@}EIM#PcEK?Em~2@?M>QPV?V?L$WUjfY|%zkiYc*bo*K) z@L`8PRN3GE|LO}=XFmV@WjRu6T={O4hep&}fQ*{wmn;Em)BM6h-xq3Li`9YrN~=Nk zu{x*RKM+|K5I*UvgWok!IZF92OAPs5w?GOs^S|}sZ)ut^kL`p2&6775t1MB0AfQdN zrY%1BlOP{qK8G`iBr+={z5vmX>2q=r+$oq%AWM3SLp$rsm#YQ3RqRjSjeI@cUy~U& za7+1vzqP8OAN+NN!Id|SwM6Cgb#TKKIbIg$NQdcaj8}`~DW^zB$7l_{f_!Blrx%hP zud&P8gu^63G^r|E`P47O>gB1xL}zRN4(ybGsLCDOIOL-q5iFh0!Kk@rjV&q7eI!+O6%L^m)? zFozOP2!IJL7&ont?PqZrTvl)LRGLoy_$FOFo4p@8Rc0c#INy1?03XI@v^rGJJ)wYu%ODTV&yZS3C1aLKsDR3N+hqv^nF>&;E^9{M-JX9Q^ga13JEyDq6=De&(uM_U6*0 zJtET?$rg8q4bm#(dv2Tvb-OQeg7MMJlqRDQbbO%oUAxPIK;U32lLD2il4}P)i`6dH zmxH=(MDM3d9A!NZAkl2{bSZdQ!mz*GCwW#P9I!fEVpj=ypLwa`@HPd0VwcF-SuLm2 zKK5UQ=GrwMG5ehD4_7+ONqZ>r>h zMBTYWwttcBAvsif_f}xN;q*xk*9jo9#HrVUVUk5!@I-<8kXN<2d<|yIgSM{>QWen6 zKG5?T2t=L}1O+R>Gdn{C+)>Y*^OTQy-{TIS!S7Xd zfA~FyghcuiVNpbkjpFdb)?0h~!zKfl=I>?7r67XJUi?!LSsC%uj2|gCtvYh#uFa2*>V7&rTa#jKuVlPL@Y3xvup4o#wzIP)Z zyG2iE>bHNxP)*qLn2q{1%li3mP|KG0>6#U01}6V3FYzM+kmxNRfOXt-vf)(ezU|B; zmn9Q{TEU%f+8hs-pfijS8@V3{xigegltD>SN#E^(2iP_r#qFI;MdY?IoN%Xj?*wS3 zUue$xyQb2--*Xs2`u}{qq+v1p%T1A8b^)oiT)%eCIO))~nJ?i_`Hkyqx3Xo0+4@RO zlCQ+s3Cu3*pVde=1txh{2{Q!`YCc|F|D)r^%fsIHbXZt1H`S9`tMFBAz%Ho?EG~{i zGSs~LG#TxOgYmgyrXJ4ob?Crs-PS)AJ7mBcUC1Lt4}U&J|+NJJR~xU;+&*J4=dtxyz;%=%&MH)zm5u<71jN6 zYEv)I_bf-6rf0d&FMWH?h>s0MYv=vtGI`^xWc0A17tdqrf$1OoeWr1frs@*zSN6V8 z!}@9+a5+`haWt0q>7fTPJi3(vPb^iOZAw`I*B6rc<*ujqrM>F_v-gfSk`7a5gC6xS`%?CXid^YDWgKO@ z2#Mx?RyZMHf)nlXQt@=VzOb-JTSPJSW~$MZD(nQS=(!_fveHd}%NqB@NunuIPi~xT zAGk@iE!1H~8eh)a($8SqxO;LIocN`NKq*N(1Qkh~W_qTH<7GF0{@oNPOfih6>T&*F znHTn%=S)D`GD-zUyK;xcpMH=Z?H+>m-nA5Rw}(aMbpP-_S@EYZOberZNh9a@?A@#U z3-#*SfYdTuI;RICYm>bxm}8-E(@C$P-QFyi%D`pn^m zi0kOu-T|*hR?uVYSDap%)0(I*pH0d#bC|)~ti&@f^1e7b&MO1gec{^!{CmH`>`(}* z#{1-swZ0(aS_whT>4!i=llV)X=HIm$cUAG)WP`?F^l$&337xIzG0cn*^Udxhzl*i+ zPCd((dl&>`^I5N&LV>*VEMaa zZkHGHtt<)uMd!65csdL0^y~RvuF6Uc+{Y*tW$JMg=DovS^y>QL2`v%yHxc=vNXh={ z(`eW!UpxFUaQx*F7#A3Zul1u&&0!>N=& z^jOm6sR4goH)~nyn2&L?uqM$s?arxppa+74km6@Wu<_vwjhSAJtx17eX1H87k#Fp7 zC^wof|Lz3sQ3b5&xz9-X`amCx1hj}%H7&_w(6*hq>U@9XRZMcAb=(QY?HL?dr&j`5L+RQrysFkq8S9v$0x+X@S72bvmA+pgFO9 z@FIp?E7tQ7hjwRFd-Ts_hu>Pn-TB!D4XFc-9z;?7_S~z9PZbnNAgZY|a-jzpf!FGZ zc)(y*g#;mCwa-3K{~gy5O(N{!p6jcWk2_lb@}k0a^qG)zntjhHAq2uo2WqD*p_;Oh zLz(kR;UDyv?WA%H!}wbOj&)*fi7R~1cAg97u_X6?0BN*8%erzW@w*!D=`-7j5Z$WP zH|oKf`RXxN19_(Eq7OYNL8+{c-`!_lnNei9#mla~d*Gr3niFw30-{^*`C1SbnIrWs zO^RvJTmZpdTg$7moqU>)_+!6mg$QJc*ZlfZ;JwZGK^8c3f+n9ZYV&wM7~$yg+r&4= z88ZTxX%;)Rf3QAM*81wCl(1`^2oh~q@K!5>+2&(}vNyJs#Pgt;!m_oot9(zfex%L? z9tourcP_Dxkp4j}EX-$VHH3 zSfZ*g2_fq0h@ztsmsNLoD;a(EzCB{3+-Kn5n2JPvB!IO1?1_73NqO2eXKLo_gs|{5 zI}`|JY!?$!ap4wo5_%{Ag+hO0?&7bn=-+Q25iB%-9#iK^h2~@zEk&^KjKTd%q*m1y%oSg9 zPCVxpKBneOUWMifqwH!lGG)@hT9Re_dnX6K4=cZ7XytL+$f{J@(nx;}@C>Va`=<=m z5<^N#Ldg4ml{R0c6*QbI+f^hJ*0f|eFg3{&h{i4LT^Qyz5Ot%RbQ_YbFT#o~vk>B> zaBk~*v&*{f?FpfN6LE>vt-Sf*9#7zlJlWQkVd{HUk`1ZTJrpj-lwO4)LoZi(uk}tz ztM0XlC|Z7M^$84ID!?no$?*pFqHg8#iwH4M-60Fk&ZM~Pne+h&ApNLV{>_=f>*TV76k6q@zgY_gu_QZz@X8nNjP(?7CVq&L$=3XvIboBY0`KUp=l9 zH>ZI>NC>CkR`$=8j$tf4*=y%h`O*bC6>m9g4B%~9H!S<|MMXr9t&UN-{K%7%DYU$b zud|53ag?uSjT{*s6{bDF*V)8r9UB$sddP^mS^_a8I;)MLAz3=&oYZEbR^4pDW#(S3 zu>U#>tnoSgc#vYb2Hq6xin$_`(v{@)S--=1us{mHS6=67@x2G~r*wc1!9C8uE8>}a zPb7NflNWTnX7g5^G-e4FkpTctqNXtBm+e?3Nk<0+q8{j_>6O|SqMLk&uCLsqOPNw3 z>y7j!WO0JrptWgxgSx3c16V|57vL?BtVmFZ`j3{TZmdM>xW}&_Zgcl%Mi@$qojdr| zuUDhdCoE#rvH);Drg^%mQbtZkkwg!g*eH^n^%}8n+$5aNeI7JeM!jZ#Gx_nxW@i)Bz;I_p+^gZO$N2Y{Ey(%_Cw9 zw7#Q8&5fA$Kh2zZtQCo&08D>fe|8|68r8jhvMH8yz8wKWetippT&Z}C)IDyEvFjz` z?#$}4cJ-*(+ZoHH)!s;Z>AQQY$Y51Wxvx}8>FVGm$a|g(cyw}=`x3AHbgOd*Cp^Tt zvtPo@>}frwykYql3y^P|l`PE);V&uu={ywt}O(Ww6p zVeXN)SyXc#*ezi4Dm?{$nJf{N;&vW+yeIhbph{q7M@97L`7sRVp}x*LbPd4DM}*v~ z;!Bgg^c7ndAmY>n@FB=eru{X3t?*IC^}GmMtQXOEPDw|q8Zv9^udOMAaoGeR(^C$%4yAYkYMf;Q7}Yj6DTB- z$Rv--1^&NjEjTB)`kyqoZKjdY#%kDZ+)HTrj9Ba%*T|NSi80ZY9Aa89=MS9~-YIOs zQ#PD>L;V24nLf{9RvMG_z--0DR=qe9*qWD3dRC-;QmYp(>3^ApRi-irV}c0eWaIdr zSjHtXzr>hR=fu)q(Sw$GYBi7+$s|*7#_4lHjAT45IqimSM&o9Ng`G)Kd`-t=(b?fpQwRygtG%O#BstYqa3G`pD; z=#gMfP}pgMst#UEc=4%Wvl zXMY9HW}&?{oA##tDf;oWn$uGEEtdVQ83fN&fs}6c|8hx@rMvB$fC@(SXDgTr>`GFI zya0U&)7cD#Us{hCF1h;M=(p-6t(pH4-Crex$ol{vVhMOY9;(hZMnA+~QvP-k`7HhcakTcKk%vd}1LCZ3sGK?=$JjVHz7KRN zK*V)SyAq#PzW#Bcj#*&667^;8(6~EUaHo@vVj=9fX&NV@-5piD{Z<}KI?fFdNVZUu z1L!24U`^0b=D%qjIF_FIzZs=l0(oh7^@*xH_g8mt0HLY>aCu=+C@c?4Nl{{fdGn-r zuI3NG{rn0H8lKT<+Mf~v_J|JF<5J2^fc%g+*_`9_4%NE;|3FHt#K} zL9=&bG9yIgUmCdFa@m-u`_s(^2K)B|VC6oTFhSb63h7l@NyQoY3W}T`&H<*4yB*Uc zeBVE4FUMN*@_+9$@z%Vw@aT0h>74-$l|I<{9*YjHQYM0dhnJgTcfnj?^n((W`(;3* zZCwTI9{#VQ=p1uZ3QR|KN!z0=p9XRoVm0_Zn|!rUUFKU4-Wdt<`d_|kqf)hMt{@b0 zia6q1pWC!VgwRW8tT-vtTJ`5t%Slz3>>3^~Hnm23J@yT2me=CRv%yLaWN3&W3$;gO zpQ^2MTx}1Ra`Fq9?A)@Sye=b>2KWi-`kY1}d=A=f+DYs99u0nHse7q0eCZV^u~UU8#+o595V zUDtF~H1FY{T_TgE*^1kmcaN9g)sSDMCT(Ukp!LA> zWTf6IDaY42Up>}A0~P{^U*z%Xv+Z3XvPQl~+HXB5S(+t1JObc)aPuDW<1Ga4MeNZT z*6EAZ*TzPD-RgI@!x+w}j?Xsj(uo*_<7^v>Y8r7%e##j}=R544>s2h4=cn!EJyJ7& zBE5ARTocA>BKP9p6H2&EoWV_@RX&F*(>L=b`I zY1k(z)Q~(kJA&LYNK`3!IM8k+L%Zd}sc$#)Sfgv4+%0lfIrROvx476NUoo|?$J}l0x^F4Fat#kY!mwg%PtPtmG zt}Y?uije_0=qVc+HrcUcwb>`%=oiSdk>_WdFjStJpL+L_C{tLVmm;IzDG{IJJhvr^ z+3$JO$JgWLsnp8ghmOeb$B^m6pkc<8vwe0DW}|fkPpz~RW(Tb_iLZj(rb(rwU=zQY z*s5pwD`F~_#nwH^*JF@&4|R_l#lOk`@dGzJK<`qrJ{YIy_P52Q@@Y zDFcCIda7$f21@K#mN>rn|86P^y{!buJeE}VZ+jy-q#Ns}hjTB#ZA_t?pd<_N^`-u} zgYlkT{;5Wz*DCCgH1ATYAB*B8W^K(%(I%_VFk*x^TvzJEmFhI_Vu?$=Ro`u0Q9H^a zgZeP?rG?PsxJ1DD?xDjgQE?#U|&uFn;N=z$vwTP{qRAmIR5Y#)k{;9s&MdvY8 z3f^bO`+Qyp?}Djf#=-g%52}3(QcBv_fqc|g&^k=*<%;>RZ%&;b_|)Uh{d!A{v1Na40r0{c{qdnbHWd&R)C-HzgivJziC4Kc5P^X?+lxNmNrl9E`u<$F4YhM^P>@VFRdqEzu(P{^}Ky?TqRjR%~Nsxq^ymBax#d~ zcX|KQP=Qt`;LoWo+tNAwHk+_{K*YsVY<}I-drZ5 z_vD!L+B90A9%QBUwcS5n^OFXgFaNi@m-f?uN+?B#!kxg~mQQ!>)E1sbd2%Ks4CcKo zsQ^L=L$LSlIGrZXC#h1G(yprIJ4zK8LOZhfh_G!vc@17C1Y=DuaJlOX14Z&rt%$rh z<9a4#*Wyl^(kG&j2nUZ>#Xh@RQ$7tJdBb*jzn@~Pc--UdPtZz$Az|sIU=)i2NW+fr zMQCnGZl7O*w1kVFF`%=5g(mu6f zBX{Gm8Y+}2(vyjc{LZ^1lK57~vN&IWM3b?bYiH>0S18F> z*stnR=g_u}W$RgvOZzRZdn#GUQpZXX?N|)OaVQnom!gn8#X0hTtmKZsGS)@HQ(yWyY6}G8(KNK^GUlnxmJ^aB0DUx zD=uhbNBwd8>m^Pr59-uCz+-&)aIZ@0L(rYwa(=Z+i@x?>;p7`y;ZDyzUfIX6|Csp* z=Ao3dL6+Yde6GZAc(5?))rJD}L9}5PAQ1X$Ho0>EpZM&@5ysVOOIetk3US1_?!})2 zxNOQ325%DzWZpdPveV`|m-!V3iBf-`{-gD`>lz)uW1uThFPBu&_k?Z1%^4p_Ro@Wo zLL$E{NuBJiXw2WM7Z&UfIZERRnth|qK9rpL0wv0Jn#)lc zG6%+mI?OD*EqHq*QfQo5UBqM^r>o69Cf{LZ$Cp1a{ZwVN&n2!X0T4dAk1th(5JHe# z!q@SJrL4ASFJ(^99F>0(-ir%@wEYk%AtWNd`iRmb1tr7iqe+K${yRMc!trJCRdO8@ z_dC`TiMc?s6uBRCRBYHJD;T^@^%7V!qD|lZ+`=>o3IKM-?XsB!;>j}CTBKHhANr5W`&-B z(eqsGS?uU}7bsVqOe)rc>=^zuGt)HLKp~&M6zRn+b~LZ0lRMZf6L!o5x-E+3vNo>F z0Mc9<1(=3%-6uR1^w!SacognO;d40Sci$^`)Og8UhX7(q;mXH-sAgBcxhZK{`z|>X z**(8y&YkvsLrjO6t$Zu%MFm`!t)umou5*Em3yn5^zC|s%oA9H8FXx%9h|SbI1*P&O zuAmgN8h+Qo3{Jk8u%iIa9K1?KxG|Pn-8L{wzPn&*G;1H1;IZ4qzd)^RNVpFqmM6DY z@KSleJb8tV}4tq}90?55zc8@RTBoy9|5N~ ziD2T+UaiX5JatMn`a*M?H5A*vzEEXv_ublU)PRMW34#qV`BJL&i8e4k{8iYt5)b#;ZOsVU-x^4}Z!(;)Fk|Lk#qAp?I zmYWB0K-h+9rn!2Cgd_Q;5*S1nQbCsuq3oe+7(ZQ>O zqAsfg*Ujw#86roo2_;r{U!iI^u#~uIrljer-UHoiyx?U>3XC(55Ml05XPQnsStq)fK+tV&-RMKf-f~lOpr&oD#pe8M_Gx%4%SB;oSpGIA zGKU;Gs1VzkCU!bAG-BL@Ne11JU3ApsuY;4FN+m~*XI+J=f=TFHx-qCj%)>{9o3y7aU0{m{%=xQ<(R6c=#|A z41Ya84}?D*?4e4s(k1P(fB4&FfHFc8*3+G$w=#u07gUPh(oP%Zy=66Qdsm_RlTL+M znIqvb;3M-W4$!m zBK*|MW;j0+5*_q&lnCGJNtW)i@7!B<5W>sj>I~#yaRE69UAtD?@HXD|Cf+v{MkmNK z{mD^<6&f~U3|F(~E?Ot!v{2HdD&E{^*o<`-VpjZ!Ut#Ut#d7A!4z~=P`{-8{ys1QX z{wO&AxFp7-%jo*c(C>_b&tpe`Nsf$RaXeeW{@5O)0WQw1 zTB@iNt<-rotFR%Ed|xQ{&zJverU2%hGU*#xjtlJ03o+P!n;MbpIz;&4LbhfYP+Pm1 zr;7|jkA(-~SRT*TKr#H}desfp`J7Cnp1IGA^hFx&98T^43mHU)7#i(QG$l)T`Rdaf zjIQirhtmNUQfeP_>N=~3o6W{~ZO^Xso3u;5_4s3VCzUSPOAV!zDb2|=Y+)+nfe5_C z9CM{-;AAmLrA^chDN=!*!qKJp98_5*P~Z7GnrNH9BB{Gl?>$M|aBtV>VX1{|%@G}i z7~QIjprajLm_B-SkTl!8KfsG|RDzS-eKuFfg(gAsYZ&dBaU0hJ>f~rCb2-T_BbR>Z zUK1inj6?^l6ffOABSlke20e%>0fN9AfG@>ky6b!LWS)d!0 z1`Jm=1^)XZ|Dz*Wdw||lwNB>AppK7|o8k84pMH&OX++8@LK@yxN5sH3Apau&MhS!yCi4r!iP`Q^WF~ z>-z5qRj&z$z5!RvqHWQq(%O2JKdoh(Ld>zuZAoIR*&#w8ugZG(cjhhNG{z_7{;Oh8 zq1P>!m~YJkBS)IYZu67;dCkIj34;c5;C_{t&X{r0MkrJPU=%=)Zt%ky(g^On1nj*3 z3LJMP(v^Hvx3{I+W`DF(hbO&Ow%bZ_@-;GtD_19u=Kpwl%x19$#Zm)35byQNK0V)s zZQcMbnXhz)-c7)SyLXlx#Hr{c8HaHDT?Jo%9P%HXZ&Mjt066m7o_lg+tSN^;GuW=|hUh1;?KvImWh4`}{!I z@Bjgad4ImZjr~lit9h6(jyr;}FnOtpo$bNPe<=%1yQpmfd!J6`;DUuHEaPX>l+%)O zbk8Sx0z>OU-KxEw#H-?J@%(lSO@Py`v}nIz)n9$v1vCC>^;y0|f))oYsVe%9Sh7k( z4PzQ`TlMvCK2r^tPy{wG)QXJQapYds1xR?f!`yG+jAWX>Jd>xE`Q;`ZNTL!6*?iEv zs}!&W1|+LH*&wh6N_omf{UGntvpY)=JwG&MlKVv8+OT=fJ6JN+DNC|wL9Z!#xn^R= zeg4{8v%O__FOX~#2m0_1kAXiuJ-JUze)oPNro=lxEA6I4g}e_-uWnvkpxk)a_2YoVgh7O-uYph-)DV2zRpIE zC_Ia%bZ5v~aDPByg-Gl$UwAlyU1lzA=Yi@=Ll2|=d##|32|O#66mnWrJYGz)TRz!@ z=cYj2)C~>y>}1%t9{vP;>4WZ`nm4a3j%R2|PX(WVR$0-yhuO~%6F>C*rOKHrRu#vg zq6VLg7omUe{67|IFf3Dd!Fb@0vmLA9-lj%Us$f)V(8m`|%~_Q5@cGu&;d3+27r1%q z&JL#9F+T!6zz+aH8*BcCMah%f5vR}oviLb@L*>m=_6eyo^wXd3kg|ehOvi{Bs|p3V z-UaU|-)r3V00I>-g#%xu?YBeFPv!YG&?wMBRFD718b0f)+CeHT$))lfbShTB9mk00 z2VFNN?srUpb3Bv`@{peSx!2230$}|@SXUB13PB{&%BvY|$M&x*NTOxAd~i z6RqVhQGF0@Oq^M;pK5dXyeI3-OZye zh4e!Gx*G^@z)jxd0Jj{x;o589@#V8a3xn1lq(XE2X<4~IQ30V z&(tB|-0t@uq+V+m%JF9Ujs7x|#1~4%eH$bzFhX*?^IHMoB<;d@70@qcP3S+m zOA!*$*eF?PJBeML^=39TGXt<{J&TI*{T!LA=%@slNy-4&9Ro%HkjFbsfj4%M>_Dkz z)<9A)iY5nMrGAqIiB`^0fhlu0Ze6fJH~I^Ky9o@b*)&hBIC9g!l|k-`Y?ae^9bi=9 z5fv626T#6b1_8dObBr1#hE3C1ALl~wswN;T7HS5&hpg!}yy{x`F9UbG8Q|w0Yvrp; zy-iy#G=itv)~_%J!w+Xe2WlNmf(aq=Vt}s6yv`)8n)J-ZVjxdVnk4)-5Fk4px27fV zj(a6Q=%vOW|KvRv6m-dRTzF(cAnqxE=jByvP&0@iu**ScHXiM`$Sn^AtvMRNx2*tSFMv1ZGPuR6uK!sXG``xaC>616unDN6FzVDh+DV@9>+Qpj z$t?4rR^Hynj7D{f;kt4+pcl@a#sRxn{37ksC4?gdn>3mS#dQyb^mvP zfB>bVvbS3W((-(lwB%&EVE@^4J~}(!#b}m=SvT$5p36VB z+rcy}fnR2C%(|rA&XQ+!s7L`XS(4EU-9$`8+yF}=+F&{jzkA?^0|D&!fu^hS%9{_| z%)W;RM)^}C+%Xjwb4WtJedGFL8FGXq1A&0ybhe4R1-F>Lm2vK%^5=mx3IF%J$ey$v z)hRGF8e&!wun<@q@Vf~fhjI&Wl}bK7>Y`F@J*$4PvZah0zmd%mTq9Bgc5BR0yYiJZ zA6q$m!<=B@xTd~uzYOTde+q(7`fA&YN2Na|H@;nJqkW&5Y1rh+?D}p-kPvx4d*LuU zwES2QqDmt{0Wt?L9RGX#@7)*wtVc*l%g#cFDYHqce!=4rZ?Lt3{|0zF2!#K9>i^5e zBf2&^0sHq19`n~doF)QTuyxzNX)OW4FFmU=jRHb|2X2Ae?+FcKxB31lxyp@S9<|NE zlq?~s9UN<0Pi9R_$2)CitL8GVj0Z-PDU_S&jP!&P$F;#O!K2oJLob^7pFAP{dTFn? zVFNFP#ql5>!J#gz4;+6BDQ3z<PGX4Ex7x!e_pw*71e~GR)t;z%X}u-Jdz0D}OkP-0kL7-`P=$e=PC5Ly3R#1)zw_Ia{~bn~bW_f56&OnLjNrZmZOL=qU$l#KO&=suDhvGh<*h7w z^!DiLY#>G34}Pk6FmpMJ8J|TTBG4k@-A&-QlAGe1D3CUxAY?x+?$O;3&?DGb=#c7u z<@-r86NQ%P(ibl!PRv(&il$tQbHy(Gf@0vz!LGx!as9^jj7fW2bOdbeaQBHk73P$I zn;%5|0`1?ZY;m{>4F=8zp8R=xyYWAfOs4T{i0+|VFZJ*B@QgXSAF_+Rw1PP}Phq~$ z+m#~P!j0HR7_@w2`Bo24@hn=q(#amXzX~+YpJZk z^z5ncf>ItbjCI&^-={DpQ@QFaj8MLhb>1l>q3~KXuG%Oo?Jg8CymZ~Pt=wM4f*ocs z(fbNNApoCjrW?kl3_FVS}?+AvsCaain9nH zznZ6Vu9x~Fd3ScoW~m)HC(Ia-Yd=ML-*r?h0%p`>Hkjo`xXnoT+#@HJbou>?wTZfl zJzL!Ak{HF+j>V;5K{2p6Zn4v;#R~xLNO7Z)?7zju2dn_|H`y>wz3TW^qxfvm?|&v* zzB?zR2^!$|Pr_>$kcm0TuS5AF#LnGYj%H>GHhL#nX&G*GHWlA?)jsm*06gjdZNIKI z-1^|KOwuYYz__;S^b1!_l6kj_`5f7}BR3x-CA5JH`ZalTCWtYILiDf&8fy$yV29CD zVvih39$_=eZ43=l!IskSSO9I?9W{gmcMB?H}jJ#8-ek$kaa)7k-;bCXXH9V97m-VKr>JjzORzE+esdyU;{->(HXUsnyjcQ>9U&i9s?~SFU zpnunAH0@!+UElCk_mn@Gg&%rABIzd*3qtnf?_AVA9Y@+3mQ;hyc;(JteU$OUB=q0J z7*04sY-%2D2N|n@dVpUUGms%L!YV7K5@Zboq$yo6PEwc zR{BGRiCqhQ1phN&N(4(Y1ivQ_>}SZenEw;EC9EeHK>rKab#nKB`m26Ey8C}AgWfw* z!^?e{56>r@dOWHrS(LND_S=v~kTUmx`71cJ4uI-kfu@B{9$+`5DKSKk9J@u&y;bJ9 z)6UX*j}8crbWG#QqSZiS;)xBeZ5tZn^~TicLp2tX?3(4mX!*&bHyIGX{?-R9O{+18 zXYV>r_>6yE1ddx-{!6b-i=>DVO_Jy=sHY_>2-!|F@ zYv58Q9C@2lR~+my z@7Oi%Yexw+PtQFA3h8QpHmS(rwEqVar|niMFJ<#P8?EHf)y5X#IIvm8dnSnl^XnX> zB=W+uwiEkN(>OVAU<|u~kCVGJ=SG46CId1yVg+oG$^lL~v;{D!Bdh$8-7B>Ya~3~7 zUaIuM+SYA#b#(CRs;0gUssKAPn6r(|bATO?IlGLU9BL%oIqXwh$-1{TT+*v-qw}Nt zO=la2)d%;DNyR|==HK1y+|L*p3iR&0>tTnlSNwoPv$*GR`oTa0am}4sc!R(5?0nSi z(1FMrVLHC_yAA`)p2(B#9eZC6F*IAg$!V`7MIR_Y7!j+Va7#500$C!wD|5}{946|q z^Hv}~?FDNMIXlJL8SwL2oSz=C$4CrTSX*6!kh3J)e+)j_3V=}1E-*AX3l_97NztRt zxqpTavAJ-cPe)%!gTc@HB77g%K`|*ZAzOBL+BoT!Hen}+1h_?|q-RQWC0ne4z^?kj zmt!5p64z9@H4XK+$Y)sX*_%I)B2cx$)tVDvQv$#k0Bbn@tQf7pn7it|KKz|ZYa zXLDAAI?+Fah;mncn?+l?^4`7_qoA zCq4+qjXFd?u(Ay|U3sn*M|jyET_^<}O6h_hzc|wxZ}_^V8s~oY+OAy+m!aR{1=zz_` z9bN}Ww9Lr1F%c{E!RU6L`L9ulHy(%loU3Y|cSypY2&7u-GX-%i_eOFFmXQu>FRglQ zHYkA}T#eH~v&oC|15d#$E*lAKRY;z` zV}b+Q2Wz-$ZB6)Q#_pu^P??g4mvW3386LU?kDI)XpoZq+WyWRw!Y}(nv9QV6;Mk17 z!!h*bbHnkTPeI#b45Yu6@BZ9eg83Pm-MkFB2(EJq(@DdvOHf5`-YZQWQiN@HqJ6?u zn=n?+9!p$&h1UE9`Q3eGpk2bv9W{de8g~uAXkCDV)Ud}=Tl;DKLBUBr%u(6nO%}=T z%kMR(NiV0K-uHI+A?fXL;~MtkN0`K{c_fk-b8{?M_z|5swU{|g;5wq`^G;cn0^(Cy z&pRmtj6=zHJ)&{@9vje?e!2L5=Ms)WzxrK*oTWS86$+6m^2nwY%BL5n$g3ji>n`#M z{GL7xEJ@_}V?VX#xtI05VLxq5>pL=Ujz(@^_@dZBJH*ZX-=n&uC`n_PrXQ@un}eUB~cW)NGf7a2TS z_}wv`rI@$)`rc1^2%bI>l4)w{O&APobt^OF9cnK09acXR;aD+w=OV28D>jnku!}I@ zgACvHV>D2x`YBHKGGkq@G&nLIFbKWKTHr9LU7w0!!5U$ti=H=ptB-CS}84lvl_ zNAH8$ck{?y&D<+5P&An1%ZhtArHPE_mSQ>G5&=(4B^NFlhP~ zBZPAISD$5SC^vug#0vOZAwS8kc4YkpVgQOo+ZU(WXa*=bIxZ(av$3>4A=lIsEQ(@L z$*Gz01w6^C55ZC5kpjhZ1C5Qs37_9@zUhXE!B&SXuZj4Q=R#F!S~{C!EA?Zappy#0WWJVNNP8U~ zYOXpexDfo+osHU6*?QPkR3=NwB~(MZ+)e^ty<|H@>9JBf1~Fd3V_~a+PCd z*zwgco!y(f>>Z%h2EM=K*5PVNeMt{>jW*||dG^l2(f=n8@R$iUH%?q&v=c3E)Erps zN?HMBD+@f4!o4jCW;fH528cFhe#qSvz~i9xii2TNp}PIVS>527E2ajn8(QUC?-~J9 zQ1GjyZZWZC_dDLN4Q$`408E5keE;pC>un>KGfel&{kTDr=3nSabBZv7+V|*WXi)Wc zr}LkoTp3_Rz3g5B4?eI)%v}@)kG6@aGBg{#gpNBkNvw0VNLGJPRO z@pJlx5`2Cq4aruBLt>mUdF8u!3c1h0j=iJ#>_E@MZmg1+>V^#Ql&L|pcO9LIXthR; zVmiRYz*OFpd+=)43$V^@lVVWdP9?<|Zg0|xh3pOK*|jHepL5dHI940$o&NsNPiXWs ziNoBB(?*ixq&j~pDBxLdZLcb-o{87PbPna00LyrTgXO#WD_TNs({~HckU7! z&n_*j#+2xiYS`)l`Gcw9nHsVIY4`(MKFF`1B3X^4LfH6?(TX6~^|6ON&2iUrsRQb4i^o2{M=cOU4E1)6n~N-1kIPxd$P8=&h*2j8&lPr6wa zI%xC99r-04Pw1c%@bcjtG`ckaFKtM664s`n37bnS-KyVqWG&N0Ru zGxxiJKVU7<&mkSn^C+kM`-AkQYZ;JCOW|ONG=Hy0y*qrIQxIGB$rFv-o{@ESWhYUk zno1wh_FR9wkeR1c#sB@ots^n{_x#*PGE%9|${p7`%uTr6qYhIrL;xF+1J~J>J&Bo_ zMm{?J)sjLSrIS)1rML3CTcOv2#Q-kPjpH5rtDU%gWhETV&dDzNvB+jGW-+Z-c`D%8 zGq?$)vu0@b-fQW0_|rcGqhF$RbGDBU@VfmbOn~fltcA!~o-ISx%S(ZyFF9*2m2GD( zbf=8pm908{&~fR}Yw1*{zt5dc=jHsQ$64BBYLOu$E|r-UEcexVufjSjIs4T33(`ko ze*&KxW@S_XrAM z5=Ewv!k?gGplW%^UsQzI8sc%ruYWf-`}VA?!ggJTJ-ml%tifru?vX@i6#d0qpyv^h z;>nL^f*O0pe)++{R|{*yjf8gRtC7*5e=X+#v>`2>XX62J3v#&c=&pa1Kym3?)AUUB zYmfZI54}jzwkW=mSnlvnM^k`Kn9zq`L?FMn3gwV-0M1Y5Lo!O~~={ zV)L-Y%9q@!vQ1*Zy~x`{;}NzY)g#rf^asB%p51tr?7rjJpNeKnN&-{5XgdSP?-M>0 zYqCDlN6JY?oAJJV$Ynl^q049FQtMx8#7dKA>8HviH;WxTfhWeQ^dv(ta}K;=mh8qU z@rcE&OLW6o(Y@Xv>ovI2aY6ecgK4v_em8@us1uW3nKVi=XWgFZk#R9Vb?C7)y0+@m zCkE%Q8dJ6X*&EPrcH&_4Zr$hD#1I5R{|B8w-{n08B^R0fL()E-UV-|@Ia9{$U*q)D z!Uvl)jpxAR$O_?CDu-!1dJh1MvUPW{Oh})Yoci<&;~6Fp7?CE|gUpp7j%o2~(IZ0M zL}v%i=3^kUDXbRc4;50u);Bmxi95oSkDMwE06bDbwwn+a8Q(W5X3RT(+9fxf;kFt| zSNLlUntfp=4AlCPb7&i}Ag@~1mqXg0r1t=4rRwA{`{W5(Hl{OQLHXJ=o^8OQW4&n# zvg0ctSWM}2`8Gp+MWqxV+`#i7@2ibu%cWL#br=Lw|J^#l&g2ctMzIu9XI(G`=a_jl zDGEQ-K$@!jQAhxJ9&ql2$FFy_6?qkrj-nS5W_<_ZWw(}ojk+mh;Y6Ped{76un7)ajSrwFu}h!qa~q4DA-QUmUam zJ-2Q;^of+aPdCz4Jtc?5^?w6SSnO@5IK!EOTKWa%lUNna+1KZwbig-fdpXhG_qzsi z@Qy+qQ$de;Uv5?E>4&d&qvT4~own_ERfJfX0wt8Q5I7Cy#Pdr3!6=bR)c(1(;kME( zVbn(n&U?jV?M;>sMRplxm)TEb>2H1K^aRTk-f-(mv6Lfk4+-RvgH~o5g^26Z!tlg+ zNPOnh#Zta&V*a2a6T@->%0;n6LS2N7bH4DS=r`QlJoJNV5KBba#Jo!-aBP$QP z=(9CesTGSy=14^xxVzb@5JS+Zz#}zv0{lY}KoI04u?8s%M?}pwrz#vF9R`j9yAfr@ zfN9KBV97yljjI{ek%w}mJ`pocTj(R@7jvSk6heRz5BnRpM#iBJN8h_k-J6J8a)d{% zi48meS;Qcd*W@HQZI^vS|Kmc!cAI~PD$H|;{%$wKe+zc-Vp{D1F)u3Iq5r%zGgcIE z)AEmpL@{b$?#vqcvW_rf?Lw71P%|9{e@v-?ig-T%IWfQX<8~h{22xIFMrPbD;iJHy z;H#S2o}uACc9TAL*I*Jnti6yL@rBw?N~w6;r;|#3Cq)2~_w3$#cP!T*dAiQmXFrLX zV34tE<;hBJFAA-khQIIng4XZcTGE_Ff^5%Z5$~=Yu8J4%IJLOGzd2+S5izv>a0Qej zEmb+jfJGSRZ_oeKBR+2R72A_VLzy@fGrAr3Xxx9+G0ZY20k47~%OOw3&6UmE(ae4}Sj_`{Vev|g{2 z*?ijl{;s&tbvVDGdYbaWuQ_?TM6c|Iq0OUdc9X0ES)KV_uQ{QY)j$G~5N$WeSTshP ztS1IQBw{6=1)u+IBeUH|^zVWsMX=bbdQ`5z^?IkQ7X(!-0(^3SOjLgcR-21rCwBCDPHN>Rf&2#yjzdtVW0Tx}qox5`wwsa@}*c z5ISty>6!Qo*-UZ{UWpWYF_)$3SI(zabu1o(`FO@#e6Z|)5h<0!hxfiqxrYg zSDcnw>b6Fu76@8X5Kl&9K$_KFs6)fWVBSTkf`0aF!UqB1T8%ki|>{g;l>|9r35PR zvgTq+3Zk!cQyLOvq)B3F1ef}ri3W}yN$Zg<5 z)w;*j^`z|Oia}XRR7JhnVvumlHq8CRVlOYSPT}e$p`P7ipyjtw9aLhc93E`)JLgzm z$6)z1QOh9qm*;K9wpsELc(Em0YPzf>-f+YY^)L%G(1x3$WN{Ms;!XYU@Hg&MVbz8& z;tfMB?}G3FuRN-STH7KVeqvC3Ctm(X9r-(>3}dI16zOD5ncGEG6r9Jm4)91AvwNnL z6;?_(o7Ar)Jf(y{Hct2UM;sccuERWGr96_iSWIC1>)T)NM6%f#sL0eCh|mBISgc9) zjrs?c_DmQr8K=Qr#T#=&o?kd(D1+6xk&Qj;SlA3f%U4(Gxm1+i`dNlGR`^{Sf#RJ~ zepevckhbgkvayFdFgdi0Qw}6RQpyydLBkXFo#5w|7jGh2yb|A70Ax+;F#816IP64> zjyK&ZL#BoVI-WL%uKNAA2JP{uGXF;hM%51@w_fN`VIh%q_NJg6s6sxPnG9N`16T*y z-1T;?PeI;g2BM>QzrOf`Q#vFF^r+N z^hqw3oSSavt%wTz2qAN)hiWqPYSye*Ro%2*EV#Jre5K>$lrZh$eP52R?jp8b^ntlPi_ zFVzn&HT|Q2r}5>Y$+1|1tTcq+hnK501^b9mF;R)3FBX6M$@j-3SBvt%vqTk|U74YN zuHqKjG4amt)jy9BnYzzd>OYo$NJj|#^Q-3bw1+|)eWk)uo$2*}Q{TK{tYpwvjfsp- z&5x@=IiZ7A)=tO)I`?vCB7I-6#R$Vj0$EU+1nxh-tm86+ueX|=f9?ej9OCT}(rj2f zp`&BRop)h=$D7d|8kw?c!iVK9k|LYyGcUQFIoov%@qqwy^HYvm5B^>fYa2 z)7c+!25oEI+*@yL6gzC_a(&W8)cJ7VsrYs}+**IVMccT%!r3wJx_X61$J-I$la!;P z2NKAPs&`DQp7+W(-AK8WCG77;Ikq(O3mvv~rjr}%XO+%Za59jZwY8Sm{yol{A+Ejy z>;AYHG5njfY2y;*m^t&N4Ig>iD-iqfuAYgV-6T*oif)`nyTefN`(QxQ$3?263kDSicH3Vxvr%~wyIpC4a?T7?u1=(K(LJLoc z(@jz8Mi~O%iadkicL`%MteI>Nkk29&#wNyw(UZTQpxtt36uWE5c4+6s`%tKsb5 z08SUYWP%2`M*d2PpuN(}G_7nqPy0DD6#wRKW#@UZ^u?rUrW%NS@nkNNc^#v~@N+kI z--jPCWDmd55&`~2Tm`Z-jCu;~%yWwb-cz|K%WYIr#>IdwC%I-D@Nx0?zR@nXQ9&$x zCDN9%N<{^>nM|!kGj;eK%!)o;8HmnqtUfc6tecv2GBkU|8UIJaPcdl6#qW!x`eFn< zp9^L?lFsY4K(_-oi1kzs>eZyhN9|!)1ic%Rrr+ZgJ^VqAai>bER1#|4VnXde{+TTE zZH|&Ub1cqI@&f;}YN)GqUDw-~vBr6^ow;UIwfq)JMfv>61K!891d$!sP9+dxRYvQ~ z5#G*zxU$>L_FQpsK?K7b4$SF{4Pxprr|^9Q@~3wJ^`%6~#_h4Q!O!uQt%6!ODXXeB zc`!?K@p3#^7PWn3P`@pl0QKbgJ{ho@^eitX(2GgGkfS} z3OMw8@~(0>c4igg%Tf@gajxNKT+R^d7Bo&+5rQ9bTDuPolYC}(rq&I&5L9g5K9D{ zQU$Ni|0b?E9`o~@_P9#rgS9t)YwV?K{#7$X0xkcB484Fdg#~4 zCoaY(b!ijnPMdceu6G(sK%#_iV)6rf=fmCC7G6;k5qxOANvDulT~kcmK4dpQMH{0n zvQAAb7BTjA&IMa?C25x=un_w!_$MxlL{dZwFB-(8A=X8f!2yk$rJO;mFjP&usePz_ zYpmLJlomhov*#Q6?+oQjcQ8INMzxNqUJ?@Y>jQ0-0HzN(Epyk<#fUBFV71YcftV=! zT3S<$C&2d^qiW^d_PT!D!m@5;h*EWT0?w&otGjDMJj9yK9z^kg419)ysE%G7YowGXGnApp&T zRYoYwhN9y@rd&wT79YG<@a*ru70pFZ0Qcgpvl!y(Ssz@OMrJHn`FhJiy8ze>zK32K zb23GX&?mAiB%~xb2sRb0Ozy5RR}I{%*T}{k6e>C8%i{tM_?ZT&|4>(ppi&e_#1cZrZ6@|VSCI*!vf#P z_z<1AT;`MxCve)+<*kr+fu>9&^EzFdb*v}x_vz<|5p3yQxbQc5SRFgFOwW#R%j>B3 zn1*J?n%{Gak>u--R{SV+eH2^ifjB$`KhENn)2u=;hlz(`}~4%9KMpLzv3! zncy+WAYC&FntVzW`g;X^FYzZhG-*88(?b6KUuLDle(~(|Y)&JF68n=&mkRK^ibN{8 zlKrSi>Iszwo9AU0d)(QI*&mYMJCN&NKTO@k?rzsFV-@kFyNmHk=IoO-FyhMQ@V3WN z<=w~p^X719i|#j*{KRuwd+A-1GaM<1n@6@qOODlT|C z31f>D^bn}y^zm@?H4FP#y2}{s{#((gt9+HH?q_yiiW#ANVzS*0J+R~w&f!*U2HJa zWQ)`8znbS9>*~p}r2lrQcb>7y=ZDD|IHK(;Ekg#3d){b)o8rw#Kmja8!;$_daFS$K?!)l;26*U3j~4qlRXno-6z) z)oJ_~tXTVk!3IHJ0@+>c7bqN^$l9VLAUbU(gi^cY?$(G%y-zX4;q3PNd9iKNl@hJ> zjzn4^=^}~s(hwRPiF-Rat*PN0_beI8wTCf z`3olm27~nJIDw{318TAf73wc|X7>wE>_XKjG-?^xzI9?N1x%rEzg<$*3gN=eZ5!SL z|Bg@pF%erzwZrEJHFR^#nkoX$RLaA`p^9ICbP2S`UpO$E#MY0Ze~B}9@kW#41@4~{ zIhHP&A((!^V`?4PNaQwOzPRD06j|U_DcxOP0!l{)&CNzh++2Z}ER@}g+-3+jN(_BCjGIwHw4DxY2ChyFJeKw; z2rTaAie!-qVPUn->e@^?y=6o{YRMpB+>5e}E2v2r1Q+ff@eXvfNPE7CGm+b@ag_d2c87+oEOLUtL)C*c zQjGh-T8gdk<#SWo-rcZc4*D|2#6`3+s*6r$dL3x)psKZeIE2U24&ib(0Om#F8UG;MfKQpqXx1lXSlU^n#xI#`YV!^hoZflb z0o!Uiu)HMxO`+ok7@d)3!1p_BW=^MCKlMK6dzTY6)Yuk(hqURc7H}F$s4s*m8*eIZ%h@EN|6bPXT9qc0^hl0@XSd(e5 zT>D<%c$?R;6}B-hDOR*MH!SA zUH@V*K1nr4AcRLTi81g=d`ge^fhc+fbzqy!IK#7%^ca!9FKTNXt48pK+P8+$N|C72 zEzor`_Kv2!ky$VH<$GD8Zq}u47tSo-E)lmMGfvCJVWe8F5<3(+StI1G_|0q|G68UU zh;V050xH9{oShLbV5g`l-BDkA57 z9m-|>c01GJpM=C-lA)=1HHekLOQD}`tm^Vs1qDIzUabDd0K|s%_Fq9b$o|y+vNT4e zcpn`08ixgaFs4o(X5WCuP;EgIQB|GyLc?fWvWHMTpAqf*%mGa+L|BSXBsmLVB|FsLvmgS2uV5s~I)%gEjfK zEpq(gJxxX(4ffhVz)|7%sQ7U;mnh44CI=-G_x~rR`~se>cc{hwXDvL{=1h{5qP345~M%~ z`@E^dsYlIs;FE```U$6;vm}-ZwT(BFPk!MXFT} z$=0(~fpZZJ{&~DGQ(*k#M!|3O3i-e2`d<|-z$c3aV_xyS6rIo4CR0BEW%Ai(ZD!0A zs{{wVY}Wx5eNt775-Hd6EkO=h;yQLfnQZn?H8Gr+da%`!wt$3C=2QTuj+3#hAy+)d z=K@pj%?NusZ!SuEWR&DyA+z$)M^y~H)Zp3k5Kdws#R%=24@K6VpiLbziT8CXrD`+y zM@dc{qN@v8y!HFi(6 z?E7I8fo$q^Vu-LyUUU@@DLuy}%9m>8>Lxs-4|<;xxkoGz(l?kymF^R;`$i-J83^NR z3r`8XCvba>Fy#q8uyU0QGyJ{j3Vac&*57M7Goik4pi7gmLyu2~y;KAQ=-uStC_$Vu z*GQpqRq1?td?V`2dR@}rlmkU=&(GxsX@757BrvJ$%19hSwi=V>8$0k@N0n4VwVTlO zaYby5H4jNr1EyBCpFWG5aqtOrV#}MwULLG&@F4ZjCFg`37Of#{P&6yVW@!fXOwrH@ zEDL9XUs53`YQ|-$opskfo@HhB!e>0Bcc-DIONVV>t3q%0M*GekTs)SEL76tSj!M~g zHSD2?G3oufX}PVP+s0}7m3sY!>=Y5E^k7vWzvv$XDt{@aYkmw(Dfr@Bx{g_v!0BsE zY%?&3jtcRDH2mTU%!JLXl^YfGN?~CKYO#U7=t5ncjgK30GW1PhtHgQNc>=017gcP3 zM&hJ@alnae(9|S|yjgi_4^XW??3Qcf7RaZ%gRv$7o%S|>p5=p4diuEM6*?7jAyEGMs=4-3T8@rLQpUS9?SAjzL`+%)6#XqI5X|azIc1=j>@*olK zky`Hz*4>*%xCeVB+7kkx2Fp?fAela?b;aWPD9smJP>Xtstfkl%C{STna=C#c)B746 z75waBcskYyP@O=Z)L%{~YNl_8Hlj|3X3RycgS7{v{O8JseZWjlNLOK6^1`LV+c6i+ z;UMuFUQ6<`{ikjoK34+l2V35LW>+5YajL*5E4e6%slx%9!Y79G_IJmpY>@TeTIpXh zO|UgwLq0A(8`%lUWevvBBGt#D2kKvE>6ycU%fbil3M86YnKLhI?m}a3PaBLtmI-;T zd`E)o@Nwgs_6a$s&(BH37=q+$qI7+x_+1f4uI55AehSQgI(=+fE>((R`8=f=KG9B7 z(fwMJJ=gZFef*S%Az?Wp2NmaR6}TCY0~^$T?sV0euJ;$aI~UxlNlV!A{!{)d1^Jjp zFr+O!sR=}sc#I;DH)LNfW)H3ojdH(qpm!SER=%%_?V73F$9YwUoI~?Tr2rlQ%*95@ z)z2o*9=mAV{}jLn0h$NDe!Gg*npxjbp-r=X4ZS%_S7xRe{2JBdxxL%^0lK3OlNA90 zgEblyNCyXg7GN#;W&?UwVW7j4mQIl=-}F|@X!W>!3vfCt@qM@lWOstptYpB%nB?x- zGr(>-I!NE0@@x;_+BFAMH)9S&9hBfQ39$`yr9I9G;_cC^$+&)pKSQvCA*Lss0u?9p zKV|@YDYSAq@$%@SPvYzgoD)(BBW|XH-&ix`BTzZ+-1y{idwt)2&X5<*K4oE`!_z8XBgi$LIoQ-YhSKriZIzE)RQq!7>OzR zSH~G!7jO6=7EQKdX6i{vLw7@#&qJD1X`r9@OEu6y{xTfRWa)B_0>#bL?l1pqdV1Vs#Z_vcaLk!kx?V`PQ#4 zhs$#C8pmQ|$xM~QJR8r0UwYR*-Jh?`JLS`A+<1p|+Cwy<2JFpg8nqf(5g!&KVA<@7 z=cQ|Qf5zT|3~ooX`hueUdrj3lf8BQ1eR_BgH=H?tqoI1klpT1z#%rg0nWCuA8Le3| zIxnGJ{3dcCk-RLL^N-K`IZsYCXX z06Rq0lAOB1Myf1N){e8pqUyeeBN*)^| zprI+rKf!<6+Ve_jqQ_a!eIpTQYD+@j$)@oA*#(FeP1Ak@WY#~UC%B#IBHSEFb^jYNnv2ZAt-qNUTYjoPIEt4~s zwa*8T>1dSu*Y=D%SbvJ>;`O8wTT?1$Vy&eS!hcYOOk{)0W4Fw{&SX>(7P7+EaGTIv zXKky>W`o%0z~k^1TC=)75oG_&CFu`w-X@$~q*h$Y?u#f$9DHo9xVsR2(7g3*urzLa zW4ZkkU*z36F3HJo-)e-UivFE%)84w{c3%8QOsgO0+^c_vf&RE!>s~(H#d=cUM`BTi zG+(B3D400m2$?9iRYaZ5`DFOerZu^lsV_cyN}7v)JjB&-ChQ53&o8)>LG)vsElPnVbbmu0vhu0J}4>8f-=Y z0PPh4rU1rd@i{>8ZXsZjY%QQ-Xc?>FfZxwKyhnWlABy_V^|m`T&Rjkq15p-C?DFMN zYFU45SrCbp@rfPNoQrPMryo*padypHuVL^0V*TLqba44{Pz2`P_1bx6WW>7wUXBfh zbU(yFuR^BcVnn)O@+Xw)%PV~~eGzH?Q>vZ)eA>_}=%R6aosUWPRUw&3M{)TVw8VUc z+h$2~dFwl$#RUQE`2Vm8!0Xrk+1d391|8MEZdIdrH&}EN)vk}r=TCpt6xIofWdEI! zrKM*t87sJi?w349_J2>?0wZ28|8?dCCdqh108s@nRV!=+bOYI$*;gMN9MpuocgD*- zk)ywwszEV{Qzd1E>ng>B2nb5j(qT&h_#AF%*I&#p>%A)T7=7>(2%_-b9<4LFA)toiwX&DYS%j-Y2u9nVK2Pw!ViG<(V2&MO?8f}PWh;_T zzwDmsf@0nHyLcgbY!)cTmwP)lKt+$Oljg|z(D56;RW#Kt>~t@a|2GV=<8BF^tdU64~4TWP=WsoSCpO*42nblC~1HFXr{lm78}!9ub#0%-*%OyPN?pX%!3%Pfgizrz&l=H<|obN5m zRr=mXIgsRMran5Frj>@fuOdrM=Us6lKd6;?D3I70+cw`$ofHx_cxbeb_mk-(h$$Ry zI;YqZ9GgdE$mHKL^Ht`HMBQuEDZIqcWX~^JzQTe!X6*T$OPZ9&rGy0vD}_D6%Dhi@ z$P-EDnwH_-C*dm^dY&P<2&vDP6_TI-Gn_ZiZ9a%1uqP~tuYc03kJ#QHFjO|UH=;hO zhRU{&mejX>agd;d8g01f+JWd)UoRa#3&uXDk}b?o-im=B=9x+Y$G=UuEK`-hg$egL zR=)Gh%H9C?oI0W)h{mH6fmIt?HCZ}#k50yCiQl;IyrvSuTe@$+OFq8g*7fGAHW~YPMDOCJcaSMQTmn-9q)Hz`^)d}rQNRmq3{BJ0>6cefA}JOjZve`? zF#`CBKIa7+Z4+BQ#GOxfImM-2!8g- zT(ih#@tI2WL6M50LKbXxY4XL=kqqkl`8_m3dx757Sv-{@*5cPK@pT6wEn@F;2a&xr ztlToKmd2vsbd3-JH31<>4q2p`S)8gUs%oR^DlB}Wyvqbr3=o?1OqE)1-6zn;sDc=Y zXlucN2@3|70?4Emdrv+-OqCMvFm8MFiR(*0C(sfdjt^!+u+{m&n15E=#l`8&Lu7## zrDxVb%Z{S`Oa0$4Z5hXQ!`IgGBm=`kh3?FRsR`eFi?UAl79XUs?p73=kC4o!+J|yj z!U`SjSE8kN*pTP%Y*)q z(n7bFtDoQ9(RUQMQrwV6x}WNJ90xxzU+MoY*DlZ4x1E+w^`h)5Foi|T#onGdyN^CV4vk(^fvLhnW2RDGA9@_wz03PljS08fN#a zJBVVb>Ce4>Tk-R17SrYr#IwcmAMbZI>hwTILfn@?blqA|8_nlxIn9+lzgoZ}F;}qO zCZn55Pz9Bc{cuaq7x^)%Cgz1$8&a}J{0FREi@zm$w#(jFHzfm!(xBrw*1(o>N#4KU z7`WhspGe0yn>oZK$O7g@w5vE|>?UFgfhkk2bK8vPnK zatGrG5@c%X8_G4ppK;qN?(fbN{#AFORJ2Mlk^Qr2rU3s4HNXXkkvgAq<2OaY-ScxI z1*)SWozFCP*mA$5-xUg1(G8Sx8gVmDsi4F?dp1`EwL ztV6C$@i@XV-XpTaI513|hbvrhe1H|~urQQcJ!@GQnJ~XOA@<=J4rBgmWkq@)k&S-ZQe>9vBF=d5I)4IH4d>HLq zNok_`*AsWii}J%eJaOJe&&$pso=bI3_oXmf9^8 zXV!}<8IuCjjuPf2YHi>6OUgI-5S(5S^B`wW5c`%RQ|96w&D9>U&rE5$gN4$;nEu4i znMFa%nL)qcf}?eL-}_(Qr;~EFIlD@vVh^52&Q6-M?+qN|DE+4pS#=qB$3+rv<-ONT zQ~yDxWuZ#BQF!N6lKDjZwqo~q=<>$K8Uu113wRN@8Z4nBF$F0SNaNNBG(U+rfe6VQ znN%G63n2wby0}vkop{wnMk0LJtBf)W5b;ghqW#JvD6%&AoJ>F>H8pjr%1Au5UnUER zT9{SGUkpq!pmRQ4(gziJTKu)AvYcqCb3cK|ZViMA(dQ{8G)}4wMhG@!7-4`tV}&## zBJun1|00_XaW`o(3OPncSNSjMg}nl-!{gyd$Rz?LL7SWR<=WQTAC0&9%7}Qpw=~*# z32!Y^A!|1O(ELP3CIEril@}huUd#Y(pfPy8u%Mn&K&BE37L{UDj?tHWc%usY*#~v+ zYM=(&=Y?_o>jbd|q1xMYaFw`3Lg+RobwFRC>Ghn9!-KEN%zn?UexaB9tX}jLZ2A7i z2B+<49&jo zCl@7Q>{zp_ARg?|Ji7dqJ2-(rL__pv!3(03h&Hx6ge$f*BJk51bh;`OY&lcra@p#3qLV!OI|G^UEY8^?c6`Vo?EfF!xz*(3K9%)L@MyN!TG9r9IuFuV zMr!Je*%ao?(j5f>$zMrl2?> zS}qrue(^8tyswU2*oF~osfSR1V;Ps$){fnBrm-+n)wC54{&07oU;H7%kQXY3=0!># zJ}0M@v)Wle(8!5ZxsPqe2_&#M(Y3fupEhxemoxo%GG=v;|-jXyWBgG=>%PkMi~bX>9s;j#E~lIC^|3WBgmsgS&55xd|nPp>rnm z*D@H9@gft0K>94f45S}6LSJJ0ioNX}1nJtK(bY8`qiks&JiE%_LBmc|W9QWBtX$z~ zQ&7_h5*?=B-yg?^z10Z>ub7!AzI+wG@wUIxmm|sMYVGN1e}}J9JmJrn4I?M~lDfr) z5Wy^Z*Bx5S)D^O)6lpDHMIs2cNo~-W!VWJtV@Vf+lXV9*lk1>FuVoq&E2=_7rjxgR z#BR|jZ(&F{H$8**=+Ba`7kAph)hwmhp~yrEqahLVaD}(0X(+ibmS3IaRD?kGn1D}m zxn5vJC?y{UfO*S{Ev)~~(Dh=oKhf93vN4`ePC^|&KS@Z**<1hq`e5t237N$iejtf0 zvWLN!#yy-#b+#Io81{#{BQZ3yb3VpuO6>@{cNx&-6wZvm?eD>i)w-%*2My37K`=FI6Je%t^a31RliRL$^5^x5-;$X)CEOks`FIc|4AZ}jU4&E*UsWBMpKa&|i>l*{G zlFIOf=u!<%#v+I_J{Lrdz!r<~llATad0c(fWLBn*#*c(RVFSfG{CMOm_AP!37^@mi zY>1@9q7m}i+S;W5m-%y#AK#qo+!hA|E`s)TBNL<9)Y_nTm>`YXlFu9RFkW90T-+wy zLCsxjh#1^nJk(Q{H9@^`e~IH)@^os2E=1-=mksWz0kV3G9e$LM)(_P4RI|CgD+CXqAs{gJJD|goR?z-RK#F$KrLj)J)ehT~1G4 z>{vs!^KRqftC+{$R0q?33q(Se=q>-G_O=j z*Ku%>4e2;~U{pvTb~ufCN7B(yEHiK_po~)$`S2zDTU;n+jnGf^*?pBy?XtUFz7+JV z!A7NU$_1CO36rNHlI}m!&BkYB4QGxP&PJpnFLqvH{|?r0SDUFQ6j8cQO0rp&fGg?vjyqJZPniLE_K$m{037y&yi zI{7{Q+}Bx5y}f{9_3QOh*Fe8sAEpJVK0o&W3&*#X2>1+IeieZSsbx_B6~GZ!?Nfz) zXPxCim!sanN~PBhVIk&#;?(upQ12%wuj5E*|5eW67F9V+-V}`Gn%Iu&OG)M}0D_u# z=w(>9S^q@e&nB;4p?bRlT15Oey;1*rJN>_E3~%;ByFRT<);$8-6dbF2UfJlen71IC6YEz58*AecLdq(9EfvzZzDC zE!XbV5@TyX!0IxTpq+EySRagncKuqGQXezC4q%ZFGb_;nd0UIZhfqlqaetU@-+r$& z{qTFYj*E&|_zPR|gb${?PA~eqWdjMW`reH9L$+Y)IQ*qcRIy{@98#aoLIWHd8Oa-P zF#T}?|H4YrHh`ugv6lholx0keQLBSyqjS@~<}fP#WeK-b|JUi@J!G@whL0=y^%5ku zD6Gl|XU_|H-My@v&*M?%2qkh>^~|eM{1v9|%l0DYE#O(kun z(*#(3;JxCLK|D)mW#X*i#{n*hOj>}^ z%g~%x#B_#9*it=~2dL_QAD(YK%D8gV>+JE5@;DkjbQ^;HJ7U=;y~TgYh+9j{f0}@O zLyUvMs;<{T^=<-?Guqr=n$Y}P(wZ1zg*Wn1&UHQ4Td8=NPTZI>Us-gueYdV3&~S+H z*87YHJ4N7!E``$>pJnOy4^9dm&(){DOPFeO_Y$0?A)1wq1s=J+UhM5+-3EU^Z7^=V zR2|4`gDAlLT2C1ZSJAS5saQPODylZPYLpQqz~eVEO95E03);O=rtbr$d{)(_f0=b5 zukoKN^47Zc6=@95YPoRbF5U%~pJ~^+KZRnx(!p0Z*T?LjNqQnGsCcv&rm%Z8n$Ee~ zV1q8CR_eITs`RGLV%Q;6mt?9`F7oHgW@{~&EP=*o&2Hmomwm+U&xpOlU_+(Xx<$IK zcq-7!vWyML$gxn%^kxkJ$`6(#k$W-s!KL7zqsr434oe;6oibGl3GM1&oHPA@HIh4x zi=PV%y8fR4XHmmjs|KG#B%BoZF5>IPWy+TVDxkj*He#4$u~FX^Tq0oz>YDvuoC>mk zgE3CE$LL7kjP03G9TFz8AR`CU&x@4l8JQ0K-WRF6y1=&8*fPy;kDr(jP!ZV~R{mcK z1_%_mY>uYfF+T4iFDR$2KuECV421+vN#T;+)5F6F)B_4MU%K12y-NVCX`9qBN%G*^cD&)FA^ydI4Z?3K)>Cf=c|K zV|^13J|B15C{VmSW?iT<3aqZ-`+&URJ7C53$I%gNi>#^!lzo*H*@D{(0O?fOAS!SM-nHyPe%!nALqo!#mBYm-?=n|gFaihs&}{u|PzEwpy*SQ!C2<>V*0PLzqO*Y*0J^pjxNK3OmR>TjjO{a6AD zllJQmSR2j@?GJ$Ara;;jcf-S3LoYXx2W(%`Yy##0d4?3w(B5F z%%1l;**&ToCL`339%(TjdY0Ds@mmiolr;Op*;G4MD|_nBLoDK%`N1GZxftv9nmRB4ibR_Uk)YUh;q<5tnZ!#QI2x0gChlrZ^n z5fx+X$b}x=ci$9Zr69$Ce%lz^yDl&h0qy)-5yWdF4x<9FU8p9GU%;X@qzG~YuJKC< z*ITOwEvQ-lt>W}#^XU8D$_iA1fTT4bb}B}K-R;JR7uyAbzhL-vjSlvtq0L4JeH;+V z41J?+CQ~A!sX7!b7kW*%vYD(M5Sygi^5Usf4J4Cv(pD-M@@Wl?A-3#9F6_;JS83o}k=92%r4^y3E0!i z8vi3jQ?v49;uZZLaMGlvA;K|A1J59HmMm7xZLy7a!gsyP+b5}pq!_Q*L6c2p!QbC= zm&`KMt)q_@v-eK;ijXUi)(hgK81MccdV*E76t*o-$jYTDTc(s%u_0dK20J*p?)*A4jnZ z76Mw!qEy-Qli93%rB6!uO-jfM0W?N0yqTV)5hF1L#>Du+O$uAJfSEuUCA4a&2##U< zG83*N#&He4mscER_s2Wbhpe)U|6)HX zGu*^|mE!QfIjazKa#5p(<{PJLIA^TLIKUnYLGJa_zLbFyoH0o1m4 zJY*(`&0l1e4Y2Qd7Xw(Nyb(#Px>A4!O9>I71(^2&&4^4S!XDhfP~bpL&QevttYhNK zJs~heh&57!$VXEtyU^>{A>ty=w(HNqXZ;?uUDEA%B#nP5%@wP4e#DO%f=`3h^Lsv$ z-BJSi=_1-uj0}(CwZ@z;uRyFgXXnt{vGHmc2im$8w!Y%a_G+yR);`TbgiV6Ij6M#E zSXumsHkizsY~8Ep2L}=hZbX?Sx--c%cMa4uV&%~ zpT+aW+h-k|?CklHjO%>7q0m`~f}W4G&GGy#<9^Eoc(GTi93y_2?|jF+^_D4bDAb<| z<;3xFyAJL2=hh}1{B{Mta6ox3!kyzN!q|84x-#@Sc=kb-E9qyF6Pb&*nu|88fAd*U zww^=nY<~YxiqQRpTAf>DyJf#KZ`rJN#$@E6(W`FZ(uSAqOn@O}!~XfZU2nQ;x8B*) zO;-a`>aW2o_p8mGJwWFVSqrqqbt2yOJ$sa;(PgJ4;n?&}rb=$!12Mx@K_J(*tL?3t zhe^D>@d@u>lb*S1+VY|syR+rEW@7#*D_eAGPR7P^fSt@m~4E~e707lzkfOHQoH8RJdKb7q<@S%kcOOAicg+0HE3 zNtPy{jvt!TSCWM%EzKymgFr{oz-~i|fT@W5eE`d^+l+dtZ}rOeV%~>|k&Lu&eRulC zMw!z-fb0P>2jAS4EJ8tfi+c6r-L*D}DA~+hl9gW$gAU@AYBGvJ|CWQ3Uqi-e^#;hS z>^pNGI!Yg11fz&{2LSf9&dimh+wUs1?RPpRqY(^m^!y^Gof-x-Q2&dyzl^H#i~4_I zLPS77329JTx?|G~(%m2;E!|xLN;lHojdV9kcXu~PH)o;0`x*CtJmY`U5u+Z>&VzgBU zgoT@1SHx-}c_wAj1u@sPw2o`}H9Ro6Z;Qj|f5k=x-&F063<#A-U>EGQ zhpwJ)z08b~+0TR<6f{v6u$TJ-lm23EnAU^d76cxqOtfonrEA~beVd&C^GYWRa`t8ju~V1=d3=) zgL|Ce{>e6g;8spMn!e~@IU%r^-`bRSsbfcbZknv&%CfSCecnX3Yz*(n4U=hgrX@M< zC?-YRT%$2qDx4gU`{7duMjFcG(d71_eO@?B-U0MhGcq`acIQx0tdMEXXcuIR5zx<-|;`VdwW%fWWYh#|OB z27v~V@mi`<2yHamjw_b4XnbT(woUd2yN-CQF#;j~@7fqH zVPE>rVkvm*FEjRx`HeX$$VilAn{cak$_p(r8|Z5jb`y<0XXX|6Hf@fdZf7}Ae9)NM zo!tL)@m@Z?+y+xL5j%eZKG;ss^!N-KR>F+4MG%4oN|h`(ropPbsTc*P_;So*K_Ixb zS9v=@I6jC*ppCeA{3cxB^PCWyhDnx%89qq%7^|JsI5KXk51~2Jw2Z#M44V+cmOz#` z^I1TB_v9`lo2}hNDSWEQO4EL6G1qjVSxIN|9!#kmB-Awy2-x}CYcy&&6Al4C?ks*5 zP1XcbO7=3oo)Mi^YdjVEo1L909Xt*;$?fKfffUB;rinT>kG-n#n%UGu$*~yQo9=n% z)ud{EGk62?CG({&eG}frJmJM@W`;v3t@k=hOVAbOf1tJS>-=Oar-nCcB_5LX*Ges|9 z&aeAM+__KF8_x4lBz|r{7&tZ$1auNR(o6;SG^F)R7{Vy9o44tmc-z4@7>3W}p;pYH3 z#Niuryet_)Q0k0Vcb)B#xRgKFUeFn!RPr9m8ueQj$DE5#scP1R)G(g)$#jq3h?=6{aOu5Lj zG5xF@mEL`qWLj&{Z5Tw=({BH#zws$6qa)z-y(DMdz#ePbK9%9~#jkFSp1V$k7ezDm z60yU2=sKY}DWy$dpC0i{8htm6wx^UY-GV%t{v$DCC_I=<@mnk+{7(H&7@*M; zbp@AL&TZ~)(3@+5<77dYG&N2_ckaNWY!rhOVt#=3i$0xEnRNVb4ZKyd$3hz@f{*90 z*qyC9n;*}wbh>3TU8pX8xwnxrrjOu%^#+yfFwMsPPHGq6KxEf?!g4>vROC69GVj2v z^5v~=9@Zpfxe1!Oy0-6zx4d}3BKETUJY?kV1Fr;Wp&;unl3B~7l1Zf@ea9xov3v6OgOViYY^b}fraj&|gsq7_Q3G5bYv17PCJyXNZL~=I{hmCW zuO1n{hKUc{+4jZs5X19C0YRC$tl}sU`E%x^Nw%b=V!i^49*s3TGdMg-pN!gioLG}J zt0xnbpE0tn+;rFneOCpx3t{Z}!i%s_y*DKL<+IswNR?nB={U&$mDY|NuU@&S!$8$X zFL}B9<6hDZf7!9PhF@SNX|P zoy2_UzOg^c1@b(%=5u-Gw-cPm7BWvNh+FNG|7kpbRXN-Q@C1>+{t<&E4)OgPqbnroK zO_Q*nP)(ED46ij)t8A=C6}J zLS%H%QQl&>)K=!ZX|YyA#>Oi-JFm*J?zY% z@FQJw5qWO7iCwHQwo^g~q+Ce%AfYw2`f4MuT2g?`lf}D$SRzv0P9eU)L>^L2k$Uermdwh*> z65SoRR-&9pl5taI!{IEHY5sNIc&<@}otjC^;T>JB^FUl>6PfmHb8;t=W-M+2bNV!1D zzEC++L;djOiQy8N(tE`;)fUWIe@RY%kE zhIF%fW{i_!seBKk=$yg=)HkjyUok3qr; z+SWi}RACEa=A8%ot(Z5x7=eN(=376Opx{6T8S_r!?=|DD-f<(f=yr3mt`Kvvh(a1~ zcN(;=O5K%D1B|DkdK#3)J>%IJ`y@v`uJh=F`o+tiXS&%KkZXG=R-C#Nj~S)bcw;$M z-07FSYI|skdl+!ut0=5SFMHG}&-lg*p3CQ`EKLBh>R|li59FBv+REf4E zAe}P;wkCYEI$7e)1y7g^j?-(9tlz7)6QwDmWlL<a7BL2zd%QXY$ELZYqEy8`7EFUCYg?1>SQYP;s%#p@|j@ew$(Thq1-NHE( z%2I4=8E3()sm=cM89a7{TNHxr6Y7#QfT)l^-)*zc3nTk0rL>#I#69l_G)PaRb^!w` z?^72I&Xa5&oB61yjN2vt<)9WHn(ycwcM_8|LX~iJ|JTwbd6Zr1PIL~yr*l>+)#1sM zAOeu!n8j5Pi5-nMN|6944c^VQO@ZsLLtUtRcXF{9EAdA*Ha6?2uuxrarQS_$*EaD3 z$woHtX)LEe)O|D%llt@bMj+#g_a15^3P_fX1>-R10lBc%v}&cPQW&o2eYFC!~gBdHZ888=0w*A8@B8lbZufnn#$uoCgzySjxp3%3IWAPi&dcI^W*) zt*xLapu^z-)R}kpi=>)dfxs;fKj|qeW?1o^uTFV4+mX1)nRSqVe(AzNCT7$rvCoEBr*JWwl(yXP4wJ zKMCNw6AN<1pgs!?`#ieWUSo?|e&GOmjJ4258{nQa8 z8La<#Yr<;(T?yt``DdL?_0;HVtWj?>`?)Gy(K8jtuPEyqqmFx1W14^+T|}#ywRLuP zI+V*i$k8$Fbt0UPH`OCUb(xjovYQ{B>KUFRb^on9gh&F^A7eJnn~%_kxEgiu32Y2U z%+-RTx6Y7%@It6pNV=?ZX6Cj){1(3a_)M>uzD9MW?)sX=Xie0`WKt@j%7mm!X>bRq z8Nb-7#8;#u;{FMuvoLY<^Y<*yy#Z(4nk1C;^r}n$`w{_bI-@awf$?-izEd5#K!dC* zK&*-hw*@wwjx~66{Z84Jk%{Dg1G%Kw4CSnOkjzK zHJ|&CTyOWsaIb3@21rxxr5S$y{1+b%qybfBcFB~|S6?Uao-(@}`C=KLZdP@FSXqpZ zXkf&5xior7ZXuGv{`%h|@IxQl|EzNWZ-mV*+{{XrXwvi=P!m~f?_FFBPiiXd3T z9AZ)wb+%ZTnHBMTY$Wr+W}c3}U-I~v3|9zf0mKD)N#pU%e7Qr-PQH_tYI;TZjf6_> z0p!r22>8FNd^n!?$)z#!O|bJa%@nAIlMe3mExC&YRey;9`W1{bB7Q(?nOP+b>~9e$ z`RB$MQk)n49E_Z4q*5lgR%l7NqAS*}=~u%6N{``AJ))UU!l-`_ei|E`11(9htGtH| zhdg-up>*Gh=>F~NQ{#up;_;PE^qq=6x~P<~{rxZcaJ^jFivk8;WOu{g34Q)$ncXX9 z-0he;mSxE&Zl~b49QR)%wc7uu&Vj$Ji3_DX=rUYifp9aTlz52M8qxWe~2xaFACFY)LcN4 zpuS}Qx!quU1?O7JuD}43*-BaCy8!x;MfZj(@3XA>g)H(C;JW{x{U*`uuV^%UIm5~P zots9PGjkKf?9G6ZjA>uB`A$0$eJ3RM?R$e!0fT+3r8ZyqvDdxXzZLm_3d0Ta#>PhP z0HN6Q_C68wL68~(D+r8;i-b=+t*{CQbD;TGBF2eu8(gmMeoDw8E0a^Y3^ za46Euen_5Flgs&M2VLHoLZ#nnI7{vVrHvP)2a7+omzw^(SeW}0`R*T{1?ny5-~N|S z+Gcx-I@UgrxE+SxqOfAPJDUlw4a(QXh5Vo}gyS9L07w%Q*NlMy?6dV!fBy#-{mm_w zizd&J99pvwOnr+Z4o6ozmg%8?QtD9OeExs(upJMLS_uu-Bs|Q{Otgy?B1wveQoHkY z%m5A7r3U><7MvU><+>1zj3;TVvdRN8yN&>bl$#osVUHJ!N9{YmA&++->C_oQ^v??X zP|c)-7YN4d@Qi^7MlByFoMwl;1|JjT|lTR6$Sdlqil= z7f2dM&~291tS%aRen|PBK0X+nCVtlWuuYA^pPm>m=7gLL`dqWvo|2o6R3ih%T=dQ5 zQRx8`B}`AYZ?77~c|Y?|R$On)>J3#FTib~LKq8hlffC+KFbe?TApuPFag9L2FaFUb$9nkc5hL${pPT5Lw z3EgkRhu)Xkg0g7?efZW9>v@1Zr5EVUIGs)@_;ppUF9c z<0HB7-{v^ze?H#iXju=I9{C_8P3^<;tQeb>G^R-jp7U|V4H?< zR!P7r`Fp(WKRK8)IlFUaaXls99R+>R<~P}RxxQF|MpeNRiZL1wK1L77Sl^!X|80ae z3d|;x^QB-B@7Mj$z);H-7MB(`HeEIeRR+vee1C-N_bdAHB;)9|IpMkl>ult3Jji1x zWFT8fwx3T(9TXf7XQggY_gUZk*BSaM%r#9OLJ!6Z++Ec_=?fUme;M~O~^u@-^@rFBKV0Lm?-5xqtSz=@3kfRr3eBWYP)zAi7l6rnyKahtIY zipVJdJXAPftePl5MO#=2fuDpT|3!LXP$T2Agm2ve@+J*{jW8d~R%HUblN{iZ%`1T( zCRgzf(^)iLq^?M44&Z*R=;WL0>puY7!z=QMuKn-uQh@$PxO@8Z|CXr;zK=%y$0~gK z4JGE^6F+?fLgN2OtWTfQl>Va!g3tWPgSXi0X-{gR;RbdYQDHZzQuNh7kh zP+xNpmcPEO?#0s;p?cAP^WzOT4`YD(xZ(3yT(cPIIQ_ot3AgZn{th#gQYs0cN=5r( zX*2()Xc`0K4R6+yKiBkdvj>!%a7jX*aDsLd%BmxrTS-D7QI|mhY7`c{hEc#m4qWuO zHJ=55gosxF`tzO5a*>9eFM-8G5WHP>+>9t0h{WO($PW$b0}3xag-nt-wMr2KTBL29 zSl`x}bFDPx%-hdQ@Ir zq!Qu7$l)(*JtLF$@ZberdmP}a9yY0#>PQ2|Pa5!3#{jelrXFlXKM5X7SwMYw)BL^0 zXo%2S;lLG8wQNcN2_+0v6rv3SUWN1tup1>Wh$x{0uvjct5kO1_-x+mR1P8w?*VBXw zL`n)uBh3d8@$>DGj;yn5OtE5(syx8Wh>nWVCxwcpnNJ*I-vUGGM$-)Az`#HZ;Hr>& zZVe`4gDy`6PJLH8U>n?&Wg?_k`#bvXRFO6 zSxv_&%h;O%-c+f^Li-6t906MHXG6nY=}53e*}2&HbG%en*Ms7Jo%xDL8%)C+g0!KN z#hO9Lb1-sRPv$}3N}TWL+`{Y0Oj6o=2Ee|^>>{mpZb&l;62G;t?dxb#b#?WpZO@%##7prR9ao3vK;g}2 zrD^#`$Cer3)HWKWXS&E=CmB%024n;8fKmsTlZ4wY#5L#2g8I>R&C}&#HQ1m?9}g-J z@5!7iNDpAW3JNZ!PY2ouO@iLgt|xU=h%T#jY?%O&^?V?4yGV)V+B~}?QN1%=p7~Py z$&8J_jG|ZVEr5o4lBA3sTOMi-MQQP5y|2kK9*6O9q2)3Ke)JdWLp((QkHHFl?~AMxIKEOc1bEEo zH-LvQlbh)xe(iPuic#AKp2qPmSp4x%{zttPu&s}GSHvMp0M3+R08NJQ)G^NXXP8%f zQe9=81?hAqUo^?5RkpSSxHX?tFza0ZDkj!y^IErh+O77n~|24GS{L{S*e5Xj+rq%1@$!RQ-0$4Dsa?}rG z^9@5$-Rf8Vi1Y&BP5-qf%<=fSn?QGm|J8tXEVSI{)BlDXM0~0Z2wdad$3mf^3<237 zfuIAJDBQ6B1f2jo6a@;3?1hK5B-ET)Ym@TbCRfFk5qu~d2bO?DM^o-RNtY1mb$jX* zA6!~x`xa$tsT*uqD&Gdqpfx%w6zI@e@v*Pmth@If{@|gHdg=c)yxq>IALv5VLzyYg zoAFP`_^$!i06(Qb9*)QG(d#MDMERZyf5l|?{|_&(!C_--YXamYPIRhFF@ejQcye+Q zTz%Iba199bXeUx$*o!#?WGUQ!^jg=%Ua88G6dYKYZFN7yty6tY0KiK^-p#fiWZ`Ko(>cJb`NmIk)gLc_84Ww#y}L5f3l*;4m8wH@n|%2Qv?X z5%~iJNVquzV1p4LJ+pJtN8f{t3B^zI6bJ+P(@(r6knrj^S)wLz0N}5LA-M8uyA$~Z z^~bQSezFbjyBYT9gUKvrYoZ$UW>{60OFDx*Isu2q^e?~_l_aL>ezEg6-yU)$@VY~a zwdxdH_GcJjahtcd^phhZ^hnddy5t0(qx9ztNXSq0p8SiT0rkjEwqDLxJ)nmA2E0pNPZiHMiU2{bkds)ldo#2dcn#{UI~L zh<5gCr)J;6l6u5JUJkk^jCIz8fctiOfHA;)*eOnx*s15rDCyph0jy7~#hhsAV2X4q zsOd3Eb!S_!>7y0naYhAwA0yC(?&KJ(oZMrYbt}GVOy&tJ;IU?iZkNNumFaSZ;YFi! znsq$aD0gKC9%V{N-6rnt%+K6%N_?OY7#%_4Mp!MkrB~yRoS%Mk(AVz#;zPLarvK92 zK%&W?P=axOphDjfHWk(N0@11w8bqnZ3w>Px)3a~5S_~dJBYl_1{CUb8)cZ2|dlFZm zBkrO%h`&3Qi^_A{3d_{>IO1jd8pAImCFqGo?he+Nwwic_q6KCEwRP5_peWyci`dO66&R4%FQswkFo*g?oRX|X$H}MCB+P3# z6RJI$?o#L$e+E3yf9&EGz(sw8TXup0!sX$hI*=k@H%0 z&P%Dlm;YljnksJK$k`K)XTY%*s-w$5Q@-LAyVBxRPG+9p8x*p+t{cf{XWzKu-k+&0 zPYM{YCiKzg&w*?utgp*G8V=-no^IMLw?b<)yK(v_z(OfJS3Lyq-)KPo_C+D&rtENG zOv1;Ab(tuThqYfk4q;813TkIRnpKMi@e9!1}8q!1yG?Mqy)^UX`m$tAd~fzR(w zQ|-2HkH%u%Z>2)Vucm@=>?VIjoHXzGqGrvHB6{#aL%nTM;>cjI29ZTv9L0ZsXC=;{vwy7Ap;cI4q`8Z=LFGg4JW1*v;uI~MU} zFrJt#yE#*&aKY!#!}+ut!&SS?yOVjZl^ISrCiiDW91D^9Vz?Y}5k_`sHG7Q|ifs%? z5YTuZVwLr5bwc73ksCj8GE9Pz&AFf46=%klyE-$u=8x}r=vHJ2mX z=(v;7ir`I*sV!6OLQ^73x}-AKmD;h9{3I}`HkERbIL~;UgLaLDoefwvrjPz!M%sV8 zztT@AXugd_vELhgaZX?no@`F~9T?p$l-ITmZoElPh(v<41lf14I$LbQIZhd@1Tp&Y zKm^*d&$J2r9wOgUe??IV@77Adx0$#�m^!H4LC?jFOxqH4b zpAqDfUph74ZRjXF@TEwV8j^|^EFDOuX4)L|xZjRV;gK%*_~7Y!2~DIN^MHKk9gc|b z4xtIpqZ*{#t$ zv9aD%xz$8L>2ziP^5p(@qwc6B&Rx_fyFMM+qsc7p?kY-l9husTy7=>FgY!0DnPh4; zJvbC>^*>YOg=;mp>k_xIu7yRHwg*}wh|hGE)VzC!ieOi2FPGw215onah0DI~J>+zn z>R&a%DHcDlL{9*S)hv+>KF1<|+I;gS^vTFoq_g`^j! z52PQ|gq`=%ECwwqM{~__=TWc`h{HR zME8#gj1TZwBMGGw3-~Vwb(+S1vFq&R`ob5=Z_N6D=gBD0^TPEX=Ir!N%^Nm_pTLYx z2$#9O0r0-HZoG};M9bKr?y$JNxtf|I+M{8&qa4_5f?oqM$PV?Ir=7w$BRL`UDAWL> zIL13jAw(f7)I&2T#Nd@C?HD}=_9Nc2I#q76?z!snSZCDJxGqD-o47wt*&h~-YO@CC z@%}W9*w6p0)QPoH(XtbrKvk;cT5M|j*tA1_eHY5LF2>_Jdl`HB#`qHkDoNk0F-a9) z$E?SA5C7;FzQp3UpMnDTVLV~`ir5gGxKpqY(2AE$KL)+IC_WjNm0g0~j7hkBN1&Oj zz#beF6%c@;+%n{Pa~7e-H=1k)Ipq8Pt-v4J6mzAhiH^q0SH?gK>Gju)AI~9)PGP+Y z-zc4qxyHNRA1~Z8!T2pjS-{smYrqbryTc5g5(((CCmdaA!@)$RG#+nLjGrb zSug9&OiFHXbT6bITK9IQE~=VtsTXeubf)s76pfryC#)|qq)0F^p~*gyqMn|g+5XXf zXE2IYTy!xzaX7uU{t9w&c#$n$HJ&N+gJcFG2%{A2fnqVy`+fk+^XFl+Z}H5`)mTR##aI8eXFD;`s5dC;u08 zi9X&UUaAn0(jT?^_%Z_cA1(CSRB>vh-!Ff?__&Da9Po+ihN}nebcn&{xV49MKEiIO;*TP8 zOqa}9n&!~vu$iQg=rbcvSnP?mhYcz-Prs?L(vV95m*XPoM3@hm=g;#a42|mY&u{Ig zHjkUJwI>)N101IMD6PImOX$L!cmBZ6Z5J2M7X3qm-YoR2h}+{K|{?w*4bn$iXlUH2&H<` zJ!+K;61>z}VMQ#QF7I${iHS&uLD$ON^+t@{?reBtC@_4JYuPLxgUxD}XQi;#TxYv5 zNYr_Re2`g?DW9qVy%VJ3h1dTPI+c={BKOW8*>+-HIYXEc1!Ds$xcy}XM3pjpw8q)C z3V9gX{@}PCT{$=OrO8BZvjH630Rs)i0k1n=8vT@aIc>^m;WD z-Kb+kcVYTK+A1~V66jC!z|oRFTfZ-=4jhEkQdfM9f)THJ#$8f_`DzObGk-1uN}&FF zLGO&VZ=-=r@BZGR`tcA-AiC5qyo@itML&T1YQ|{mfGfh{#?@@;dj?NRT8Mj@NtA|1 z_xbg781c{L#V}qQ#4{*Ga;p>6zQtNz)MH0qbXxSHt@;K&xp_~t*Vh5ircmLc{-JLN z8T0`AAt*Yvu!A&pFi!5V@5d@UvR3?>mj@_u-_a|a#p`AROVz1&}q`cP{=7w`D< z`WF54D{ZJR`pvnbXUCQHPTKF}?AyM=guQC-@lX3g0~g<-$T>_3mta%xAK5IF-~w4H zjcA1Y*J>@8y}n@5OrZsHF8+OaVbG>tR{TN&s7e%W6T5lwUEEey6Uk&*0`c%@{S*x# zhGt(T!1;hzZx=CD(jarA22YGnllstxs$>lp9Bpja=9h{}u#54`gaezs2BK`K7N+U) zcwe!6*pNJ0Ov%Dv!vsjx8(1Cw`5#Z!3w=L754~F08|TXki6=;zb2*a}pq+(=Ja?@; z!|iNM=+@EzRL2Coyp^J6^}Oeq4A>#im14GMs3h*qSt1#}h7UX+G5Fz;HgDgFw`!zE zy!5;^A)GW2X%Lc6xa}n(mn&El#|%8-9B$zhM#+P}DlPbdRia6g6k5da6fn zwxrX<_8@gPaneBw1M}P})*588hCe^H$EI(}gJMhqWJ@WoUG~pUW}( zr=06g7DSs|Dmfl@m3PY-LHnA6BC*5yVlJg9p`sd|x(`K;8j7_ZjxPdF_K#572pB3bxwSC%xFFISBg2kEePn@w7g@0Gn31+q zkb*2A=~@-NmdnWNgimDWLOJt#ji@`s?dqeHrmF$ZljPUEWr~5d#Ze}|t+iD5lf`_v zwOLswx}BTRYI2u5QwV8f@@RVh*C5!vWy9xOjUEjejA(dG?0MI@yRHpX&i?2a!yZBe zz4;r&dUk0G6&)ice%tiWDEYFPI5-VTD*l9oVM7fXb>Xll}1 zB1=&6{dqXdV(X1I2?z5fnYd~fB_gCEuK@8-RNLKqkZDm!s6jLruQc`3vjIa5SLJu# zx{Z$;YUVQ(2o~DD6?0;tVH*Fbd2Z}0W74d0duIO?i{uw%SfDH;h(?dMKqjjD-bstp z=5k@D|7|7F&ut7**)g}fxsLLoq_Dt|PP_&O`%2CIM>&jN+yWolnZzL*xVL@f+Vy!u z1uM@&)z?jvwUggGCJHbzsc4Xq&D8XUb>vl=9cCVL4(Qt}TRln$uQwEkh*V*qU{G3p zH2p$=VUL@P0I!X&bf&J3&+u4fldZ+6?++;qtm9EmwtXRf+p$ffOs{mulCJ1o5OkR4 z{-cdrVfrwhOV#774c_$7h5;hDZIzz+8o--6+{9$LkdtEAktRM0!@bYn?qBtZpl0U5 zOct>;aU;J&-Wh=nF3|h@OaM#tHA^tsc9duYA_X^tm~6-IfajuH_+8Ts@Yiiae5xY4 z41vDHUp%ii6REIx+dL3WiYez=FOnU{DJd37rcckMUU-efcWXf$%eGj8FyE08dcxKZ ztoX>Qx_^2RrfFb5>^`$bOwuJ)I4%4+xWAxjE+l4oGh4;PL(;)W z^~Hu($#=vnanXHBo(vr;DVlL*YeM-`Q=7!Q;7Vg`xM_WRj`LafZMXQHZxM(DhCd-J zK8*cw&+J-{QkccyGJnwLDI%8Z9y2>e#9tt?)5cXQY< zf#J6ljJ(I}1Av&A1lh7rvu zW(KRSl1(lkPi0F-2ZMJ~$ov?^xFxlME57YV^-m^l~t`(s+^qvBS)xGUo zn#FC($I9^qOXL>v`l%%|gy*>L=b91Of((YHP>g zj9)(2{68A*!sIi@x4+`Bd?fs{6iXvd5Mbq9MR{~IC2QZwYF8nb)fuCn*R3j^&Mfwf zr)}vK@c%FU`eA9xeKLr=2C*P|5if58!m7;`Nf>bKK!iRY&~E50UTB!p?7fWm z#bm|ftpA4k1QP~ZY32eBhCcb+>xiJwZo9Wie2abSnJDq+uRro9usIh^;J@N36lja_ z7b3P8$>#5qyYW^=!QWh7I<Oc!G(24AUEMz*FVnr~3?Rp8I0wgh+~ER@YxdZB3u1blX#15udu9S4Z!Z?XFbpTT5` zP*P>QlXLPsH58*DGWug*j~#qCEx*{f@?05b?A+%==caK=X^b-C2j3A~X-CsPGyP=5 zrVtFZvD3k_!JWHgu8BrSk-6D({XD0Ft&zGM=>!U8hvZ0cW{s%2a| zxrhxWUxxa^?esaS6SxwnWiW@537#DRYdza`+uQ9Z!BT2s+wyGCL>(n~rt_lp)i-D# zM>ni1DU#yWDmJ@a`2j4+9b;Yf1NqebRwl|6#0MuIeq>2#6JtK4VADEdOD9y>z4O(& z&C`+Ug<^rADaN13WvL98T+B>NNj}2d51tKof8*Xalp;QP-FF>OtexRZU#}In zKN5?eyyv8>@cz&YW?DVuE>tT+oU;CJ&QOB0L-fse3ORI|Iipu@@wS31@X1$ZiyoTA z$$>IBqwC?5tiTl&3qVayx6|j1Gh3l8Lb^W75ZPU|gs3T}k%XSpTdU|Mnpds7ETr9g z+x5~^jukBv>acdu?6j(a$_FlK$MJw+^)u?`qG%v+HQE`s_GjanH%8!)l0+F^V0h)kikuCVF3yqEy||#!It!HCVoQVpgbHSbZCb$&yLy0?AiU z#%}67QthZ9dO-~3{gv=HtYv-<_D<)w+|7EzEcPek#@{77sz;@3$D1^7{vyeFf2B!F zSQ;QN?Y;_a4u6`M+n<;#`o{C_H^m87p|ta8ziHv-Z|$U396lo%=iQjp`{o~O`EyJ@Has^P%KzhvunHI0Yi8_B5otnow7gqsH4E@pG+|)>Ur;+mlqEdxSiXD~Gnnv+7=4{o>N>{v_ zE_Go>R6f=!eB&F4HbgGS4tpR0Vj4X^(oi$DO#nIAy!3e;zvOuua%c14raTTWqRE~A z#nbm54MybNwP$-D&ulWUIw)F|#xFfiAA!wrCt|GVaO zP<}FJbTkqwM~3h7)Nw5y)1n5vS4>@PB&wBVf5fj!rymhuWeJ@7BX0XJRe!s(wyMRhI)Btq!TyZF9jC;jTFf@g-Tn4$;$ zEnNJ=f#~GktxJC_i=mDwe4i&fe(Ai)l~unLlMXgauCTmI$g_@4GNCqZQ@&~jOX{%NR zJrl_BoG8rBomg?-FYh63%g)>{wXz7}SPMZC%`KZGnuQh(Y)p1&y`xOB77M@?fKjOE zkCs6U<)2$!{~a~ajNX=@?tUT2Ki3|KX-s5H9dn>B6?0&DcK^fB3*B=2Sivd{>W#wH z*x1+xfX$TG+!&2!NmRIAT3j#uim*{6qabUCn?>^}FQ}y` zA?Mg$*{2cxU|mfF?N3i2#{FF2Wx*wDMM{US(LewSwnC598~hf{tXC>*={}tf$`0*O=sn@g`#~q~W$7X-@TEb(T=e^Rdm?*0`tSatBEV^&sUJ zR>{HZDQ(S6GgsRhSzE_1llQQW<};18t~|uVCnbZNLGIdMhn|AV@i6+mV$QGak<5eQ z=7yu@+jTF@a31UH4IAm6(2L1qKi?jq)6L)AnPLaDTS4CgBZKCuWB%~Ceke5@$O>&* zNQSMeV*=C2H8-lQ!l5hN^@EB&p6f6*p$i3yJ`I}2|+<0cgv5a8k#RVSBq$_ zyW(^q9$PIf>u3`yEOw14SBG5JpL$U28^of>Pe5-<#>dLFfQ8&XYE*s5(L7MW8_SQn z>o&DS7#S(hzQ0-vJ8+#hi~m8HS$uR=O~^w^#=E$v!3;P1hC()_=py-wtEtM>(bep8 zoA+u{2;RmFnPe}!n${&fuqu)H0+naAPDf&Be!flec~WA%ebkl9`F=6$+-)M;Z{{E@ zNf(#;#*<#^3zbKy1QrQUQ$pK<$Eg6yKqnS8TnT>nMFkYQuUGGXv3HHO-*=y%mF>nc z@2+a9<1(aMsFC%!pHK}=t2FQMKiQv9m2}Azt+F86LA9gDI#?+5fR~VvVAAh?`Mp$x zk8c9yw8-OjJYR95*%jb)E>sGra;{Whyf>#B9DaxKT?;RkL5dFt7c=;<1$!MA2!UtbiTt}xTi|J-U^jwV*sk&kezHIR() z+@daeODGWFA3CM&ev`-FrV1~U8p}Re6miRUBW{2q_Zeb((89Y|J)%BA)p|B?FOz&QqTN%ian%Pk*xf(%$Sb$9K%dey^9u{kB&`#cG%OkmT<& zW+EH|kNW@E+>v|+!#r6W719$rquIOi_UikD$?ZM+QXa-zbRE=WQ0t)qKOok8)4LTq zv8b5)8SHI=hj=%wtq)hOEOAgkQb}Sj6ftiZz-sF4!S^U{}3tMAP@?f3&sag64E^G0sIYR1JZm#z( zw<;fpOBO3;r$9#C0WI;RM%zvm0hddE&MI%@x4d2KG+h5G3)<2!0%~T9M)}3MYs2d= zcTRPU?yk2w^6)W7KP&b%HyjA~=t_%q4p<`XC2K5B>dxmM7i`1&uUAkvZV}Me=~cDf z<%<@)g{WXdpH7wwmKD8A9vc%d*OUqUQVnZ#%83zwv9;OTPvy|a(EFHLWtI$sl9j3) zi^7|(%Xfb1-3MQ@K>idJMP#a^o!oo(BK?=oeIE#}?`wJ^R1Vxbtc!~!Cf;TeJ7>S3Kgdd-RT4ja5u3BF900s)R5I zXJvn{N+nmSWByIj{pGXLT*akhH6>UFKjw0?{e$gFvhL2jJ&gFxqxqff^~W-?FfYii zt4vC?s!Ea7uG2Layj+J_5|hH5CK(y00ixW1f0We^`uZ=A9uqTSF8XUX=LfFtSp95{ z)mg&Ym1oh>UOEB9Q8SaGl_r@oD7Q#m%?w6LLs%knmyV11-R`8XBObBST)eNdDZBMd zQ|O^qR~wZSCAp)IW3JiV1!gy>iYuK0fq%#HskUNG2(s zjGq-Rhq&>lo^O5Vm&l-El$I8snax)}h&OC%2sqvj6D$?RO(AY6xqifbSs;{IoSytH zGe1IJU{)2$;XvV*t+>KDH^qwRp9f5cp+WF2SgEPrG^Q#0|0?aR!=n76s8OUv5r&Ws z>26d&N@=8qp+Qi(bEI35E(z&|p_P;d0YSREq!~b@LFyj#_kG`u=icYJ_rCw>4DZaG z_ndw9UVE)|o}qNc^6Mr*Qc-0p*%Y;2+3_cl6_N(6{n-sE zh%=%LccjI5W@ggxmSD}i^|>J02>Z?T)oIbndq_#f18NRjw9QqcbG*uxwO&ezQQs1j z^D;bfSR!a;(fb-SYGd@3mP-6QFD`#8ob_Cg0!o>oS0Pm`N)(Y|1TR6mi(WjleqG^^ zWo7R=kVHS(2#&K4MfWb998&bg|M`w@UEa6eyP;mxu@z-&xT>)JR}__yP$Z%MQg5R= z;q6S)=t3FyiyQ+TI@#L}-~Gz#E)So2K5k*j7HY9MOWaDY#Nj^8i8}~B+?D0jfz4&X zMq-6;!U3DXcTh((upS`Lc#V|V*7H%RM|l~g4GOvgPW;t4(#(Y1mn4XJ&h9Zk`7K<( z^8Rt4QCo(PpElQ>oRhDsQyPfq?IIPrYLh0B=abFut{D-2+zeV$WyU^-Qv+#N)cfc}nWH&ZpIU^6XBPkP z@5NonfsQr6zwU;=rQ`A5&QC#2_JwMMXkyv?>-<_ZGvC7*gZIgI&cRxZe`|+wJSE%L zG0Rub3l#A@eZVq)pIk4EBNt(9jYk!W_t^HpBcOvsdmUTa#m-|-)ICMfXUy&FDhof6 zI>e(JM;VRk_^w9E3zYqxSVpJb5*;gCEANp=E9O)=ce7zSIBJe-rP;w!rsSPL#a|P- zA>O6WM5&mqE_G_>sQ%j|stK@BVuH4Rg^d)}&iEw88M;Q-cz%1pbI07QDX;$#zGN1b zs8g8QJI)CVXEk1CVh36oHlB~3^msBD*I!%;$^D-fk>Svv^ZzmVb~pUu;@DY%w`=p^ zI@uqjR{MwEN!P_vF2e=)0$nkA@H|&~*)2+)&8Tc}0P>Ax}_W06g1 zA2GBD^mssn8f*TLJ0U_g5-|(AFcRHw(QPYCcNMm5_4C7Vw|siX;-Tg@G19{JX3Y3G zS%8>Rp~2c&wlk|tzM<>b81O(bo@QoNJ{F~4X+(ojB*rc53JJwicSK(sb19CNNTP+5 zn!F-eFFOJnNQ15ooo3$_U0-5n=xf#91QHXM#OK?YOGog2m3>dM+Un#=@U|E#;xF@5~ii#k|Tli%c-D5I}yJ!`#-Dc4Oj z9@7Oupy*lT>uGP&o>0BZ%w|v234X>h7H{6?{GHM=H)ZQyXy+!8y+lgu+uUa!$V(%J z`_88hd@?UQDAPAs5vBKWe3N{!KJk-oS`WW}&sO*69rg%?x&6Y<*t)9ZJ~RS$3lZW>nS6{xW`<$>CoP99<_i+?EYbe}KJoX4n1 zy@}Qs!|Mp$d&T@gF;D0?r);@qpYozj;Z^jjeO_X~hR({m?r1l+1rWVKFI<;@Vdw~g z@+u7`;uWo5W0SecMM5{oKivUV)+KjmJu-580rV?agbkv&v@<8lEG%BC;fR&X^G|nphl~PLj zAU~#+9FoHnBBG)8c=;17nm)mGc%KG9f zUa!xmG_Jl6$+_ua4HWM?zhR=Z+-?!2R1yvaN>a_+_V1715-DuBzX;RSCqEoJaD#S%-R{DCvxZnA-tO2-inn=_XYI*xi7n^(MGAET zIHUsM(OHDuMp~wOf1{mxbTTh*Kto3po3-Lk}bPSdV{+DcOqUK|=6dF(QAzAse5z{)Q$!kN0J)V(LTz z6Hb0ssjg5c6Y30W!qWo*n)v_r4ZV^0A+1)k$w_Py|hX*v3zX8x2pRE&BoYD55^+jEe znkE)j2Q*MHs|L>%TBWpyv;xjlB8hC2CJ9mIBOKyXm}D|f{-_0MTgK0$*w_6 zpO4>4$(brBEsO_sa!hMuh1^~-uHni5z=i+shyx3%l&q{GFn!4TS_M<+>DeijB9Ymu zb1tIFR+=c*8eCA2f(c2s@V!NGD=t5)6p5C!|k&M7nZ}x9@I!Rvs4aNOcbg!TbS!iKV-%C zuj$Oq%?)jBMaDtSSK^3YrhlG!FoPe^CvnTFCl|1wrHG`C$CZujo{lBBpw32WSX4KG z-LC?!lu*OS>)c++qJt$;y(zaxs>?TuqSr$>ZZh?K@$k8xMV9Ta#cq2M;5I< z6lz{X6n;Z+#IykTX0>Cwvf{cMq7#Brtwx^&Cd<+8Y^?$rs?^V0(mWp=36ob*N&FAP z3LwvH1{lEsaK-3p9fOU_Z<|ulx*IXr4?HC44MoE;`CbpND9Duf)pFxgcQm3nF85sH zGiPi|(a1vxY2*2Niqja*{XdVb^HIl$*m;7@Qs}t>;KDeIqoAd`Yj-uAGg4w8QU`Ry zxvqN)C-j%b9Aqj^{$?L4OS%pYHE@Ow+CSuZ zcSTyQ@{>AiOg;Re)n*YU%%lC)AUsv3pRx zG5*kv=? zn3{*r5r|XE%0BL?;)h7bARZg}k&{c}sS3LE4N0~q$>o#Au%n~PWpr3bvIv_o)kq&O z5!pf-G*aUyt2FsZ-NjP85tR9f0U)1{`~U({ri(9a6_EGm?~ldh?G+L z(nPe(2Gc?A?X~~jI|{MwaUo0N%`jVQpC}ARPMs1!)L8xkui3h+!os={*=DAuKY&HR zxasyp>FtfTcP~zMKgPxq98=QK;ZXhk)-d+h0#!iyHUdtb_6#!z>c)6r%Ikz4AI#G6 z_s6J}zRy(0LI821`c2AbTuDoCk8$IWV3w-?UXD*lU;--Ngs)$p5(g(s7Clg2Sy>qe zWf1rb&VQd_4I-udM z@!!#_)&<+tIJOn=PN1}G7H%TZ@Z`M_5ELBu5r9D66Z{2~i^ku)c{7I1x}H@3{0`$> z*P3Z?a1qvjFY!Q=V`J%nGP1fBwh2_|^-+f^fP?$r%f~=1nu`kK$CvK0PvkOS5E7bR zcrGQC_5CkqZPoPd9eVt7SY19g)DfJct8EtazwUetH0{MQ3jG3$)S@18P$fhwh!Owg%MnvrCxHVT-sc^+emyK-y`+?mA z#Kera6p!P8N~;IB2#jsA{=F;7zq{(`>!;qQc>EF*kDBAlmoF!pbaX{r@By8jZ9coX zryw0Ic$vf}EfYm;YY)Kp?BvYcTq!<2K9ghQc!m9>dd0rlX?+5wihQ&j_JL?4uYiC8Ci3+6nPTM=nW%)(K7VMWMHIT@78r;SW%Z{Qz3o z)mDGB*u=zw0QD6f6(T1@Q24PiuWK!kxblHuQMX$&JN7tR4s=}w{{CobKj0VC)0f0= zdQsL-u=rSu{J1CaEWeY0Sj$X2faOTx-nLxZ7Ym{4y5?0|mDq<$Gd;k8Dc7*Y$4V-h zC6-457x(W>f*1i6LxoWrDywxmV`-TXmr~H0g1#{(0@j3|`EXrI@uWy<`qVO%$kzT5 zy=-J0m~@3lhS_r8z!%YG0Nn`|)SdYTt%Jiu#%lX?0Sb2oWG$D8gTgo$+es=z6-zAB z3#akC%uERYN%zB?=?=(l$37d|;b2vsm5nX-Y+agQ_S5^A&2ORdTrux7rHhte%E}MG zoBqc;RYB-AH8q7k`I9-xJ~`b)nj0b#X85kfWqx^nw~ediA^n%le=Z<&vZL4RCDL5N#L1s zOGbId$Kc=|-rJr{Hu+C|d8%oF>O3z;X>R!WK~K8Vvz%t{a!=VrrXP*HJv33hzjPvY zJyX+!Na-okZ8;4uGZVewN_gi!Z)A0Z>n;Pf4Gm^rh|vtoKEBF{Kb08A@p0|5K)Q+R z|Gqw>1k2!WHYEk4ABzxTj=#}@e^du@$Z7Dh3lG?zpSzk8B=75uewtp9ezd- zHO()gbnWW=Wctd^m~|kcVo!)>p`2&-!h%KSWfX^<#bS}e*=&$lR4V~w-0WYt{4V#) zM~1wL6WnRF#E!Pp{a2s@dP>)%R`}<~$RzF%65^@ap1{%F@+TjUeJ$xtr3VbM-z7+o zAUvDvm+H%v?c}4?QwVqTh@B=FipE}p4p8LZ$2Ly7uN2CVr;_fixY`l)C${#gTSaKJ)QhDh4;z~ z8yH>$H6^fyP_d!vYhk-ZajHP+OZ|oyI!@N224^YQnV+Q5d?kRy@c#>-#FDT?O&;)l$^4Ous%Us$8q^W`|uNLohem?dsD~Y2L^e{gYI0BT5LnQWBAMG z1Id`0w8*(U$?An24G_NQB0SaFRzLxD+I z>EnfW3B-3S9Gi)~$a{YXSg6w;6R@qvCp?^p#~rMCs|#*#bE6{tnh}>ihx8Ff?kOEE z8KvUvRE3s6^k~Bz2^@^>zh{ZonV%r1uo$YQModf1iHAQ(85)xcCoZf~l1+8OkqE@i zDgDq~sC_|1pKq4M$lp(rQ_z*bT%@K;M<-14cPl7z3C==V6Oz@}MZZxhubXJ-K%D)oIQPt82^vit;1uXQ` z##lW~vx&zN3TO!b=TxI-gg;}KPh%VLisDj&`mcX6{jNVGS&-KpvvAK*^LNNGOppl1 z7sfFj!TRx+_~M>6R`m^k1LH*h2!AMXZT3>EP`S(1sBJ1VpryIFVYQ@`bXe5RV6fjL znfrguh37LIBj(i~QwSOCRZ@?AJ1xvs+Ul%!VT3XQ6mLthZPZ?F4c)}9>06#tYWfnH z$oBrU|G2By+%{AEfduo!M@lN@zXd5Lcc^g(p?o+GF~{&B-cEUJp~v!LzBi0GNq;o( z^=Zj>C!6;-&M%z#R~TJA-K}^TsyLi-F;fy(?aUd33%!ykB$Dl4KN$523D*BF{@ojQ zqR?%lq3wUWSWsy7jsSX*mT;C&T-eAI-dIEFJ=e?#O{HLD_wk9b@yu~|7knk6Dyqc< zbr6v0!5Q4+dyRh79UByR`l55eM`h=2E~bdV!W@(B_jN>9TcPc~)10X#NWR|uO>YFX zUpj^49+zu>U+eP^t;_Y`gt}%g_v8YTQO0Ut=}-q9HG}Dsy>&icn98}Eg!uVaU-5Gj zBXJOB1C5n52#YXi^mKU_iF2w$cGET*tjcnl9F9EMzjD609qW*PAxmG=YtjVayo9Aq zFPImLY;v^h9Jwi+A6dp?-r;N>-h(@-2dwT!XiR3QMR|oNa~LXrr<%-G)+XQB84mSJ z4rWOuCMGUa)X~{Y*hT#}gL4?+91gmK#10gSoiNPp_!D1`+WWr74FU~EtM2|~LFYMg z!&KKZZ?`U2UKHv6j(JaPow4kW9S7m@T-F`C+h#j}3`I5r?f_8R8XxR;(e!?x@nK=t zDvJZoJfM5KcA?`t{+HkZoIOlH=E-N;LqsO(QPp&tjDMTYmH%i0EFVTLTy;iH@@+iX z&x=dGM#inm7NW|08ciOmP|dq5`-J6~+$;88v8%hA+6$e1hk6D^#vi~-kwL6E`xB8G z!>1?q>9=yhk5+>jd>YB()-+-2qBs>#q1%0B~zhBQk48C2U*e!r7NQDgKv_*=j0qBk?}oJf3Z zJJYSm-DF}Pp?_N+0C~9vA3y)N?WGb$R_>eYw1WJ61u%rBt$ue#fCS%iBsZj^v$Igq z#igpt`!7=?8yiqJzJ)6Kg$j89k$m+YLw54Z4^d@z^2ti-7e3S#Qi;5cgV4)#caj*E ztbe9cg@0fL&-C^pf?{A`u#Hc`$Hs|eZ#f}!D6*=oC11lc`8pHnAnIOC1!qjm%(p%w zw<#W5XDui@ijtqGgJl?Obq5ncq^XYz<9@7K?VpI8uD}|{=#{;Rp!k?q9 zoC$g9FARo(>i=`}<3mG3W6k=Le+&WTbEL?x=nvE$a!kspEVc7*Q}n%$$8S^Gt)Z+y z0jn`NQ=eo|r6LA!}BB_c4G+=8=a&po2@M7v$eE%_F z=*r2T*p;A;q}bKV-<})6sau8Z7B@!mcST8&^a#ZS7!SuDI~Lh^R@cQ5f3cR4`g!+I z&hhSdmcl1<_in370~Bl-{&XAmL)qiFaPjK+%MxuNEwe>=DVXBl*hN>5f*+nh>|m=( zM@NVTJ78gFS5)$ok>}lBpX%+`X`kW#^?sSW(fQr8o_V{*yb*0mX(8}s`d<%&{{2j%H{0$YazGanclsXpw1|M zZf%&UMkc64uT~jYiRPm6dT|2ZoY<);?OQ_^-L3+?TD#cT*ySqH z((-{+A$pOwJJFz;g;QvJ{>-IHTdra7ThvH22PFP-puAiI?gMdP9Za2KuJ24&9?Urp z{#fn|8{Y-ys>R2#pM$`dV%Yu1!rHoO;IQ;muW1r==?O)4FVtC9Tt%2cKdJk0l`YihyTxA=Z#yuiF@mab$ z*SIw91$X2V&FV=zX+DW&6#r$iNU(Ky(KO;VWI6p?pIzwEwxUUcq1iI#$ipQ=fXcB4 zxi&>%+vYYHCw5-(E97x(WsSc8GKM?QaEf(Uo-wwPP3>*dq*SC&hTFn3=(Dnmb}WWG z*YrOxvDp&E<9?m_%n9v@RC-t!)G&6}Os4!8?!?V2$zA@?e!6fJt3Cp(4dojVtqZyX zlNpbg>Kc6s1SU2_8xdJ0Zch!sxSHJZ$!HAs(+H&lKq2=71Sv*QQ3J3tZq?K{3Vz8c zDeO_=*I#Ys>&F+CQ!;KYH>_L+j@A+ldlql5T`v+`v6aieF5{dJNBOwC%G4u6AIFZp zwLzY)G>>YkcEVc&-V>I#R>h>uFqr5?oR6t;i;mqW}XJ?&l$f=eSwzY{PWxU$R#4+^pqz| zP_5+TR6!myQU7+gNI{bjqSo)Y>HDMNW|Zhc^BkK2wdlbqWlZh^^YQM~2g-xS7+g9u z`NF8u;n&p-jCw(hjW7O)R?CvV6)UdESRi9=ShUTSv6`Pg@o@gpAFegniP4s%2{IEb z5`iMQQ``~H?2)nuJ9CypHLAiY&vIY6Zn7s?F+6E@kEzGYuspqp&~Py9LV7cTq8B7X zsbWvcjP-JUofD+!W>aH*XqJDAqrC6^q~RzI%zNz-%v4Qryd}{I@lhG4iBy^@>-ekt zQc@(ka;RhM<&DtqQ8b2I7_3zbMsc^MzdzjvDa5?Ksw=Rdw%+c507lM)I*Yb>18;1# zwk<%U+bvz&s&S(UpKCr{@I1J_*ekwQwo=mF5ki<2z~=kNmXx)F3B4FwcYoTNF!!bS z*;3%aPUT=xZ#$lNAAgaQlpyxH)~^eJaR|pF1o^=?W#Ucv#nv4%UhkADYG?iV4drWp z?P>mk?tNr>;j9rod-y~L%ANJhLZtC?7RY+kCk-C`ra0N#)x`gl$JKrv z&*~V#9$8qI=|q*JQWS zR!~ZN_UVsu)yEV9*ivNN(zVK7!q0O1#Qb*U>C@2qNL!M@DW-YfWWAj9L4=J>qx$3i{3jC^m$Jt( zGLP%4GI%huuQW?=s;Z7eBIRaUM^8Av1f=m*_uqf0R8aH1I7UI@^Y}875XxM%yvir@ z2p-Bgfz?0%>zaWHwKpiOM^-FLauzjSwL7P^@Bv~HLE){uoJ^!A zxhfQ5r^?3aeUSR9vMRF-R0qYVGQ7CG{D=YS5VftQ>!(fZ%8})zq!3$A;~Irt_-CJv zs_TNhb5rJBTsr27NiFdYBL9XLU*+i6ydLg5U>UivfTX4lbjtnYvd6((d>I0`9Y<$uFx{}5Ep)K#6 zCKUmX!8Grv8!H<>9Bgvn`c*W5X~A-^ zn*2Bn`HjZww?7W{o%ilO=e>ps0A?Mt^<5IZB9oNP$^!l_h;5eecWN`h&#!RSFU}ZN z13;*vyZV7ooX3Vp)(_QoAI4j%*c9!3U8=Dr1`4GKPo^q|7Tr5CLL>|G(ik}amMRp` zlL)m7e2Wn&l-;(pb@6!AW&be!FuHns%bYel87n6%IPge;;TJ8oG)mYK1kE{r($Lo+ z!ed#m`TCD_9cW+dda}{t`ydMoU=xMRq@10Z6rn8-D4(j@f}@~L@@23PLz$9jw;7;! zaic=Xw$l^fcpeSLr+b&?;UWy~^up&m&Kl;=K1!`9sX z&S#s*GV)r0C?|XKo~OcLr}yS5{q@l3{X6?unkteGp}J!0-9;@t62WTfUI`#`M?kdf zC7n&Jy!=8T{Rl)TjK1WFmF6M5G&Ah@WsI=_)ppdf4JxordPFO5zmc09OAL#4=sA9v z(PP+eDq0Cyj{{J?tqq9m#TnlGnLH>qyi(vC4m|Q(ygsQFD;2-@Y2%`UPzAA(huSj8fGAN;RTC+8_9~UpzpE$MSK1n@YIC}}4 z^s5d1gM*)Ez4G2z886%=-l`;rzC1kXn5j+e%+o-({zN}xb#!;@*$r=;a2$K3tBuQcB)(|`WeY)>TBvuL>QJ!x;iu&*>% zAVR2SBI!->Ti{LMs3)(g*@VyV?C^&&1&7enRDScw`pCh6(^k)))#6u2>ecgxf?^Wz z_~_`RxPUP3u9D0!#6k%Qr2@8ct^HzI+3D`=B=0FvXHuVl;j=O}!jPsmmYi2mW`6$B z1?2rU5vM+O_NjL$kn=Tc7YHn+cOAvf_jp{3%~y2FKbtGyeYLXzJ004uF7rQ~+&+&M zeJ)BTtp-3z^|XXI6jfv<{ggsP2JcR1$cdNV8jMYuI_2}@LS_SWo_l~a>QT7&7zk2*W)`TeV+iAoaoU)|d-~=gE zO%xH7t)ZPC6ik;|-(Yl!jttLp`<-S8Ty?F+h|2>ZI?kNx=$$m)M`}X}Kr|e}_ztv` z%j{N4?xPMDWccV_Ma|Z$J`6R=B28$ZO#mI4GN0b(mck`(L>-9sb-aAdb#7cJrq8_C z&(|l)DsB!#1)&D7)5g}dU@qExi#AM()SCTfEEj+2XNY|C+&-3f^G*5j_j_MFzZxu6*smhA}i@VM2JS}J&W_A>9i zJ!A=vsO^QTvyK>}fu=Tz=pOOb58Ll%7otx-1zR!MTQ=8Mlv#U}2MOh9+f}m7MGP%B z{Z0>-jK1D3C#T7z^CkEH7&NBSbaWqtoG;g;MZc5%VJwdfG@lS$9`rv~_8ZJ%hM=uO zF`bphjJqO#fP`xqdjRrP{CxG}!EzXPk)Yuh83;K+fTB&iNBKg~sk4(4OxW($-}8JU zL#vH70M_^*K)-Pk*z{Y8>%uy@iGp!3Q{zEM;Fkh9ytsx@L|!=l(aMeZ&H3N~;QotT zx8mo%q0%$>k`x>qfJJN!;Ym6a01CCz0fRjOHO0cJIx$&{_vCClNWZ&<<#K^ayM{3` zo^Oo3iKjTz=F~K=59p@P7xR(u0LHjIvc(c@a=b$9shEYv_0!!kYihlO+=TXh#DfX3 zemI*$Tm$_p?*#q}cF={66;{ z1Pq&EgUOzuQs;zq)d%`BH9aV5o&)@qjffx*azKA?&J+(6e@>7&kRfhZ%8A?!fDIL4 zntZw!f>f`^h7_%WBW%?Tu)-UYBypObIlBnPC2$*-1qiotmq>>_MAiqnS7LuY>ZS>5 zZ4xB%H4-Z#Hrqi#!NrxAl7wvoq-yi2u|FdmzvB_@TP=Um+0P5b)WdKr)++5ttgWVB zI4`r#MuCZLQGlZa+Qe4B#(TK+e!bkD?POV6Q#I=P@dGkGDW;d7md?Z=V{e*4Uk!r> zH8bm`FU~Ac*i}=tx(1YWxxKl8kwTyF=Rdk3~hZ?>Ad$`{=Iz$mKXkHZFbk{8-iHj4$a?)Ge};-G*MSwRF*Nuf zt`?%3wjNf`cm41kuDoC9lJk0B(kt#yhZYa{4~PSly^?_W@0Z)oBYCzdPEn3a|6b+dk+I?ouxj0q%E7^eZAtr; z{Pc7rnL59h6JLfqH&zd~F1Ro_Tq;JDj$ykX`@D)j@EZlyDs}j-={_r6_RRf5RV>jK z#WI~LWv+}Sow7@t9Q{g%wA@8UsZbT!!ez;1om{yvOKmy>CYm_4dlS8z_7lC`H+J#8 zX11D>L}q<)+fQeRA0^ zMrPU+dCld}wrM%zH>zCzI&v8`U~pn`?PA81!_DbHu-RJnVp)bhi>*k1=M&jX+hE3t8jP40qQ0Ff!s`#Mytw$ z7ah|f18E|~+hsgR#D|vGzy(`UUQwEUDCY~dUrZwPeYuRs>`V>M@fUz0EC|kwHUTj; z;d?`g8Fv&PBy|?>Jkg0N1J$Sntthdz_xC64Y&4A3*Ho|KcH|N}5pUX+(`6YUnbOSh za!$4URwfT8;ld7xcSzB}3$9DQt`&Ffe5U-E)4{;g;iw2D*8Pj7V3vbTh@!zKIjkt* zt4R&habNZvSqtx3x^$PpqH0z6@Rr|*VDoZpL#9E zD)SqKwae1d5?RlloBu{mpG4)ks@}Mu+Wz&riAnA+%{-T?AfZaL-&^v)4SLslE$5Se zcx=OSh!NVT9O@f|i!7qMTrnANUJDhY%GO4k- z1J88iq=>-A4S!9C9{JU1l~7QBZrHMo^r|CH-WS9ZS7g&~a)fA(tbTtl7L8Y?SYndL zPFOTL0$8c5((5d6kxm~zCf~pfrG12y{gQeMvf|P==uHwuwX@^}abu3do7$$aRuvjv z@!SlPlx3h;f^*<^%lu`>q5TpQb^3GzAO#(mGk_Jz^LjqpZF-)`q2tekp8Dol9NPMxujW zdtBSil>0)eBH)JLrmgGgfL#&g0PIW9LCH%$_nh@-P-m{fyS2P*p%C2?2BqqGBRk6sa`2e!3LzVhr}SiaxJj(K;{W1F+ff`dAW zc6=7QG+#Z0H``kI#Szo;he;mh5f11(uPxQms5;lZS6vn?>yz0?j)mF8usS)efH{e< z3tFm&xbp1?N82~ojb~hQ8Y)b;I>%5c7RoTUj{7X${=-mF*Re)gQfYkakv;z#_Q0b> z;4Z5mO1<|pEErFf7a0lzr#KHI62jK30`8W7$TM{@3aNYuj#5g!Jt|4efJrGiHy!I~ zyTk7#$%_Qv=mQ(B>W}g98Y}G6)Iv^DoMbRE*!{<{8EQO*^i5a5>-Elp{WN=pm#(GrspMxou!R^uoF2NHmur=J&axjiXO zzDrp1#pI=FMP;Qa$RxlErjF4}%2F^2Bi|48Xr`U;RsA35@6kevM(ain-UdG=3)i3s zMw}qPY8x@{ilW)WCXHFXi1NLBQA$Ey5(7h5YXAzEN!|_Owixlx@4|$3B2dOlzHj5f zFl6FQS_g$)f6bALhK3GCE^9CNVD^bhC)pTeDimlDLdG8gRt!wiYWRl-)P#tgt{y-1 za9aWJMKnY}Q|G-jMM$@KwVAztktS$=)UI$)kI3BSkM7s!8yc!BmQBhwvP*St`)@pZ z&!ctg9ezIVnkgU^6`Wi-!g#xcP$&^!1RltY;4C16Qxd2bfbh57O2Hz~1a(YHniidI z``}lnwVvpQ(M3h&&3_a$T;A4}u{PfTRI~W*+>GF)K7D_(MoGJ@6wwxsEOzHlWgA2} zSDrZ;{?L2>V+ZG2W;sAh5gf;4?w{wxl9O6hPILZ_zYNfF7(DNPib>#JK>* ztx=odeWF8*kBMp>c?|$jtx4{N9X|K7pC1A>H)WzKfQjb~R5IdjP6>i94^0u>7MsA) zk+bL>k#0#_(WJICaWNp~_VIe0E(PNCz$Xm~$}Uy_n0wGAeyw)9OWWn(kTB6;#pZ`* zz`<^g%>D`IYC3|F3A-S2DtJeHZ%$io3XP_YPlL{j&+72|p4iU7(!CDk3rs!d_Sa6q zKLyZEd6VV`Y|%eY{ne1SOrpJq^tpbF?f~E4u<&#Mg6AF;p98|K zK{+seG9P?9+8Q+-jNu$XsFdX%4}P2)ds9fUa*fWR#u7(78J|sfyn6QMPi!Th4s=Dg z_f}o~N6nsqv#(30Y&oOOO5J%_^f;#SIyR;)}9U{l6qnLbVk@hwV1)xiMx`S#EEiXXJpRs#j(4 zXn%j->CchnkHNv(+JCIhm>})Dyy}35Cty9%x1i9r^jKoP!Zgfg>a*l6h&u29|L-S_ zzwPD|1q4}}xvy%#9J=UD0iaaQoI-j2xfXzPHcY{?i%m*mz2!}E8Po#;VJzU`O-0fE z{W?WyJZ>Li)X@t-%XcK}1s0jOPvd04WgX>>aF diff --git a/images/USTCRVSoC-tool-image.png b/images/USTCRVSoC-tool-image.png deleted file mode 100644 index eefa1d07e9c5cbec5d9179fc7b95d1516f2ee21f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 67380 zcmc$`1yoe;*ES4-zz6~|AT2!zBHbX!(47Nl0!FA(n^B}h;(-;0@B?L zGv66R|382K=Xu|?zV)s3owa5Oa?Tz5-uJbyeeL^9pqh&8^=lN@(9qDX%gae=prKv; zjD~i_9p?)0KPl02Rlq;!P8zaMw4xrWW#AV~3khWjG_;RlxMz>A0>6VC(B(g)!sF(x>rTz_K2qX z1A*{=Ume8G@I-MR-+x_Ya(&AGzI6?A5)<_I)vogY?QQ-paGLL?Z{+@UaR^sC!`V)^ zr_SI>h_A?I@sm%;L2<+=B1HT^2l-PR@81Kn`Y@E6c!osuAn7uZqxI+h^@rlful47P zh{~z+#UgEi>eJPFuD|I>gT2H~k%&{->{To#5iTuT(Yccb;t?@mlLD zaF>kyZ3>lGM*hO^ z$HiweVU@@QPvmCt5~ThxN_wC8%=28NctP(m5iz64?x?4I3GC-gJS2y$lG`Dd0h={w`3%FMpz7IlcaZEm@$!DFbpfI3vEAzW0iCh&pTeE|vRKQ7^i@2Yt3 z$mgn;Yj$WwGDw3J*eBEgA1Q6mGvpV?xHI;Ve2Jul(a2$Y33eguaR3Oi4^LG z-L&^(n~Q$V9o-feR~$6$4rctvl}Q?Pd!qZoRISR7AV@2@)}NE@Fg~ zCGb3^L1N!NJ+D6?IQz1R81&p4MdXSf)t|N3AAS{=Tkbu_^_;Sv%w$qhiylz+INi+d zoo0iNC^tThq}-ErF+3lhs@#tf^4keqt{DzqAr{ZfF!X4T(;_;r3uI6CiLMFcO+x?M ziI;5ye-0EdX2}c}1(LvLf0@X0SM?0AK&$7B{p7im=M2rM|LxOQWHfTEew*XGzy4_I zoWT=Oe|~sg?dd(>nWrcaLD0x1uKCr<&yHJNUs?}mu}d%TX)|p?m$0-T-N;L4u(p!< zBqN22z2EIH@YB#HjhJ!+~+4<}6)#g^;{+qF~4#549y#3N2X4U>X7rj;^#XY$YRgqVkCiQtiloRlV}Y!cJmvI?@8#dv1xfJWu~n` z)dE7^gNJm27z-JbGDyO=Tw69h2aITqjnrKNBO}#WNs~)hB0$^wn_|OL0xxisx$2R7 zqq?dQtf_WH8+&L*$ew|}A$OTL5c0RlS;A_$>njrTZ1|Es%Ev%yIOG>LnPRA84{U^H0E=m-6Z>mR}c{mENkE?=bJKb zCU_j~dK#}VXvx8J(|PunAjo0yb5CFkiy(jGdm@lWMkn@&Pf_?Q5Fh4QC*d;#=9B9b zDuY6Bs;?&jBhj`;7_y4PRw^IM6NX;@9f*dKfeFI92>%W{pk?WtuYbD?Ft7m^$kN|y z2P~xPYrB6n>1)dT|I2Ot${rX1Rk*xj?hBy*{u%9qw;hUUpgBl<|Nh++TPen@tC48Y zYC5ZQSn6~X1`TRZoSpvu*b$vJE%D+ZeL@z6e(dE3U;WHrv!t1w|H0B|v0+!BdDP%!^(bZVI zZi5gXX3lY;2?ZJ+UH&f?SGMTAE(di(j`Ie9^gP0eup4Ib@6@kirB!fRw7vR zn&e6;lp(g6E1F`LnhN7G13i~mTF$2ivnXOyurm%kUH9@Z$97AiMsqm6v{V(Xb~DjJ zyD%Hqj2`E$@^+UP|7LYsm;L#u@ZE-HI3$>$#P(g%-~^}~<0PIs)8ut2S}jiKu=2zm zvHa$P3rkpne+DjbZ+pw6sx_7?#SHW2H3T#CxCJ{?(3qOe&s(Jsy&Dm$QW|hG!+v>d z`GG|sn__0m!xg{Jvz- z?-ED6W710Lwz``b&D^o8n8{8rebW(ZWLP&sC_t{CsOabMKxs_$65BajpvC-REcU#r zdw6&cxw3SkWnra!&M^MPaEoH*{NpWKS$sMb@wJyzr=`R1MouKOFOSJ=V$Q~@CJVy%naE$mDzuC9mR{Ym?n^AK5hx}% zE+t6f70kSz@!1((MZMtOxMYHA)N3{KMlWHz(Y3lEB6ig{KPlEO{5@5N89|e2TYj7I ziOgqgSc91v)E|U;_#_2KB}H%>_`(m=>+PUR-I{ics=r*Myg$?;vOY>kMVJ4^-M6d; zaiO!-t|HU#wptq!HrnU?`tSGTr?L!ByB&=x2^on7`pz*3KODK@a6Qu2rOlJz3 zJaXthGI@kXRzQx%4-7QM4$2%YH;Ji8mrWa)r*uSRMU1-h5!=`>m(}Q%`=-H|Hds`^ z$E#cZbjiz(URF60CsI9TwCAElvcL+k-*YS~#)pg#c&2F|@SxeMOvtvbK-m1^UgJ1} zQEllnKViPMjVCBqA@{+&LE?is+E`Lq*og}~F~Bs4Ey66Yp&s3dkJ@FXw?c{I!q0J z4!-*LUm2Dl7mrd7y1tt>_V)Q}QaG18u%#^dcGZJv+Hv|X3Fz3s=aJKZ*y~^0`vcb# z=8gjQXIygr#2VqH&*8M)Z(G@gyr|Hc8e-d-I9?URZ>LqAlRAi9Hky=B z0X7>klnXa+G5K_`zOWz@noy>ySUzr*Jq*$LPKbKU&sH7`Jm+QSXn66|*sYzhqMPJb z{Iv9$xG}HgRwCHcy9G6_jI<@fcUThC&~*)qQ&T!2vpz6&JXJEd&C>(+GIRp*w&Z) zTo2BfPIAp)?2RhJ09PzHsk?7}UPzzl?cJlKdz`JJ4;j}6YNUC+Z?nQn** zV)KOwtY-`ZI%-8+!CIfT$mT&0A-Ifk|V=Dmz^*9P; zl)F&F}J`a(gWz54bwpdF`JY4wh|@XB^Il` zx@**N4(qq#Oj7Pf9t2%^^}%&~vqp|vrQUpLV?g4yVw`KtvY@|1ifg#3#x2eyzK0`z z3!qk>6gwyelkAP26I`(Hs=y&B_n%Hp!)RBeAN|9gPhKsxeTHX1=B-q|HU;KHf07kf z8~`oHwU;OfhY;|d_!1SFl@w&FyNIGZAoKIPhFb*&2UZcje&w0kDbwJyB*$(!QU(oT zE7Q_EDb_`wJvafGdsh^bl(wZ_v>#@yP3F7zKO?o8v3eRQ7$G!}dkCpYeFE*#M8?W})`v4p52hH2cp zrC^_wNY@pdmdHq4F64Y!V`Gc1fIgumyb@Ok(KFU4cshjQhOY@(#&mMDK25poXs zGyY16a(z7vjH)j-&h>l_=%;g;8nAwT+f&FvV(?$nna=aRPx}w;sTMj3Dk_O7y zAa=SV!Sk-RYc4UNLixCXTJJlB6qigE9^HkPjHBOJy{>VWhVx%jr(5E7yT4=t_hBw* zo|@jah?%cpq>cp`m9|RMA|bUOq@3rQHg;}2&3FNPP(Lfo$6cguSGHnWKzv-#-a|Gd z^16M8tpcg5QKv~&yPxRq+2;7X)qC+;RS-8j|K*uw+04U*SE>%tEo|STlV!eoKFg_} z$NI;t7xMN>8B<)mlC#TYR!C@;QUhIMKW zE!Sn1f%|E6xP1g~U+pWCewNUK=FGtX2{!&7E&`%;BC+h$nsB-Co%YhuI;<&~4`=MRj(9p94I)D+Nx6G1l$<;t`>gSn=89P_$nvkw@#-wk zKAH;MJd3z{)UC?jlQ^ZWJ<#K7Qc)^Dn<7(D6YO(2)lcCWfhE3uz(!?mxmaJU<)ZHN zG#`Gq36(Wo3iC?M$F+qw-6odXWin8hw4Sb5Ia1Xsh~}?qQ#x2SGVKvFIda~+!PLn; z!RgZpHm8fYR*G@%#N5TzdsF_EwD-LSpVm61joB#;#y%GTQ1L#0-1`mgpsI2KsYVPz z37h846}@Z&Xe-OZn8Y|{2l>3802#Bp;tZE!xB!csK4kz~6uO{78qArgZsDPU+==){ zg3*NfmKynHlF*$z-|%;V1yU9^trUwwAeJD95wM z)6PN3SOV>VWIDe{5VLGpWXvpcyvq_4#e@Q58||w;W+EqX)3#6rhFqywC%W`7up7R* z`JgwuMb(FvFJS)k?fNz@3F4_oP=&)i4uK}X#^fPBnV;+knsu=(a{4(p=b?7h68seF z0nG*X1fAVF*C|!Yoz)s)Ph1m5D0GXvR8|@tD4T_9IP<$p0xchFEjKz-^_G7d$NU5R zBkZpXnSJMi=Z9o^cAs|eNxCbF@1sA~j%H@;;#p><;asi~l`B)kzZHv(XVIB@;?-V~ z$O)iw-+eP|-}>-&g|h_&)Yxc}@e9+Fl0m_I&lL=M05Isy_9X(vBrMS zx)fOwGqaOWs9S{FX=0!eIh>#z?1na2mEjKkc^M{UsTh|h#h zkWTJfPQrbyxr{!lw0m5SwS{_BpZ6RleT}n-!3MGR`-PY!E5`x*^(KENV6trqVi&_u zNCy#=G=VvZY!G(OgV(z6ZqBELS-|itoiGsl_poFc$(Kg7!@yDlp)tkJmUrStun4>UoV08`2vSR4xF3*lEDNvRJ4_(UtV`jC@Q!fizy z1IrW#VDyd6{#8?b=3^=@5_kly}zY^DM9q_)T`MMcKR&j`!epP70@Yd9B-q>GMD%rZd7t zMYwON@eyG}Eez_QP0FvrnIBhReMe?k+3*^$ozJ3hRggp2QtNT)L^3-r){B`tJ6^X7 zt;^@*rL>=KxzR@_R^%59O1O-%-%zP zd^08vLSCLdp({grOH@3XN)&FHWKvQmbUsUtP?2j@ikS=pO!bs> zJG9rS^Lk0YB|!WNEtWc76@RfXmotDHJF$(w2oyQ%6 z1F9`fU73+3tY2+gjpE)9zwDwMH|Xwelqx((s}>uHn%Dnnm1Ev{WHb0QLk&;@I4y*) zfy?#6UNAgN7?cn;rx-tof7|+<&DOy*5nu!M{`k&9{cYK^J6@Kl@_@tW3Ym5CxX9~% zqC;5%^zP@qtBm66F@!aJqBBi#MJrqxPs7)Wx@}K%iFzl3@5xA>7@SrmvM;D=T6E#9~12vf5wqUF*$|bO#&5@gyouI2ceiJ-&T}nazf>L$B0_x2C#~ zJ4&tkhK=PExgYdmGH_Be+OHk+LU&x8SgdaNl(+QPW-#CTa6}sa9V%W9#g7DG=;13LpG!gGW zrM?lhW`?&If9j&QtIApR7nnk-!o%I3>gmEHRq`t3<;3Wv!5Y@lo$9{5bc{6eOyd&t zDJ0=%TJ-T*h3w2#z7h1RysvS=8a1NvVPO3>xqh+Bpxe{gFQp4zg6{f)O=|L5!(@DH zG0EChO_}CFA|F>R%j|dcE5BbFx5A%&(qz^=msO_s@>YZ4=$qg-4+Nj$@CHJS+g z<7xRZ_X=-*ek7=fLW;nWCR7S@UqFcC)iPwVZ%`uCkd~un7ZMlh#Pvh3=6;7nHqqNN zRtjV=6^&HCep`Rjmq>i@J2oM#3#g^YMC^Ja;w>!>P3rqO?IlQW)y~&Nnza4m06pm$ zc8PNPQrgBTCM8p46)Yl29+1X+N=(aw)TXVVQpP)TL^45aUz7inQ(<=2QC{YL>?$bL zY)ixPjKi^3Ko^Se`RdGv@7)TT?8$rwQ@R{h2&*HOPYiyW(a z0YW(onX5j|NrDd|OF{s$u<@VmMq0w8{K32hqo)1Eg&da#2XIjKC7d{9$;-DCP#kn) zZY!#UE$3~lJfxwz2_+r)_@d|58W)B6VrSyOc*y~qX-0qOgRTh!(#X_%*~5}Tf#Y3{ zG4f1qX)L&YHmrC3+Xo+(LA#z<+|0ep?LhmjrTxCCK7cRJ!k_0xZn`n8H5Q zv$-PsS|M&tkWN}N9p#X1C77{0**Ayo= zg`(jBpsysoDGLKC5#!m*uF_I#$Xy+~PHrh(AvYc4^INu&_$Azq#Z`c>lH&eL9=eoV`Loxh zzBFIKZodf{!4t$8!9z=gUm6n4E5u4f-Qi<{M2YlkJ`8-|)3A`~?)+O1rVbD>0~A@W zw#!Gmf@SJLXp#ux1DUL7%74jDfP55S(I7#HkxG5s^FvV@Wr-L4YTpsKf;5*SV8?9& zM5_Sr-kwjmh}~~}%Kvd}KxfvaO+m+&z;km=OZ3vb^4kFc8?q7hdil^|iHYwT*%`A_w|_s zOaV7zi&CFw3Ue^Rt4+0o`aRhbYG?d4zHWT(Jt&0FXQ=r!rTsv@>NRSI@(nGXf%JXIL7 z^7MB)iG)h5K`g8k%$df-9q&sRu*AsSxg1jM=ywfddv+swI0$%a`gn7{Fq;l_2rS0& zNhU{SFqyqr@8U=GJ@7V8^Q+%psjupz61Mcea-bY+uA_y%q`!Rl8*Nb}CHL~6a$71q zL#|_GXDzOD*{i=*s$-~!0L<|A?lNf2h7Xkll7(aC~3kM_7C%*ac(vNUC)5oAYud(92ZBgz3gb6O~k?XKrY$=g!3 z(Ty*8z{KUn`OMlOdxi1I(}8k>5%^@ug~?w{Ex02q6>xf18e2f-Bygbq)Bb}NOPm8h zht8SK*>B%kQyB-p#2!{wZr00Tc?JK>Y5ivO*@JrEyp(vKTOfLqbmEHrTH|xXx7Mc> zt@15ATeIhhMxU#Z>W)ouv!Jtp{JA$u!p`8G(RoXG-4ul-udqSyK;2Ls0867Y#&UF7QDtcBchQ;HhVDG~b<*vK}PE`4+biQ|o7T_$tZ9NtxP8DYB33Ttp znKVZA`5oURqBevZZe-w6qzp_xBhOdWE-}-IjNMd&|Bg6d!CqWv@T2It}v}y6w%on2}RIQd;#}+5EH0vRQ@6v`~eFb7QB(5f~ zZ$i2CY0F}J&n!8Pfbl)p0VPoSxR?~XtN>T14YLs&jkw2|rss<4c~<>iEF(=i8;M5r z+_OGqqFlyvw%q7ZU^*PK0zeK6?qYotN~uc^66H!JK&Xx zF;6y~z8eJnJfrY;br<*7`?39kd+zybTv`1rrYVopLMoE*cBUnWIi2R6dQ%cYVEb;H>WegLrWz^$E|uS|kZ)QVo1&KBC#)`P(^Od1aXRJ<1w+ zyn!t%>JL0+2PON`OzDy~Sez!A!r9QO{Sj&gFr>n0SbT9F>yGkCbUu7ji)n>mSbexRb^ z#lEM3sPrHs%;tl9Bir}DavK>M#tx!v?Tt+PZZAbFt|Nf=ISR8ainQo-j-}MDCwuI# zEPAyXy(fERLSRssn*K_i{G$sPh^xFx#qkB>9P=8*cRk)!L{dVi3#og_Q<-0dS)>hk zidq!id>Rdr;*Jm3xEik?Y6mrih466D#Hc9s``*szDCcr`Qs8{7jWI@wfs7##qAX~w zHz%4e0hr=_dLp4NVe>e5i2~CJrn{c`Ku?{Zm<(en z5P#0O9QfZlhbzQs^dnAeh;2qJ^X!y06=evm7|!xs>wv#m^`|bBxoz-1_es#QM6cfN+U(_ny3=fUg}jr zj?2beZTQvCK&3f+|`C{z3 z{+@;Z>d3}3-5=s9*OnlU0#Q*Iv!-1a@O2nN5{N0w4%!OQZ(ckC7-zixPEk_=`ZVuF z<#r8h%K-GH`6a)+2uGMRTIZ8r+Y9Evw zb|U)#mnI$uI$pr|1?D?9>IJxT^_)QbX2wV!Ta6H(o>`9^)-FV77{ezI26ftMf_KzP4_;ZxpMtIs{4c=a#7k4AcNQ@!1l z3TYYF*9s_N-0_1b!265d-^JA`LY?;O@q#Yk4+4O{ZCzH0FVhVNIuyA80E!Ms6u$cW zN*XR}-wl437WYG&#Ka@`pL$<{kX~^HbBeC>Iqg~?u!x8vncGtW|5VTaZb9``w`l|& z5s}8-|KmLl_ix+aqdfx7t)Up?^n*+Lvim-Z4cXJ^5Aa3`qFvLy+07>j40)RNGBF92 z{zV2c_kcTCv=**{(14_dAM-Mi_;?XL0e2Ro;NoxlyL(?Qh=N!{t=3Nnljqi+0DIg`~q%>xXiT# z0hmU47`RWC0qcz&H!Js-3&)iMkQYdRF1q_`xao;+gOom8EW=GZ;u@)6M`eg2D+Qll z`I_Uc{QJZV+ofBig~{OIO`2+%zMe!mvQq?R@C5pK7yeO|>;0k7_!3N8{9g&3m&py( z+Up0{LGdf8q*0ZPgdFd|z5wrwF1I45j+3V%GOnN;fITuuT_i1a9GzwqKVX6@y?4}s zZVcFW|BH1VY>R*+ji4yUmmXlVMjg+QYsIt(bne2|<-a(gKt=G^Ae>hrb71C7ITD4s z+Yw!uq(sYc1OuvK0GHH^@qz=3_G?JKauBda9xdSfi?45mt)q^e$VI{8K@zRPil(|B zNdB^)W7jEUj(pW8ifMwWe8Ty@_@D$Ee&*~BT2jhy3o+2gNL5RPUR(B60x}WYm!cH} z9jPa@5y|)CAj=l=qPVsge+@I~fxzRES>K*)Jp;K+s6mgegTil*2{nbv$C#lYs5%YX zrXi^NpI8NHRdyexAy+IMhj0NmH z_D}e-D#MI2KYW?kojlun-r0>z9bWkIi{(BO?a&z0 z`E@qb!ZOpc60c2ZzH~xnL>;0CH0-17`I)jayt(iRCGiX0CCD(MfVz_6VL3+28|cY1 zx)=gn?pw}|FIEqZClg`M$_~zkG53KDR)u%5MX_DL!t677j1RXYI*7`NWN3JDr6^G= zJOC6e?Y@N9*$uM1^gfu{Lzma^`=8HD4KhT560>ZOjfFCUq59S>1;0iwzboi&^kJ?JBl%hsgHlLHNt?yHdlW+ zgf-J!F>?)htGKqFe(Y&p-kWd!(KDtOO3=O%Q}2ZD-9)9JF3PYe`+n&)J^D4rfrm>} zTbXM~T4ib#gMyw#9kU^Qu-t^xujd5y0-^J{yM@4MPB>wC!7jk5#syC4N1O|7KUE0Ox~y5C<)nY)biP^B{=NOjksn+L1Qu+JacEX!;R0P^HrS zFhAAk+h!p`07pBxKfip6HD`4vdbygA*gcrO*fV!FM^G-ncxR42!5eAe=wmKcGCfpLP$06@PN;sVc_3 ze?b!F)@tPCiRH~RodJnmj(RM+;#?n?u6^x#0U6^T=;#}Od@op_i4J;ouNTJ#IdEfI&e#?{j zBa9EeBZm5lA%0qP1@ccE|1!!bfevkDUb)fdjUA!V11xm4%jkzD9^#i?`a8N645Qw~ zz=w?UM3jZ4CE7L*aHP_;-i!7(Q9T3aImV|=;6G00AlA`sG9k89e$$E2aR$4mKeE{u zPcms@v?lx+=TQjtJWFA(WP2S=aQ*2lcXsf5PrSO3EvdHFtB@Tif(>zX;Y( zpp0@YU+=VVXD#&8*N&;Dfk;dCF- zl|i(ZlN3ZEcHCekx0#K}TKt?CIuXq0^ionS{#WTc72m%CRq{vYhdXw=1Ug%>KHc}f z-I^{8ESorC=Wg)peBTk6J|(elzO>As@r_aaC27a$*sX}<=b5oyE?$_r$4S@ zPBt0qIhL8%j6P&67&8%Qj$gp3kr#iszc{dA^Zf6%d=hF@4J@Dpee@RkS6xrKudA8* zWGMOhH!}sHT|cRaMudr=yn*9tD7dlr=$3EjV0I(R-9`^~QP}80ZO?oK}87s(ZaS?<$Z&9Hd&$DF5k}CzF8%S>&!pPTX5eXIP`qEFXJLs zrb&Kh*Ufl?8IXi1^jfS;vxf{F_g~DJEld)JxHh`I_@*B0A9=cRwbmnhBxbenJL%i< zFuhf^u!A9${Tj$_S{85Ek0G3_ud{tCi*80%rA=W*S+7*VLu;znhkKXlrtDgmR9_`| z6I>*#uJuI1DKjR|jS^>d*w6gdfovK9eKRUw%}FGT`-eA!(J1zw+&QOthT6u4*M9OU z$`ud!Pg4aKQ}yT`Ex`#2!&K1>RQ%;}LkE@Pv`@VWNea#l_ApyXBKvDVez$W)-!2jd z$t^XaV(+Q0jlbo0ovIFFEK(#XD|6|Gb_tpAGUJ@LJ}$*QHYS5I3+vs%qqslA5FS~=qBLfyjODWa!e$xlH(g1EN+;Pkyr zdFso0wgZ)#!}+h?A5Jv0o`#u=L?ei2-?)Fi_1}~3dD!-^?FQ5y@B7p}$wJPm0Km|$ zNO$y!QGij_N47 zweRr$Uaa1!vALnnu*<`7;^AFNGG*AI`t>OfU4Bacp9q6(qVF?{KJ>gA@sRvvJE|@A&k`zZb9_DA62F4QQCw9dC?kwC%yp7X<1N8;NS$>xYr5wjPD% z0{jb6$(wmAHukpGorq^1i_hlXdnsKa)(aM`a|6eO{Y|1~Z~V|5ZbRX8&+kFlw+EI8 z27F~sxoQL#RKAk+_{1oZ{SqH@;)8VX~$?T6OCDwO4eSsm_IX{*3sXX z041__(=t!xRanqbKEV!wG2?cL8?6=>Jt(5t*UvlXGenlVB$lVXFWSXwL3CWViB;4p zN}MFlYSz5{Ao`x|+A}z3=JzAVMpRDqX^+iEq_N#H^*Ykp; zdRy_ao9a{Ll9I%&nIF*<*5JG2qDt%U(%n8@wS3GryXbgp)W{%WJ@)vc=JMkw=c6O* zJ7@6|$9LbJ!zN|^mTIoEfDiZ*+TyK1T_@H@5B{W0M|Se{QDF1=fq}FtO3Z zX5D_=uwqe8{f^7783q;C{6M^*hZlRUvu&D{TD}WA)v|2)rqjc#&HDkdG@9ZU;tc?I%h zzUA)a2pctop~$1uCnFGb?-o~W@g4uaVXR%fM*ilT)&M<2nWg*$7H4=J)@dHq*0SMo zS}|o#K}P_rPdUJLJoo|8JdqG-gP*I%rW8@S z?G2GDbUwH}bn8gDINMgd z>2@#dOvgP*M$J}DgGllhTOEWeRGDh1IC&vY*)6SvoZ&5^J8G|_uD$!Aa!94CV^nVR zwukrN>ONf6umE`?tj|9Ab+y88W&OK=6V0%t{wPJz^U~m5mywOI_LA+|RC5t+&o$@= z{J&j72mfC?Aj28FpC0z!$!&M?P*ZJEhYxJytZwAv4#R)oJ6ZK`WN-pE;VGm3^J$t>y)$Yofom6#c! za{nT%pciF!k)5|W&^?MD*V8`PJV1Z`rHPbq?-lkK2Lr~WDaQY$zt90?E3bV$%_HKHG4fqXH0m4-DHJqYJk6u0Gg6nKfJqKk z7XBZ2;A+Re$d6-^U1R?q@rzcapn}sp2-G(<`hagt$0hvv$;7Ve&~Y7WPhRaibw1%g z1nJ_Xm`lO)55@cMu>$8ITZfcx>ORw2c6@woH}FZZG;iL-hsXY)(r1gKI?F#XM+Nd) za{e&RiOzvccr>1E2z^xPpLqqKZdvwK7N#ZYqsOKv zo;^n{teYU7xxeQ;pG+-r1urO4Ju5XwGHi!c{8TM_-KH8=xvdJHwvR${kp3T2JRoaG zlbEV_ZRdDZ|AXDT=N^aVr-D2I5XUg}Nx`4R`4i_kTy9RMhzJj;06MxJGu-ZVJ@R@( z`9;Zj8;~0qO?7!q9{nSC?n|?OC?W7-8`H{bD(AtQ4X5KBSg_u)2Ova=7)8LdjK&&$ zDQ&1yrar5GT#aq56kg5#;TaS%TmJebP^n)@Hw!O>PyTp!1x=qA<$7=*AW4CQkdggE z;y@8}fRXVJ`d_&sAsFWz?vPXl+BcLhJj0|4mwx@epX1)xY})+WJ`Y0e8Y3&KeVQ5& zVfLs}=)+z#uh>h``d>0FrWZiBuhq2Q?-Fj?xflF(J|`_(1T)^`+f-N1=}!!8rN$7N z{b+EXvKPjL@l&S0Jdv4&D`-gfuc_iMTpfK2dfA4RYYhi#GF4MMc7f!}GNJFoGd1p+{*4tZeyGjY@7 zuAh?8u)W9b>Si5?WXgl%xCwuhJ}>rLOt*+9-Nl{-@*m5+sWeb4d?U>n^V+ge&ZcK! zbn0tKZsnzK+(U1l%?&VE&k`D;_q8UkW9yy!+g*v1FU=Tsyw8M*hUa^sYNP=#!=Z(T zoAtl_rQZT7wve^}Xl9RCR`&QYe%@(b=Ef(&Yzt`1!Dx_gqkUa^kbw*QVh9-kY;?(T z0oe~mC(R5P9f&T&I;>4PccsYVjjOefSpqt64z>4iVaD$D@@m3K_5njJU#gb6f(|fb zG}EuL&tUG)b)>tHjbhyeDl%yLe;8B8b1bw*=MnNh8P1&GBx6&bux}_AUnhY(j1C$m zOQ&IUjoke@e;%0-oxc6k>L<&qGxItjs#6u*G|fPIE=_2-J*F8r_Q@UCvZG#?K{${* z1$~wdr6MU=B=`SG?g1z+@e*zs++l|r4J)N5?(vPiPrz+78(D#Tj~sp1A3|#2auopL zU3K6aC6XvTILyV>O#r|LUi7>E8-Ia8zx78I0l1P~Wd5YtLJ9&PW1ps8^~IxwPn(iz z_m&A)^UaMz*jH>msPjc{Z@bO;3}X~aXHas~tXu>3%|Zs*KePToAQdtb#b}X4DGPBu z!Wn>Twf7RAA)t9hUUg5*#DXpl!Tdoy z;6&CV(F+w}xq#DS@AVZ2{ZR{TXK8lvA@@SgCY-sAq! zubssdDgWD~`BiD`?L4OUR%R?%Oi%JWj^_uz`m4Dd!gd0KqLajP2nbTw@;))MAm?L? zpXKQf^#qlj4f~(Yy28>+7?sk;~Jar{BIE8Zlxt1V8$^*zjGeF3i%V_9O1> zu|3VlCk^`-l`KGp0qlVHI-DzzI905Ez){8s7R6(D&kg^bOm^Z>;cLO45u(nxLgM1J zB~c0e5g=_h5xQe0Q)A)Pw|`^`$6C894Gq6X<97p^96YM*QR}HGqpfvYVuJta=i5-oM>NkNj6NQ5fPt^1z z-LR#G{Oj`Kp#oNVLN4$VE1i=P5FME6KW7*IG6rxS1{Fju9PY(q({vT`<0OGR(0RPa z3-c;C}3ZOQB3u;IJfc zz_H5lH^YnCRtkM7JG;!DN2V&#gTvvx2kR!TksoBOdj<82ED=!9Dl7|kD&$Bce(Cm)!og;@lPKtsB37xqt`E)4MBE}4Xi{x`fl$mAPK~<(bEev zvA~FyAZb#Unk9;6T&~9pQOS?mL(1<(v3PzI>y1V0nM%)}<*&{DEOH1dKM*ORd{edq z!$%u>;nB+7T82$fV($K?cg-O^bDl}TfFVlDGg3>Y$J%lP{?Tt8l=!dh$z=QLq0(&r8kY0>o-LgPyO^Q4Sm@A{PWwUt)SBQ!KXOs*n2-v5_T*iO!M&VFj;5dSe%hvYuTKP#XLEeMTd z)vmYaHZiEYL4QR}`t!=XzPQcE;>w{i@nfGQJyh8z;6EXV1@u$;r7lAqQKXpQpbnq< z3glLP!_P82#D}n=yhbQRw7$4CI50+E9Mpm+CP@kc&kXzNMW zgCv^BVU_gAsL|^We##Y|lLP07JAO0l|0Psi2uDAZfK0JCD||Wsq}$%(d{Q9&=eJF! z)Ugx2yq1;L3fswJ#axPn%V6LYOzZ1lBlfrqwl#9}Ss2Y^u<|H9(d&*ZN_huT2PyJi zukEA5(ffD2|HTZ3m7#+7n)}t)<9t@?SaVO=eV60yO7_d0Lx!Riy0w3Gll;3IC$Qly z-EF$$z%9q_kDfwH#~$Y{=wz6{@;P5H@?W6d@H~M2r;qlPzd?X1C()No&%ci=+k8E} zm{n?N`?l1IB1uN^7Yx`5(Q)oW$23Zg3Trn&={>&v#_ozC+eST7Z>E#!Y@H6RN4ih> z=&N9nJFllN@ckN9=Kp~vJTd}xDYrfaTJT@dGz0kNthCVWvfAK29X`z^2#QMuJik$` zz%s-;6H~i*xWBcN|9_$oQa83G{>xkg%CARgx1FM>0a5RiPz#$6l}nE-y{p5bghAQx z*@``Jv+aU6HtNxgmO?9b%Ew5V?M`W3n8l)V$_!*VC) z*2nP8Q|s0GyOpnQDMRmFa(~F#+@pEsgQy;h zNm)%XXFazaPF4I=-2IPDeqHRITUGV(%)&Hfw&@_W^SbG!rsgbTu z^^p@ouKW_4$$Rq0FuI@s0zJ^bs7|IGv`J8}t#LV&)qq_IUF73>`A)u>x$>A}Nb^E+ zeN^5@Km)iyP{kQ9BadUCLZcF)@irm!=*dC)soo90n`|vYd&fA-rt3$=_dKu9)b*5* z-88L{KcuJvX18#MorL1sEF#V2`FPW(F4qT)Wkx%Q&4R=-!3`_|QRZhEi#4w{4sTVg z^kz+tF8n|2y>~d({~tcCG)QEG?7g=L5!rh?Hb*3)$R2T2$R3%=%HDggqa;H1Od)%P z>~-*a9Sx^<-gHlHxg1;p@9!RMJ~4&#`k3Fs)<+h z&{V3=T>+O3wHL-VY}XTp! z;CGs{Z*wP%3LBKo6%4ZNcCD0-L9ua7ecg0TyJAVir$8(FgYxSB4tHKkLnY0728#eu zu_1%=Km#Z@Gdg8nrD%3;2Ya=AyRWE(Ewi8dZs13F|0gT4x4qf>Cq3)&x^+BI`F+h;vX|82N+Puy;%Xq7~ znLTyBvQqwrMTW~AJN{|+O2XZWJ2l1*B!$G%skZ41gkHS+Rxj05!=0F?WTQN8W#4;a zZQmSH-;)yaR9ezpa4cW5z3G8Cp}zh#uT48Ul4~2{l@{ZRTz#>4t9Ayl8oL>Nuf`mq zK-b7ulY1rLAs3uhzEZZjH2>ykiV=h+gMrre*12Zbj)cn%G5r}+H7oN}i>~4qrruQN zZ9^;duks!@tG?4!->P_#uWTTX)tDM>OXq?t*k^Q(+?K}{Uwb~Zv|E^vlDB_+-mZb8;vdcS19@^-^ZGsjFPzfLBWo+SCggT+L~B2Gs7-Hdl|o$ zPT58)Kumf$$ooz1D8IqZPkU+Wag_*^4L&0*)&)B))oo^2Vv)!i zDb3#hgq~8+lm?K=B9z3xBAf@WYPrUP$0>s${_`QCilfV)roY(tS$&1hcxbEHdv_G@ z#q|fA0fRyER-JPw`CagN1GHNVA{uP?^6g;~ekNV+g>6b9e3^0`gY&G&*Y4{Rl=Uve zc;>%ad+BLaWc2RhZf;SPIb~#9UCW#Rc6ZT}wy5(g{;P~b9w?rlwhA*#Etph^P1U&V zAG_ZhYHM$r!!1e(rO3>FQ@tm)0K;pDoqAf*Lc`d9Kj%Cp(38^TUt#JKEfM;FF2d8) zsqK>xEp}J*!Z;CSk%ghUvB%Z4_Nnshoe`eVzP0H`xo3{S2*1EiVAFKFD{;0_oP4hb zHdS#z@o|Za44SuJUWu3Pv9g_*xfhOQ05nysz}uiYq&X@6953So^KtedHx?zWjjIJ4 zl`X4p^oXy(e>3`=$`?_iQ(*&i(@BFOc&GvI4ac-k(M5NptfHn!e2VW@_TcL(DY5$q zsG55R&SFH&OQOq8`nK2!E=4w9e-9j?u_JZm-E;eyK>5=W?DZr!4qa;LotNNDYPP-E zp4Nt2s{}6W7!)gXZch0kyK*tLY)Q=VUx4aeblKVDby z%=kc+828P1#j`4l}cL|ya(kLjE0Llm(0gVN4(ej*Niqk|W{w_zcQJ&~S zaB*9nQDAgMtr=IYIl6fDhqv2)_<`^DpEDD+@7^snT5n{nwmm}5a?x@Q-e3~WnoHOt zc+&A1ch&CVrB!4H0#MuLrYyk};c;*av1Wyr9h8e51@1K;&wqY`+L>`7NKp)wX=*q} zJ5cLx9T)CIeKbi^<+q^{YS$-d!sN!&K`g_!81zLlv}UcPL+>Y{kn+htWQPR?QuV<3j0G&M=yAe@GiUx;4}am<0J!w>cIO&i9xhxacuR0}}Pj`Qu;pF{dQ_ImZyBgUgS2T<0> zeXs9aaBQ*HKWd^a!Ze@#U1!Jbqv2>6+s?1|lo}uN}Wy<^b?C^(;|7bWAe4V4+ zHEi_i@%pB=60B^pUy!ACaqALBX2a0-3bnA_9qtj0Fc><`j`YW0YX!K?5>zjeT$P>& zTJR_Z&gz5x21#aGWr3#J_Ej=)YTnH8`vO}Dd09TMkq7oFzF072EyH-5v&GH1Iy~fI zW8OOrPM)|BT~Er&foV*djYhABW$hkW9S@XMy@ej5<^*b#JTUV9#z6P^Iqk)AoiR&Cf>kt43Z&`Z$@x{cXKn`@w>8C<%lLqG6tS z#=v!8`}ia);&{=*s3A4VB7q zUehZpnHJ3vV%kA{1-J%(5-C^CWEh5A6Lf{7hzI9pzBI1hiIJwk0ch%-(W$AV6icqm z%R7|>0htL{$JOKdfMdhp$6#|ti6%`u5HoXlwVa)y<(_Kr6Gpx%tizmJ%ob$nI-hJb zghy+uypBzfGTZerR|jAcdVXQ3bLfZhZY?L~WoASRxPHmd{<@yKqc5FgcKeUV+xh*5 zMrQqHNPnzIs`mC7TO6VrN^f7Ddew0u#(|QqF;l0&f+4!(V8?Dg%cM-dY}g$>ML9k<{l8QS8vV{t2%DS>u6&j$brH`KzM2UO+Rmvf38?`Xc$O1hR# z&a#@3DGHxKwYy(!tuHmWO~ zknm5~wB>Of#lHMX^vBKiIVRk?ESlxAR<%S?+A4t=hAxaWy&fF9@C;Yq>?uDLZ3&+Q zbvKHZQ@}=5C=Nq?>KP%a`_P=)J5QU;(%>FO!^} zJUb_BM2J&|JJb5Ba_q%Fyc=pcO5IXfKlD=JQvd`28e8 zqpP#D+ht9e?Ymr=)?t{T-RXo^{`7EO9+>`nNMghA0uUiwPKW?qq=N-QM6kfr(o3#u zAON;tp>d1JGPW|x>nYv^p$8nZ>m!ysht92U<}X0H1awU~eC8T1#c*yXB{5d7afC;* zC75QtMWbEM6nAe~^1H95cj*t4`M7@JF)#5=6!mHuPzjii{ zo5Dca?>81V27$$WZ`FK`CoOL^68wLFKdcge;*Y5DFmwxrac$tV_{q`2-|s(>kWHuO zt|+!tp#TJ=C;Y`o00r3cORF6GqsH^Z&IupK6`k5QD{gxWDKDTK>o>-d`Kk#|=4f+ILV=Ljnuyc}85xp3J?Z1=?5j zgnG8XYXB%kpu+Cg*BI@Qe~u}yXGe^Sdm)gHfn>Aa`|gCs+sgG-o=$nZveNsQi^d7j zYH&Y|*}dL2^^PfL{0;T;Wyc4>(+-v~Cid3ryCjc>5Eht$z;Ry5=iePz?K$L{r7Z}xfGoPGbyXeBq=p^1%} zH1Mc#Xi`{}J3tB79M!Z@sgF&=*vQgJYP!xPTKIorG@o_+<;aAc&@gLcR^yI~X9_HKQFM8dS@ zjRzOgpUFw1-#x3t!_hcG>gDdSsyFYed1DC)>CixMA_pwPgV2Z}sfRyvlhDqLWUN3E#k%KVJN;b9-Q2rRmz%T2^+`D zEx7}0KaZ~b<)gLGi+A#~)U+kbmI0#f2H|(;I$n&-RV%Kh?;;}^9DOD^_p~Z93k&KR z=PfN6pnF9d^I#Li`MLOCDua5=>u)C|wIBz|$SzVU4Mk56I=<+!2X!dH&fn;L0zkZdz5H)Z5w4IM{~}EQjAd|8IQ?kH0DO(z+Sv zWdnIK>e4BY%+-*?VF-AqNDm}p;=w7-wcL9mqOTW{&x0B(I3Jnk5e;gXFB!N|0-mMy zt$5_QrcX8sXatEO*PO-dVEhib4tjjkBtQvz_6T>RN{oAAwO3L5**xLoL?wf(7j~p- z80pDX(Uj4nQbIenvf4xZ)Jp{uiE3$&Tls;n|D2h4of_UYQwD|JM!Si5MhO-Z z_&Mhc>@TgN6!Ak^#$JipeNt~&$XNyho6eHMhXX^1r$O6v|2eY{?ezfD)_%#s>ZQHJstcTV8DCf5WWC_+N`C?D zj=bPnsYHE^yJ{?*V^S1hrk=)4{Z&~mlVZoqGhG|Q@*yl|)pLxoWLIyaHZghzt)$_@ zm4cFf(6s94JZz7aw#v$!xRmf@icZqC{TwS(CC!ql&Ck{Seb`9-{zskW zCgu1S$_!=%z^dVYT;fk|lO8FUI7gB9m@AXBSUp4wYqav|Aog0=nszhyaZQz9BomKs zcj9~HjfS2EgkIemEFN2u&3v)+`*(F6w7A3j$KPC0SZz{ek3zwUbxulXqr}iP2-4x# z2bBf4WfbEuv|IWIIn}o8D;7BGB4@@Pkv&Ro|MYns=qeo;k(n}(0?88_*DV7@o2pi4~k+aq^QIHwu!tB;vY+*u>tl_vclNR8oj@a&6 zzRj2+#jf$_n9~mt^-P>ibRRIM5ev_SC#ypuoC%O#BDB}kG_#^7;=P;fLg~Gwq@A6B zZVhUhF_?gem2T`^R=c8X(;eE9R-+eN@us7%p@NLQ zTf>tM6A5|WnQrYvyI|9^nWl>i_s#!1SM^VDq^6k@J+nmYwEoowQ>=$ine5r%m!V*p zUTP?Fh>MR8XOrBf~n! za`x9a_dTvU`ViMz^y16by22%JXh;o03?LPRXD?JdnIa^*EvU}(nm-*=$7jsg!8YgzJTLUx%0~p%A%Zlw^4tPuh z^~!(*r0ls-z`llK4~YMMDUbd|O^Bz|=%_s`4tF)&4s0dzueTAM)gJO-8!Itg`zn4! zdwuW~|FQT~wp2N5D z=IGy#A9>1w=sv9>1!{X#nG2eJ+M^64VfZZ4rj(W$KP+wD0ChBE4KI(qhNHHB_KJ%?G&lSeM ztnl@bYo=78^$c2uciU}OB<~`>8vetqr5~bhXs1XIWTQIsO%mo|;EMIaa@c_W`D)9j zzBRjj1OduD@vr0Nl?`%u2kp}WwW`bKqSBOJ-yx9XZfhS86=;y{2cTI{H+_q6&!?Wm zHj9j#fj?V|~Oj#g5w9Ef|S0WFK(+UWxvSZgkx4a=rtl`Xa=`JyFub6jl zQCBNbkH?(zed+3V7yJ(A%-8So(A!L$LV{S$Cd#6orctx^=jKZ*Ybl);D4JL27j`X#=)M`) ztv~e*lOstY0N{IZg2SI+uV#DSw5z;R%lwVs9Gh)34zWwR6K*F8o^k$t^Ol^W74uK> zWR6)(@0zT;oR1UD^LzjZ_q%>wQ5W)4dkZXO2<#%?PKE$6nePFz`g67WUZx%(xZ^7{ z7YlNq^=bIleIKY&e#s758;9ZZ?-Myv= z@uYXCe_PX!e8Idoo2$~({LnQ1i#irajznT-|IX2V@bx6Cee{MDa`nJzpV8w^HI_C` zmaRfctdtX!Q7a5b*?#m}6Agw(N#ComkQmle8r3gL$1?>!Cp#BgGJzXnHw(Vc_+AY6||Rq1ZPAupMTu-cp6*T)k2CQ1()PU zd=KC&2Sl#I9lXBy(wZx#YH!D1SgfoSux8LF@^aQtbXE2}@dPz|L&d*I)e*%Qz&TpY z9N~4b?V)as^hv*W{E!0Gdx=3WG9x^I>toj zLi$?L-xfO}JOoIwS1o|<_sFW=>`3c%){kE0or8BW{&hkS!RY(7KvX8$B6V!r;1%v* zPoG`wD3An~Rm~hH-fzb?VyW<}lL?TkO^D^(T27Uq_xT_J62_FA$kOp+BVfo$ggt}K zaeuB*zYBU0OV)dsg7gcrLEw*nquf%--x2SSpwrv{(Ek`&2bMV1!BY*V$lDa&VJnRZ z<{#AgWS|w4e;NZ|6b>yq-%efzpOH(PvxLfVQkH&PnOuI|-kwT{G`M%`!>(!fulUeb z+_d37QO}AHaq!LTV1&)>N5*U1ES19uc{m^D8>v(r?(9cxM&BgU1(T-_H!sP?8%lRM zwea=C=QaTWF9*GFy}fcz^C_g8%iZG(dHS^&vyhQI@x34M1AIu25O${HTnB*aaH+N? z3b1d0WhuHf)}u#YJ5`nUnP{LGv)aq}w6w}!**yTU<3jq@Aq!<4u3ab`g`&;*=CY0%kuB zUln}ssQTg@byP$7N-dO#e6%up?umn^*=o&fzk^q6ZB{)VAFR)N;?*$hx@)r%Grb(O zdOS;!7ijv>Ddra*($g8xbYmy3&uR-1Empy0A4oVya`NNcCkQQAM6s$-{Crv0K2iEt03(uQL?i49K50^Ee=kIsI*$SgswHd0aGw1sOgf} zh0uxg_`br{l(|k>tHEHKMCL(N+g;iy5V1ZH@{G- z1y1f_%%LJ#&{N?0hYTM9;O>}3hv6+F``EDu0rA8x>Idd^dmlzom6;9N`Y{tA)3_|9lUd92D| zm!H4x(sdkcW0s1Xf}7rMzP-fxB`I2I;lm<+wSg&`q}F5g49mUj4-UdD(IdMri>p0y zqSbB(Htbm5M+d&E=E<1sHu4a)L?^a{B;PHJ(th>UdK5kU`@`f|ibm4p>eK7do$&hQ zr^dFBgm}WlN&)X-GJMFe{SBlYJ{QLpGzRS4Y%D4+jwY5Iva=BzLCsd0)7BVb^z=l@}@0}RYD&ZLoQ;cKcilLY^KCtQmBc=yoDr&)}G zFb4o1o(O>?fz@ZMct0&sqfcQ<*oAv@PqBC;$X`5yn94Vln8{qBHQyLIRO&0v4i4_n z5rRHky@2%U*ANh9u+ zFTJRg*8f<}3h3IJ36>{ixie_(&0JF(7@_mUH4|OEKI<~a*BnqI!YZk2vxu!x&*Kot}Sw}rEv9h1^ z-Pe_6nWdQCa!u3LEG}Yh|Cpf?nzdP=rEO7cBaM7%iqIRXUz~}`q-ycuyXKSOc$8c^S zAt7kCChGAerX0A&_{mrLB$9m5XnU!;<>|BDK8u3?i74bs=@~_FCjz2B;Ut8ZA7F#B z?lIAM0uye8+mAw3rmV&-m_MWDmuvw|K?uRQhQcQ}3$ z;-K{^@lOYPQ)7XVqwH{s%re56?Qr@8@AOjjteBJMoSRp_T57?Gqr<<})%@thT?r6tcBP9tyN>QGwPi zfT$|83xWlnkcXJ*p!em_V$9M$?Xku^aj2TOMV^6x?CJ-8|IDt2c?lH;Pi>RB#b1fJ z=vy}Ki~iWQ)YjYsbBJWRzt64enFtS-cGWEJ`v_5<4Y#;20&#%x?+Z`d=Q@N%POID& z>>$BuoU9y9KeE!H?pG%DslOR48`VrBPu8FX-By8we*yizz!3+({~blpNHF_GDxNcF z=UXs!vevJ`)V86&1ylc&m>fsG{ejX`Y??y0`(~Sli`YWZqeezj>#am)pT+lo#Mp~+ zrf<4%MPaB32qhfjnOJ~49RxDWxaRswD&T5>-al;B@Sqn3MJwCObW<+Pc-vXYEj@})DO8jsv9UkC9P=z;ZFPdKLsj#jO zj?tMhRouc(Uo)w7g(r^>kALVSyz(sprN8ohfXMpT+NtGBb`aDryqTQm_7VyPZ&v0q^p4xT;&c#2m z7{A!FDS**>Np5mAN*?*zs_*##Qs~T)l}HCW<{pqZtr2~E;`IA}Pn;fq@I7&wovgfg zQ{J(B!zu2|jX2<{@dg}S-an#ERBaEyCrAuE}L7Ejk|yyICVrhKKu>o0ni-6U5fTV@~5H<=WLz4sfPNBHeI6$HlO2E z2(dVGeGK;MZM7w*&6ZOkF#mkf8;X=Ci4@t%PkzOJ;SNoF$n&or(pEp+SXD2p@2?D5 zjtn){YV5ciZ0rvo$Q(dC7E1tsAz@;Fn>?}gsDf{c{I&*UB zIywgn5()=U>700+Mj^#a`1mn?i#-P-sQ=ZucfZ6VaN z4PFso-B9S3)3(d=ew+0Qtb%?w9cJDd3&V9AD=@#V!_sngi4gM8gc-sHcU-;o3+ETc z**JxccZdHQoByMO{zwlc!F$&g$1sb0;-(bptCs0kl#LHHnPwlF8VKYa#%+EZg6_|= zi(b)0(s`ti2q04CLJY}oXEuIaNAp?iyn_*4&1H_0rLQ#UyfHnga=+=i-W$(-yWj5PI zIESm42nx7_h@{%H=*5BbF8y}g{Vp$DJy&F=*Zp*%P_TG*2C)T=^MJ!eYk53h3_3zRy(Jnk(`qg7=PJ zh$~6Zj#8<&erqCkgAxfjW$ALv@BRnm_RqG>p|ePyry%pfvPcH$sLLLu^kv~KyKsqK z>>J?HPvQt6{v^9Ou^%Km1E^W5tKW*HH_y$4w z9Cg9m4u{f(UgC_OMtpo1orNZ`&l7LWlt@WJ(2ED!8{q}v=En7X5l{c%fIfUKqT~wq zx^3v$RfoWLyX14dL4aU5AXDtJ{9t63TWwP~MD6g!exW!2K?nY#&yKnPu)8~GT5qiO zC-V}GxiTr4v$M<91Gm%Mp8oZdW@tSJuzG@l#$>iUr({wy&5Po8<&k)6(BFMu)mtSN z*tnScZCIk2N+sJ>6yceU;TbM6D>SqL=5LoG<(qc8LYZ#EcOKWqJCP4O4)UCY zypJPA5UmNnea2&Uy?>COzY>4PwHpv2-r*lW=obTOtKYUcM0o)~;r?2h98>5b8j^ln zhR4xVCr!1#{saR8uGJOWkGr$H;!}b%)Lfmnf}4$Y0MSn78DqyyYUBFu*cR$}iB`t> zr_he|lL7EQN5@}SiHVkDtb1BRyC_}b?CY`KX}QhkfDN+u5)TiVEEbjKkZWvE2}&1K zy;UYjr=0dLSy~iA$z@7ZS<-+^N8N7uIKe&|T=C12sXSuZ{o48Y&0Ee6;dwECw=6<& zZlkORN~qCH;}bq|T0%1Fcwo+`mJ&7xspFfl#xEpIbHt7~0X7qvKF#FJXO zt;0o)>fBczv+vecy27Ljk)HSTHvy3xmcw7&$Kb)SF0a+%z4&c%=le`I8#OO&9gM9Y z936afMJ&twVuAt!@^xZKzT&>KI^q#vX6#|&iia2SAaW&r=2TsE$^KkvtYXl$A|Ei@>hr@{}oT#Hk1RAatuiGS%K zM_bPnM~xo;zIi)Xv^-CHTu0BLeIHE46=%iJ^~o-8T514`DWc#;kk{tYYSkq4>c=Px zvldrp5$D{hY3K~``5D?sM5&RF_M0*JfW!U&33=cWQMrhzq-;&xY8qhH$UXtF#-H<0j@oXzComx5AuB7LADuFD|?b ziuB9WdCA&YqSClkxd4e+;A)G{TH%kyLr$p>`gAa>v12p6z=P4Z5lS9fvWccH`jMKb zUDVQ~ryrHgs6REUeLP{0h?T<$IztO)TE7n?fm|fdy^_(!l z*3@2vzvWa6m#3NAlq?aIC70Cu@p0!8`SpW!b4V%I5`H@l+Yti)A9Px7Gu2Cnca(YS zn?dq1h!iB|D;sBN-zV%Aa-Sw6_L7pAr{${45IBRRYD&Q>Xo41-^2HGzqlDZJ1xGjGzA33+<0{}bDcXDVl4j?OxHp&eytN@!9>pTLV5TVeommA9bDUtbndrL}TX1wGO zo93y2`!R+u%y0O}zn^}^IO%EHN|obbtUBu3VxpW_Lz-@1z}v6vN_wqt`h7Jp0x4bF zQ~Q|)T%e&^+*IzH4*1bBL%bbi4{uzVY{B5glZ$cKjoGL^uO>vaYF8dBjIa~YDi>`% zR!#Nq$s8*yQ^;^c71zlqlS+IVl1<$@;-ONZ-eT4n(2U=K5p?qYBhIa0Aa}5(3o)6m zoZ!eq9hDQP%Oq>*7AnJn@7@s1cGNj~A_0tTcUcqUj`!+azneEb2x%HrbllJg zgd?mZng8r(ODd6*8X(5 zfAD=@@YKSvnC#qWx`BWCS@r}MXu7YW`p#ajy>~M!TOT z=mW~=J9F@Ir1_T((H8Fhk&%5)j3`tVxyb@s z;O-yvyJVCy)N zR^#nNU!{^7K`Znt2oHFl7Tc0kN*l#~{qnHh z+k+!$VUH-`Y}EaN!dRBg*-ze;ALe$7`)y3qQu#enQ)T5;JFeFTa>V&1JmA8XeA>uJ zD8pZ}&)n!0a(#r6E&?=lrHxs!Z8y(Mm49Pv+{y6dHtjFS@Bvo!v|Yl`^$iO$qz3{6 zX-NNloK9oB&J+29&%7`si1gVpU-6XpU;JMk>;I!jtvx=N{n6A>D z?h7cYTJJj}M*hC==ap zT*lG*fRYYTGhWUE%U)m1ySon$Z5_vN;!TgQeQdD;$Oc@%dEmUcD~FkfKl7Xck$962 zoB#VI(|U)ShY%QFE>ki!T1nlH>T2t`EcL5E?nXzv?+WL&lm0U6(8N&DZ6K1*#^1Iu z7Rd7z=BbFcxW@w2cECTLBS--^^U=H`vxQxr@c#;QfEd|pKNa-I*U8d2C@PN!ClAwS zT+=LjQ2?luNAi8JjdM{?_=MmLiUaQL8l;(Wooob8%3J{6(*S{9vesd9Ci{2+5fM zKAk{)hX^EmO3RE`cF3ay_jR|y8?&ik3lRy8ZROwDx1+KY7^jd~!mBcpN-xeX!xn=r z3Jo$OvpeLU+)NU>8J1f$<2KyBw(@SizniaQ6`x1tTb5NiLJ#1=lPk~MG_s^F^=6WX zojFUbxI6)C0rxiA5cZpC(qPQf%ATFpK4F_!wwt9bf(FatHcYECE?J$qN0QuiC?fRq zr*`AA!%2z{U%je#4rs&zdk;dpFsy#*eAH#%Eg322r6lE)re0sq39K{E)IP#LfRz*v zM{-2gNtA`g4%CM-tUa;e)(uL7S#sc%9vb19?UjGR@@*M-9br5UAM2;pS3?-60ODsm z!mCufT6_$uzOUV^#(H;$y=|p6awY3_-suyjqbLvRNX&KM-kKl_!zOg#Z#@-I)-_J3 zh4H$@nNkgjl_`3*Y#NrA_JQEP@(=NFh^)o!kW2J66|M)ct&K;duf<#+=XCM?Jjuj9 zc%n^9MC`TOhW5N$b8sV^ZjYx+#MUGAuF(zy{t(j5lZ6RKf5_#=;rJuHQa+k^e@!p^ z{|wLf8?t^R9sb7f7_F`?PfeXyfD`8;smkK%gFsv>nl1O1E!`x*l8_2YQ>@O8iWYX^ zcOBZN{)%q(7slkIjXh*w+Dz5s3bQB_psUs}OlJ{REJ%rY{YS;YuaAWvHISNjUS4U^ zMS#|mM{+T)ZkMFn!7qJN$(c|Wb3{~HTe`dt_QWThrN{zXaY~RnbpCf8E8>9;Ur&fZ z98tu5oQV*MK{4n4BxPJ7tfaSoFWtXZk;bAk*4_Qk!YuRBX?;6mdzN&U<$1zizCN89 z`nQpXG2~FHtvI5 z^=G7nplzP&<|^uh+`QK)XiV~*^}+WpkCsFtPaz-PP4-KwG-5#keF?mgrDKdfaRJz-dFBWHb4+cZ&Gi0 zi9vtzfjZ0ZjoG{GjBKjgVH2`)VbZLA^?$`u1L2e|jGRBRD*p>B2pE!r6~$=}RlzATMd8Huz6Nl`wX}pX(|M#vIB|XXvWoI-1A5jY zy=jUESC1mfT?F|{w*bozlX5b(dtYKng=yuls$UU{Co^H`s}c7F+c2yp-A z`)OIv0)o7bMYgfYNb(m_JceO*^_H~@yD1!QKQ1DOM9KLm%~RpJ)IoFYQ$IftUHAwd zJajZ!e~CB=&jfU0Do%3n4LtlMyb|$uth9-`J(R9xJk)_-d6FvIMGp|du5a&o8`BF$ zvVmjM!laFT0=j*9J-96Z>r%QV2dwlH?)5u8OOcdKjyW&mfV~O-981f+ zAt+?1gZA1;|7+@g`9v4KlJ=$A;yl@~kmh?KWtWgp;4b5zi{auEZ4Mu-Z#~HxMijg} zL6geH`u0nC5Fou$)A!2jxy5;xZ1LcY5;Jwep*rs0ljeWU>M^D+d3sW*rLOZ71{aj; ztb8%mW>lDjP8qY~NDbECk3{q8LN2^FxWg)N-vlpNcJ&k!?X8z%=g|TC z71I+^EGy$K)~^eV+`BIbHQ1_@we{=z3Tn-OTWgTg;f~UuYrF}S)5BSkDiixq zzGiJVG%;(D@JOxmg4C)uT5)ekMA%iX6ZT~&?a*y!7DFtr+qFyz39>mb>MO7P7hioF zsKg} zUwHUP;QC$eF7sus)ugWG1GI1Yd(X{s`X!P*r3vop%NFJPrpGZi=bb+R!-9h>uut#u zIZ9D9!_!(ss$kS%R)JV)B+C`gxw#tTQE4c$^xL(3u=;6ce1QuJ&We}|E}pHe&%XoC zgN%q_ZDi-(2R-`{ODCKM3gXM3770K8Vnca3D z_w50L<&^Mn zqzTn$-y|2Rn71+Z0-uHe2ROmI@*!dWFGB;Ff{8(P$%XfGFQ(r2wyDoLh@H$W4GMqA~XgxuXEJC=CU5Hv20lbcPt zWH8d!A4x7qCh(xQpKt#^azuQ`(}-Ke|A)@%&r`td%Ydejy8AUiEtM_R!Y{9+gc&dP z=ZRLjMI`D^rLOEr>3j*$yVCBq%iFfG3!JG^Wyt!Nh#&e-Rh_j@kH~4pyn0!>&j4M* zvlM{^X0jcvm55niJ(OKud;?thV4-ZVbR_W$n`NYQ?F#od6oQU>Z#4CLW?s;sP5PS@6 zUvQa(2>GK(y4BI}?oqJwY6&eQWQiLx&;rDmFD@X9f@he0u89|9DpR>{gH;mS#Xf7g z;MlfO{etyH?R$|)hOEFhcQZl*luv&q|K-d7f>{DG-=%q7O4tAbt8tpYE=o6_UN~p2 z9*!n`>+OWh3D|u(e3sVOQB=^?e<9k4r+x?v^+YD$cEN zS{RMshSJMY=(ni+u^-*7nN&{{GMnr``z_rm|hR0V@={ zhZv{|InmGiGaF2SHn>e49c zW{&K9t#ooB&4jUNkFXKOtAGH^nfwRPPNheS^)+j@6VaQ{Z<^lsVaX5`nbcO5l}L=$Uibq{m{paS*u zZ*wqvpKuh#Uk%@s@ux?7gx^?Pt?_oWB&Ys%Ny%O>kfmEP0=>FhF}vDKKBQ1y*(Iah z@4M3a@#8Af_-AnhKg0jh6tKKLL%Zxy(Z_bxJ)Y%)h#F4m#!{71P3*1_o;~)`;9i4_ zH_v;6qz^QJ`E5_+$|HPamZkD3Riu&I+pwrj+4YLc1)GsHfjxuq%j-p#isJ33%S1*L zt^{w_lujx!vyx=l&s;2LJZePBVVDyr*J4UjLeFu|)86S-VbYqT&31jCDVaXme^VRK z(BHW?bep!+ekLXS)Cs)8ayZoT^cdS+4cM?<*2|U)+ek=EGatns&e5`YzKp(2Ms&u2 z1%aG5*}fHZ^U$oRbTfjm5n#OUi+|-B$bZ3?939*V`jZSUH)yLDIZG^5%8_7tAehR9 z;FNyI`Oow(qt~z+S{;!w9@!lci$H3e1pM-nF3}Q36dK`EO{xNX2P+!u%P|clgz7$T zDw|YZSKyrhxqv&Y%D1g2MiREHQQfjo9z`m6A8d=#=kD>kSxlPQ;Sg|mml~iU45>5> z5-gqIo#&CfDF}!Kb#pYONQE(_OW^(u94}iOQL~B*C;vToZH?#}{a;YV|L+%!{WtpH zXcqln@BjV3PP6wbqpPwGu(ymsvv1zeWn9R%40fyxU8ydaoLr1c4t`gekd=E<{dLSG zV(`neX1`ya^{rfIdmG@hcy0;W)+low)mf%ey|{24YiR4FLj9QgN#tGwB|qMht61xp zQ4b9v2z@BI==E7`Vg`>818xRpS?I9d>(09FEt@ z$<-N#ZaXPB=G80d%&D|}KH-cw=GM5-B*Bl^wfYlp-gGBxab;>IdxIH5+zO;D-Cqni zcF6!4psQ%KiKRf!(3~`91?%6f;HFzFRCD-=^2rW24t?*l$h?g_={h)d=O2gx5kA`t zC^Wr_o6P8c===P(h^-U*@*P#F;J&U*@@k>P@ z*1UnVBOni4mFI7#r(9wTR_%>sB?&XovY%d>TD3_XK1rAm(|Y z%4CN}fM)FV*+;JDUr8JtRe!3M3hT3?9|;;#I|aQyL$x}7qlKn;&~n6Wv~cwa@Sr>Y z^+8b9A&;>ra1Df{D|(kKg_%84RVBewYrlIuC+ySGTGqrCXN&F7>}D^lY92_SH0+Hw z8Y`&zn1}r|@F^DMBA2l`rBrM0)e*OtLrzhqp zF9SJaaeDYwipw7)c`}NbU*nEEIU`bF$nvlZOyiDdb<}FV_^TJ(7 zJrvnM^}mihxPO=Sstw9AOyPia^Ff7@kNq|B;(H!Cuw9d;i6Nag!wdae%liSaF_LAX zD+Gi5R&K6NF7ukxL<^GFZ$a+TB+qsYI3V5oLvGR$MQPq8zZH#DR8F}Y```kL*(A>H zEUlPn3_l;(P*%@qWMiE-jiU$Idkg)djH7gb21Mp5ZAg)Y(2L!ya~mW`ItYmJL@oE0 zvu!A**LyHmu!whxAol6!_S<6gALMzWP+a433;mNgwbDXsKE`v5HEm}RBV9omu2uSd9c*Tnh(s2(?|2--;XZrL$@=Qq62GaHW7DLHqnmA ziAv){=Yy(~+YGmtz@8E&MFyetAq(?Rg z(vJ&I8kDQ0>Ov%w7)kqWL(_unq{Xq`KAS~<)>neZoXzcTcOldY-AcCbXYL)~?UG7c}^$hu>IXN26W(jAOzv-BB zuQBsq9>)Iz?KK>tBVNKLCmhVT#!o2Y3|tOUFZ7biS7IMMZ-uo<1e0MhNVLB&$2!c# zM*M_Ha||L;#jYj0-Nl_K@N5|G@G=c7v;-iPy33p4esS(3j=c5bDYFyE6F_*x^gD}( z^gzFk49#utda}{xI+4Zn0#&KQIcVM{lF)E10ptV1iU>{D0xmjKg`c+{b>Qc1Vor|^ zwX&$RddQTeNQQ2famkFtB$}z$$xfr(2;dM2#6XIJUzmF8-PY{#wZ&}J#sNUNHS)zd zk_;){D5gCl`pXTf=;BL5$sXSuw14OfTBqEb9I_zFJjISwT#@RypUie!FWSO7(CVp$ z^`jQ>wZ{Lvoo3pGeqL#Q_$3cqw4@_JXyUM&F5C0CqhJi=G#9;g@UBb%IJ>#E*&9rJ zaq3zamMe{2L_mPa8R^;dZn3+H*$u@R*skQTLt#sg#f!~{JD=oNVZIh!WqF&<*cPiU zI{a{l?ex=H}ny@UNfm4R3yxr)#p;BvU-Vu-iC&79odO>9GuZh zc_<%v&-M(!=sheVa>a;%T29^%6j{`IQ`f67FdllzyEER{>r}b5X;8f@*9{$QIU>G$ ziI}%jYbrjON)x8Xkv+eFZ@XlE)t8n0&8+bm1uqMy?Q-^9q6ard`uuIyA(4Q)CfCYl z&8BL%%YNMPBw}(5zUpj2qW?Hr7Y!EB&B=y+zK6BfTMKyHG@?0xX z*KHVX5j(Sju2+;}^{?LV<<(en(6RuR30ed~EbM`qF@d9@b?w>?^mLzp|4s$7h7^_U zRC(8bm5`6k_sAEs$?lIVRPAnIEgmvNF2NzrExx?m}$ zU%B5N_(2jz$K%4@N5)c+#quk*&DiWe+jqpbpzGaQx4!QZF=>y){$&aG)c;f5SBFKp zb#LR*BZ7*7pdg9}3@r*uhd~MhN;fD&mmtjmDvk6IA|>5jl7oaaNS83u4bnL?zh{7R zz;k@(c)s_&u8+UGF5!9hv-jF--RoYZaCW}qBUlQjFDBkeK{?Z+d~0fIsHYs2nYg&6 zouwasb)(Tt^rNx$(u-nagRcc&z}*Ow`)m5ftf;~o7q_d)gO@e))faU>-pj~ANBV@{ zKG#EgL|bFkm*wpn;LnuSNedg`Q&vy%ya@4Ao*u*bmY3G&g}Z()8yElsB0w0urP8COdE0hP$Ahd%=B+NVEQ-SUh&>*OQ(CO4K8t6&&~c}{%)h2qk6H>U5}~D zSy%6ldQa}G_56-A_nSG43yA6-^;w*5$U?0*FZuEVtpLZpgop_7`Acns>Ph(dpVT)C zKdRQfr%<>(KC8;H!iv0m;=S`frIf)Kv>oWm9#9T_poc!heI@pqpZG$1_Kp%?+A(0V z^~FPIDt*2aKi##OokO8iSLTjcWyhdYi}kkk%1kb`I)-#cKAf+;Ec(W_y&XJ)C%@U? zfi(+v4;UOR_~l%3@!taGnh;>-nk0?95nZb@Cv4C+g(UQ8bm>pLGQPMi{Z#EUb{Mwz z)T8CeWNUrFHypn#N&B%@VzSvPcFh196^}XSE3csUqX663xPFa6{m2g;&8VA5`ffoNrUv&+K`4!wZcnb9NF4*zWi zF@Tz=zkt_W(fTZ|1YV6m{BcRLP^BI50^>SS9$paf|C;87c*wIKv#%CezV3u4G*N38 zET)^UUPnIj8F&%v;fuUP16ju+{KZN1WT+bodGfkOm@aPQFZW0#n2C0{nFgDb2dY!s zVe4_TS!_<|spEjD7&TdN49uy(^pzvl-U7Z&$_(C-88F(&*kO@~kY8EMh(eTk$eQ?g z)UF%DA79}j6>@)~A){*~$;}X7=sRDQx9@{LI$R!h_Cwv@n5B$|{M4JeOAnn#<6b3w ziIHn@egYvMjBC$1ArC!$@l=gx{@kEL(09?-S5OoV$0;8v(`Bq=eY?D!R===wVTa%q z_=rD)J+i5~7}nH8QN6;AEcseB{*b!A@70%_3&u-}QPeOild}M?Fj~9AzWmmz+C&4Q zyI74}8(&J-j4;U~pLX7g5AsV8(?lH0IufYBPBCYSvJaaGHH~=ASyj>jOorrKV%Yg; z(}gjp2a|=j{XoxX_~$3*Zj7+srX8lfr?y2$@?NB+7^%CENs1vBe%+SuC8IdD-N&a0 z-WEBf(LYWY4PggDbE`JR4J_#G?STTI2t#A57VjH7OuXt)8>FU0c>FN zFK-}_UE=GNQ`pny%4n>GD9S5aJ|!Q4_6X0XJex8+VIAPIH$m5fr?vyW3Fy5u)azdvr` zwR{xy<#a=P0d@y$EXU6r*5OGv`Fp^qVXccTJp7}}re&E1sX>d!E_oVi!~nc4{fo+n;nCzR`nR>qg+F^yBFzX1uU zKxZPV<2W45!@e$XfMCtu**;sivVLKJ`#7SPud6!E~%^GSLMR>z>Z^PoV)D#cDt8Fn+w<-G%11V-+jBD84&5B>cQX zpCc@0u5eF*Ho{aF$&P(5o*dx(Y%^exUVXgToY?5krRn(x)D(AZPV zYR!UXqf92ROaeXYGj?2z&F~JPYbZXTcDAUK%Ceo@@$GDOTd3N$|?h2|TMxIiC4o~^>}Y!jk{r7tUKPp;;92}$2E4h6$V*yue+9&Y2c?E2rl`N^9 zrtWYE_nn<2WPYyRkuT*|1@wO3ca$$5PeOBl_X#s?c{9Jz6Yl>dN+tPH4xBKG@#zwLBLF=<4W5-{ORdxNc(+ZF;?%$NMU-Flj z&p{n+939aMk)^lHiU>9%N(iCcM4n{BI`VZ7-AN3fIWQ)8>6w!_A0N}|hFjfATsMwb zm*}-s!mYplTXe+7Mg2+Pgv#)8dwUMg1LyI}kEn03K<49qIW$Q~#p=AO{ zp`PZTd_|;b3A*caePuh2i@|hA=29*LBlEKlwK~(}1|jA##OcRgcz?O>Vb`6{oN$&e zB78l80&*f{5|=>Lbg+DV8r0dUrShIP(~S5U-^^BlK88MGWZof6@(r^u*Dl$)r$ZI> zu^}hB4PZ31OS{opMGr~wHu7Hst)G#G-ZZLQ;%A9k*z@)8mmX>c>;bWIi9vyq{xP?~ z@)BS2PzOxi_oDTR7$G{lC}({QP=4Ft>z z=&U!@X?_-6)I$o~jA!Z_ya+})@0Uo2$FGUO>TV$(3-`tS?-Y)j9p#{sL)==)UqY1Q%V)nld zhga=kOQ)`7xSXDH2L2YdH_jF803dZA|kvQEH0A#=HLzg!!Xwo0C?$Us6q_hgZ+x8|GuDNznU9U z|CSdAdVl_)tn7a=_Ta~!S^wjjhM(lrnEfxR5q_3aBhg7pY@)vh(TGl%3X~V;ZwC0c z(>IV$r>Y%~GzgOWy$5@(_D>dz58!J=+mrT3vGkOvW7nSET{f`}vJxeJBSPm$Rvc^; z6gw8i@Gt8Y|LaWuJqKpaWv?6N|0J`&w|lQw08RzS-6@`S^uWdlWCjbJIWq{6`4N2? z?w6?JXz>3zW9YT9+4x=@5BM)k?d^fEwn&n+9$4Hj;0!Vh;5jIa$3Lic-lF^CWhaX3 zgZ8|_gXDn+du8}I9Q=RwoxRUqWOj5VnZ^$%_mY2JfzRWLJ^jpjK!m6MkS`JZ_ff7}K)&LPZ{6|V<=^gt6%&st2KnHkXP8_$JYt*l|pD~dd6Y$BH8c^+w+ zGIDwCR`^z^1L<$zhuFQ^&DG-i4a5+1r;My*Yt0Jr^)>>!VL+$+lq2ZYEvRAGhY(6( z6vPGCu2&=bANBi#^e_4%P$#FFw?ageG(doT+zS{*^TP|C)GRMoII z+uqPq9HDgGaRDaqu$G8cnTeGm0b2gms}A~RTrOdF>!^nmmzw&R`r}9+sA|6~N3Vx1 zmit}?B}DdKoI5KiYE@3<36&iC?G+Mq3mVuaSigbunDYc-9%<;G1o*ze32n#uST zVd_?a@rp)x%edG0f9IscaN+n(%vOvRu%sT$Y~V+2C6Ha(FT zbgrzY%L$LJyrdyDRbOji-G%z#bR{zdv8maM{9fR6+ z%WW;+Eo_{pIMiTVK^eS3E|q3fPHUZ#ToZ)t$Ic1RGt#sec9hyejME=;P%Amlrju{M zh%otHBndlf^Uh--kOg^68~FcG&yF&nad9upi6DB=L`>)l~;IZ3agJ;N1gnFbCv&uU0s_m=c2T<9pR0zxRZ~Fi!bYtb-M|b39noZ z8q%`KY7L6z7G3qXLJe{q!_i&MrDO_)^c&pm$c$;#QCbVpdh>-a3?>`>n^X_SOtEN6 zI&CWf&C|E(#U3XH$K)-{Uky@0I20ufVa4@|(>Nufrz{9Ho+GsGl@i&_f;=GaD5NFy zw8YsT#VBSg>ZoO~v^91}c_>8ApGrI*jEqPzzCM_^I#JHf#t{%+^DRPt_)-XKK^te< zkfw3p(E7VBzC>XJXoqjP1+GkkgOjgq8CMgaaH}mo!@OM_?#UN5?fhI|M>$=|RzZ~r zpE~-t_VSU>^Sg#MwN9~p&~IdLKJpxr^+qDa>=Ovb(0=V zdyf@q*Ol?JVt)3mAzF6fZFf@bGsp%PY=WF8je=_=d+~7Y$A@0K=Ms<*y1$RMOB)Z& zTyFARl#1MWmzLA7FDScw>UaMf%Yv%4B*Ro=7TWx;C$4F*>o6Ar`~o5^ui7+-ui zc;a2JmEu{GnwDY@2+T#4;ZbBb6W!DemY0!xNAeW3pTt5fX+E9=(gyWaS{ovO}F1!(MRh|H~tHQ5G;& zxJ>abYj&06S*ujm4LMwgw=b+W5nI0VCvYk__>lD5(36v~m~X49w|ySg32u3e*`lN{ z9KxMjsl(};nMq+HB0hLqMoOzz4FObDV%)ZePxxT=&-*Y3#@!8S4GU`>x&Tg78;sHJ*ON$R6u**xvwvxs$zv3hvZzq&jmP! zRwK~85;!=dwTbG2QLSEOsE;K(%w-EvXkDG0@|m@#JBthDyy#8|i}`CPC`vBox_Z8J zFG{;>{>y)su{rx8sXYNjD64uRS%i*Hzj80bw>JWD5sN?%6^q^^(XI=WRwC(W_S2O!><$e{ z6<=M>lern3Dd8-Asu3k2%Z|9YYg8BAAJKRWcd-;-CpSFYyXD#1^_Pw71+{7GoV?p? zeT6Igo2I|NY33*%gnX-g_4^uMg^+h?DYE%q28&q?fzC-P&ytZ2rS-xRSaiC&96KIW zW@jEytE1{l7ZK0Q%$%&Nkn-kXtv2zko%#XBx2Tr5dN*KM;JSroQ^M(4?z5bIVs#A?dzsBjh!uF&JP0>2)WMTqmNVgG`sKMA_ss#|^2g)je(VpmqYci~X< zsn(z~DNNCkQrE?bs4+^BB|MF$Ky+{{4YNw;V!Yegi#eo2o)G|!1)YY;x$3_pOP=H}Vs5@AkYX zYQPbAjPXqhPS9APUK@f=EZ_|D{FH|E`I76K zxCXb(U))8cAIdsbW42H%T1OMK#k@>0EN+%T$9qoFW<+;04^ z6fW)J6K``{Mc1ubW>9`(`TO`Zb)* zz#>0p;eNN$9yS+Sv9>nuR4#k{=~(4(x_Nnj`dO{m6~!LACZ>TB#&hdMX67^=d@S!5 zy8)o~3+MXWWRS}L3@fC8F5PQdTjVYS+iX*#EXdYIiT*;PJWVB?vzB9GN|;+^m06Xc zjh30ze9QQzla<8In65IC)g!kam}a>jvC zFCWGEm*Z2Gt*KNzCb^6bm~*G!w***UjX8HPF)00vjafn52dC8%!_Du{*9v~0|Ipm=@ZrS&T9>cG0^r?6c*?qfK& z_wV$lEi9_2{BJKrKX8MJ+X~6s5qNd?lMBAnJVRwNxp= zdk*|VW0W*l6+55;4Y~IqV**jb`3Vwlg`h8qY@pP*d^L6(`!xC+sfNEpY|}iwQ<@)h zCMNu6%kvIHK2;Qq?z?gmB}_}~0_D8$iOOn-EWOm2I#IHt0u>ME@+RqZWSxPqulLk- zGcAZMW2&cTQ>YBG@DVl?52(afM*D)4C-vzjG2JS=K*RBCFj?;`Et}6&vf{x?qDfAx zzOQ8{7BufY^}aG<+c~a+Mbp3?2O&MoLATK2bKljg24|Yex?Z1i4Reg?G4?b@_SH%TaKUDv z4+`7bTCY#ba2dWrJ^*M3L2Y5B3rnIDmKeWAoFnoBHWwRtxzIv}dG5Jk40w40>+_crfJ(VXVBD*YxA1cTv!vNPPa}y#({fUp z1!QTnsfh;bv-0k62WRTG6YCngV!1c}7Szw3maNn^iGn1;L>K)SYh&x{17j!3zx2Uj zOJo+xiV{}?T~>91S{%N)Vmwl%^#Xdsi4UJpva|6ci)UV&yDezv}wB; zQDT`1EI9@AC|d&ui*wPvv@4fH)1m`u?U~@tIc8~!G8Uu^{P*o_vD~P#)-dO94rr_=~_@B;IN*Q

*Z&H5V= zVp+Z~m*AsviPhg;(z1ZvUw#Y@dsN(~^{!oUdwDs)(>0!+*4$8gI47#KIcI87{5^D2 z!P=sKKB0%&jy*9#L>-}5H_hbubSUdYA51-X-c$(iCW_2fXN(jnhi$^Nmi7eA|EQp0 z_2cK#!bI2<-{rB4)%OLwA!uLB8LoOeSP`l>aQt3&WJ^3l1G2W#DANO8zKv{{8xHx8 z0A1`OXFSFsef5K&V0W#L;P0p=pS+tRuzmf370W%J0Ua0&zhC~!eZU#^Z{C4{yT2|< z`xqN^^p0Tt^nC-s7J5xju$soDcaKHU%;aBK0B+UJB!7P>fb;pI;4b;T@O;&G6}9s7 zrzf~o-YRkWS!OtYJ%vSc{v0v)Hlthi*d^Dadl35iNy_H>F6%Ayu7yb>2~1XWYS+>c z5y*<-5o;aveQ-e&!-Aoa4ri260qAR&bn#18l(9Kff9FcLuJ{ZR_)L^o0P61tsqDb( z!dE`)A^9S1qy{1zbG4MOd)%vs+-d_ZH2!v)Kt2{lv*UX%z?H&}DG=5FF75HP zqM5c%aGc&-%DuG{U$ua};A031dD(%5-Tsg^0{c*!$8uI5ujgOs0YBdYxx9;MV!}cO{t{8+G;FHXKhxZmc){gm_Nus)mAw)h z7aDJm61mmrgyCsLV|=~#MCHp5SG(hJzLx_0OMn3M3?w=1jC@yqK(TQEz=U>Drh$E5 zH(Bm4l-Sfn`CNLq9~PU5&0Wa7Hhifv2QJ;!>@@sLy2Dn?iL$=v%#aO{g!vY$p*cb7 z#yjPLLSF6s*6Fsw`tpdy-P*s~^b|NF;hw9HPvlqp`DqVW%1F@0lEXvEunSBz1%jtw zV;XacMQ_-|Cp1$G&asG3GuqqOhzeFo5nbY9%YD%&Ii#()Y6SxGL2s8TqqWEGuYdGk zU5juHpIg3+o$&q(Qo2OhkI?UGubN4DLW@V_w@$=v?6}(n<<&7u6P3wUWlv_{D13+o zDdig9?1MaOZ}6kh5yR&afj7FIW41i5lVEhNv)Yy`B!hN?{7m7ZN8hKeu0?rmNqw8i zx68r(61idV1IBvjyu3qB$BBJQ8pG+-&51DFxZy${Qx)YjREdGzi#4wrsuH|4Et<({m~u4>YDAl zIKK`$?qH~?S4J${?Vj$E_}P07$O}F!7!3h8u4E4S4d)fy_o(UkClmee%qO6~5mDt| zlbIzE`K*^7$Yi)U=hPjt&Pfh=%WI(CAtJM6bQiV+4R%6 z4G*;Y>^}st1D<3Uob}civ?v~BJt>0=~VDDR;dTq#qgHtGe6nQqik7`9MEI5?v zr?M!m?c51@8r*}-j4EDtMB5>sZVzxZZqrLgY^|5hF}P2DFd%PE{uvujhP5$|i=B?-m9)d3=$fM`^B%@vs-a z#nTeTeqOuqchtf0*yUmyqw>*(Z35~ui>fz3dP8)L_USo1+xnIemPhBg3h<9xUTs~+ z^=dd##oys4tu*9U`V5aWs+6~73W#FL`z+WpP<@@7T0ZVAK>)0j>W7sIs%|EU%W27e zx@l-aPIbpORa~yi&@_%Auoqv9iRbs1PQKxPAs|}v6aw@zDfU8T*U0VgNH7#Q?43CS zEY+=(SGj#LK$p2utI92ei_nT1y}s$=eJ)Az)>U+B6V5rVQ3Z4>V?$nO0uD8`n^h`gw&NDXEE&jb2x)J z>tEF6RV5Y@BCzvbIkq2l zksDy+)l@DlN-h~wkzx3QxyS{gW^vmg#xmAyamXe4LG2v+$1Xv7Z4lIGrjO(HBsRt! z@qBP>r^j&twNlCGT#qoP#Tsj7*MWxx+p5oOrgJNYS@K@EENr^TPI7 z9GuM;f7$b-uxeBgb4~TOJL;yWw1T1{(MIsjikM?{wR4`9|K?84_`U5m*wqjJnY`Mx z9vmBg=C`xMZNOY0V_JhyK%xf8K4yfKmZo(-nV@+RF zH0bq1e&UW+FK+m8iE+=uk=WL-xPbexxE*fgfF)*SXZPNmGMlaO;V3t^tlC}s_c`M| z);FHbk}{ubbVwCu8N1O)Doar6cWpx}V3nrpdbNh5#doulRmg&N)Axhh04r8u`or!Y z8d@;n6qt|o^0-xnp30Kku1ysCg`y-4yOo>;+TAEaO58f-U}WR6Q~*$inWM*;uFHLQ zr95b%_>>O9n6(G02%6LImtbFbf`a$IxKXXP1qOsEW%Bw78SFSgBVsG1%?Q@cuo+Vvb%}9JD`*GDw36eOK*BuPlP5Hq@6`Y`pzX~hBb?5B9CY^9t{)Y=32z}WgXw8EJC z5`Of)96}J$juW=9)+XTztBTs9k@U@#Zm@U|We=-VA~4v2t+~;tkv8Z|?7VXM7;Bb{ zSW2-+dS3z|YwPz4tCnUKYt}#(}ahqwj@bP;EXI_;J1# zB9oe^Ok=6un*t8&l&DjNjL&sl1kkOWV9$?=-64Fd8=)WpHTy&@{`Kn6%0 zIwFI)ek3~E2sK4+m5(yLnsM_!89sTZ7Y&v}x58GqHQ)?Go+j~_Amj_@quU;u4S4BR z==dJw3i-UaoE#De=WmbB4y;J3#*2R&O1FE?2-rF_VXbn?z zYO)=~F>(=IQnJ%_`Od>mOc0;=!9=an+nl-U#vTVAplAoyA zsEIWtto6*+HRBH80Dl6ur=3ZKH*Sd1+lb56jOQ2E&-$(fcwTr*xrOK7mrXA#GrBPf z<}>?)18KkeRwmjHJs*^><&;3fWZmmc^E!vVjcurBjP;R&+kklukX7?{z^xR0=2>K$ zyDXl)zGlv2rCAGDPi@L?ISq366KYd>lls#FU+Vv1t-!guGnc^4F?VTBU$-0;{Z<|^ zssxH6S?2zl036Uy4A)+498Q6Wjb8?VQl{PzrdJQSnIn&zz=o1%sr>&QrYEoQhp|H5ZW6-3!7(+er#2TK+Xs zYo9J*3*(hT6A#YKipMYEQKxN=S=6gL=~2L4%+-FYG_zjEb(f%U+OrTK5&!0)m;)e+ zFZS8vb3mkI^W2oKU@X!_-aa^v-hFl4H(k>^Rw)7c^3fky>b-W`CA#hg;^dADQe#(m z|6dP$KLE2jvgkBcEW{`om?#J&6zH=)C390&hS3(_xEAZ2d1|DF6;?q z{qO#9)6Cn~+cv&svTZf_A%@vhmwwE)dX%lbq;7jJ$Uk3psYiJw)$UY{P-vpa^Rw{+ z(*|4AfkB$hF)1AiTgUo4{$QW>ZNLxPdk}m&q7L><(wNmpuzV@e(VT%pGaaY*TiIW? z@GyKReD2)2;=ncDy+n|G1BZE9b1e$ti0+C{TU0DLcy58FW#?XwAjY*7KIhL?tbG%c ze${^W_0ax4c0%XS-g#~JVEKPspys)xRy%gq;R6-+G!1s5Ifwdhe%PzYz*(s!P{IN z9t#N)QvCR~ySu#x^$?l67YzOor|mv}(5KLK(&j%>vR)W%a*FO{VZH}_Ik-jLeCq~+ zgxQt{cgcu@`!Nw=^t=-5kEv*fdvT~l402=hV?1>K{Fwa&&%;Omc|rf06t-_5e^h&a z&B#04jz6#9zmSm|Ku+O-cKHy!LHwnL2B7TQd@tjWmzgzLPHgy(l$vx5rvr0ZrAj=X$Etm$5%jC?d9qZ9OGSlw$=IzHBluAo_6AXyRkivfR| zD_NraxBM-SNpG8a)@Lk7<#3QA7CaQOP*gw!DFQ-{phBnuN|6#JAT>m~lz`_*5v6Eo3POwq zk&=W^!l4BV5UD|g5E3vdLVysEk^~Y0^WvR5bMN}@%zQIz&04c&-9K1)-bT z*IhdP+qHJ?-mdt<#Ottq_MHCSDfkutJ)BA?m&X*jw2E8t)Lz zXatL=?Kxub+81e#1<>5)%n69-^-j}%B(DOh$ZwqU)ruRbsw2$JmZ z$=C5-Lt>7f7Zd4CH@1|W=O!#Y5j9ag75H1OZ(ZGW6;eC7Ar3c)(`AfwBzU#czA>7-R5bPgy7m=AY74EdUd65$n8(}=UhTaxm!uxm z{3tADX^j%sR4?5`;o4D4@tnO%L^i$6!Ygw0I=p5+!1HsAPB@`G2U}5Q*jzTQ`Q@T(IrJ+A(^{&O+k2K~QGP zv@Jr8FZ>C){9>%slvl>%Ge7Z3b34H+Z(`lWJVK%(X@I;GDQa8u6C`8S=<`j9!i3?L z`QB(L5qm6WJlB9@dKYYnrbe_T2to%$$prtAnz86c*+%=B)%C9L-mz14V)I+{qgIDE7DPA%9<%^b~Y7d4?ff1On+#Lr8WUH4rHvIzlOGyM ziW5$kHbK`H^UVVa;+?sxY_t-;L$uH;*tzz(;%4(=Kj{M{ZUH~{2fKKFeY*5rLUd`| zY>nx()HG`fd|O~7A!^Ddx1iVk1h1p#e=#nkr9BZ&OCrBUM+*`P_j$%&6_DegQ)HF# z46l4k0xoyBqmQONJ-QVXxOxHJx!rc3N&sM5*;E`pZd{&qd(qY|CO!E!;96rQyg?@p z_ay(!X@f)-vZ<+51XY!I=pJ0^67wza&xXdsE?!6LNAwY;Ggs3vwo?ZNV-=B}pQF6; z_=;a=bBlWGiUxOP*1zvH9z)D2ewfEJH3>qRKd_hC+%aH*#YL*OTIb2U2lzMy8vClg zbv1X4leq9UwECf^%U8oL9Ji+dMVy;I)KU+n?i3O4d+j{xk{F1TAFh`dJx!b9w6&Vf zLpKWPXGvY*L4@=jS;w5oUN214%9d0j#jRt4_xtqfugm`NX2%EO8P1d`)E%+%%>D2OrgHYsxvS;+b1 z4CT+$rsr>qk?*A0>ltf*u;(JAe-WW?SJ@vfUKe-5Nju3KJ=5@NLi89I(TsV&79Gt( zHB!59hUTai3+M<^v_Q5)NFLy@u5XYyrcpYG)^+JilO|4y{M+4YWKk3EY}hFScEP*p zrR4&=h>;lV;VXLEYQhrpzvZ!SRn#Qz&Mcu_)j zJ6EfPLoKa;U>`!wLiU~XQbQweBD+JE=wWPr@!asD&@*epM!?-U54PJ1qwFy;t@su{ zHe?dZlB7gtfmxCBv9{mN#C_q3SDL61=(YB-v5lPVl^fA3RDlVr1tvzE4z+pxhf&N% z&vw3(1By=p7q63;i1=~&F{EIuKGQgQE<(Uc+~_1{7&L#88r$@0jLTDTQCs~-%G~oTlw*V!gZ-duDI|WYc#r4#BUWywB6c23FGID*>6-NW;2`h z1Vx0MpY9!+-CHXiB`(YrD`YmGpOQ2c{J~qd6{(Ax%Di%?SpF>;G2+J2g#)7LL_Bre zn7lEtZd-$n?%Dr(7qZxN^})K-3S#W9Fcru!0ufnGZ~Phk0z2B!)$35Rqakc)Y)r}g z^Qsc>=~c3wnIqd7RbnC1IiZAqi`zJ}*En--M!krt|O|8>={}iwUsdG@FK|VB^FuT5vF+D%!v+^}7vh%7O@{OPA1(VUYLPx=DsEC4|r&N5&bfK+{dXCAj$+O$@qX-vYT+l3cKE_t?s!15Zzb#VsA9to#(3Hx-jgV?Q21Dn%XB9$R z#o>90Maui1x{G4!?Bji0t!K~bQ0n!+u!dq=g!4vqWFCJ(b13@#(#DrBb$Qd zkn5cn;9)3L=r6aI3R$Bj%MVvO-ENGZ2`JlWAb_7DW3T#(GaBRU!J>#}mLhA$BfDbj zJI%d{(2yHKYH@odqQR6I`4f79adyY*@AaeW({s}ax;e;cG8o-pc9eB*&=hc&oYW5%LmdqXkdXW7dMyyAt4Ug(rK4H-bZs@fJQyeo)^BUZ1O> zQ2h2L@rHVvgv#$)+|-716EpAANTGOL!x<*7_3XpK7hg9QK}$)2u}m1UNEFOY*4qW4 zYyKSWRE-X92p6p^jcuE(TU{?r9d?~P8wRY!0&6I3MfmN()(zy5w9>+tS#hL4#rUE+`#$>@(CPdUJhr4KQUyR* zpMQOyTl;(yYk`<&I9xm6w1 z#f^J3M%`84#B7@XgxUVF<6)F8&_y$LmPkp#>Hh8I!*-mq!?8`2N8gHmJRzg^<8$&z z(rh?dG+LEwH~3=&(uKXcg|FHlVz+=m!GGBIgr&(PHthw0u3uW)6#Y(k`o9nzssM=d z_)&c5=d#jbIsQz`TqI%q0WcK^_rH*F$D_@ObnpF(LH`Ct|D#$ve6wrS(D7k(IlsV- z#{;4H1xkQ;kX{c+w$I7^z?`Yy-nLf^yBH8W4n-M2!j|73%X)f_CdX{Wwjhp)>N*qv zb$;&(teeTq%uHzsJ>}W-Arghd|5<%+*ro#8&ujcv^J#80&-BL6UJZ-B)k;C`Z}~$+jaGmX z^cS?FYA<{1>Q9&Nv3ec+oP^ut3^t*vkZk2k?<8~EZ6N91+;A9n@&hj?{Rl8!m9-yA z7vinkJaEBch$LIbO;&ZrBsk(DOh~@~eBz=!q`*GR3}O%8T9W3F=K9<>xdUa2Nx&@B z;s%G(0kTwO>n{HKyA|Y5RoypTb-i_u8d0mjoKZK%1z5~Ex9uR%t|uG;_7T<)maRMO z^1$a;(4D1JW%v<&h&rU%EX`TtgM1-qE9j0mG$dQsHa#l02P;!Hpd3`DY)j4XrPT1s z7Ew`0ua*YOgFvUDC|Zx~&Lrini!bN*rj>Mh$VIiPR39=ikjo>~a|G~QP3eqz>K}xi zAW(MzpIKDR%}y#=X?qtkzb$btX_gwZzP5rf+yZ(XU(krs-w8QH${mGUYaA&!13CI- zE9jXf`xKauRI4FE6)N&`&eOt zyRTvsuIixd0|M2SJW=jdJ6dg`8j4D2vN_vo2@70qtD&#;~H@x zW^A>qTB0MQQ9=H9fSTUnenCX<);%GiqE==U6lemR1BvWKsu|buq=fa*37ONtTB+f& znuqhMhE&5+b5=TuG$RE2<+oM4x{=gjm+7a(s3zAl9n5^THO;Mp|Cd$Y#d2G2!_)Cm zs&)tFs}&>j{R3J`HPgAWIcaVDIG4crKu_*(PVmI&TV=Yu@JVE0Gm*02&XGu}3a3P8 zj>;avgt4>+FRh}r8jxCg{8R6Zf@p&bVQ9K~K<>BXr$USJjnlI)x_FAmbiD$LOC~Z6 zNhEDbD;HMj1`CE0$7NL_orJ^pGxU;8c2oOgjq?Vzy`!(iA){3sl+9-thMYvol-)W;-RGD3R5DTC+gV7=|sA||ygKnrdHuD8l9W#m?>4E51Q zV!VUhNBFSPj(fQCtHitm*P77KZto!L+&D~>sOJ!DmR{TI$gA^dhMP(!kVg~xa`@Fn zFlHACt)+J<#518%2D55SsS3*AebBcas`tSwUY6*&%#uD$@rx;TsXALv) zESaF5b_K0HuDQQ#oIg?bMZ`ox^MbTQ*KXD$<)H_DW}TvG4foA;lcbr>%oQCes7n*r zBBuxAOR^)uzKGiSPG2aDOM`vW%oHJ`T4jdP<}scw1x^e$arBZW5fs&(iy8TFB@&Lb z;44SQM}ZH(n90HspryNHsEE&n5c{atEC-aMLI)^4Pi9_3u75zJ^5HQj&5-dqBzGfk z&MU*>0hmPH$7=dPk_QPcx{SW;cHBxN)M-+xkj!}Ts64w0wz8|P@Qn8oyYxM+d=I50uwyzf272@uYY_?sp}wMr%g=QtTNwyRsbb-_`{jSH6p{FcVgs`gZ&_kuBO$u-?yTpS->3bsA`ct*OR0&1?!9L^SEaZOoCa_upv`xrZ@_t8i(|M^`BQiqIG8@>R z+50io2i`Y&QwWh{GD+i#L4FAZ`e*ZQZwGgR53^WO=|t2yC2gV+xRfPJBu4@E>O*P6 zpNtK!`mp+1;5zj?x^#1~oqJ2QOgEGnMo=wLvDT8<9NAF~n?9GvlCDcD3ozdKBnZD6 zp`ch35o|c=I%{mWBW`yDvslSpNoUf79s^Ho)x;KsP>)2N(+js`j>bH(V((IH#Vzrw z=T~)D;K9-qDB2Pi3emCGu$sw#&ZZad)wGttIUTcL&F-6DASZdWbMII@ZNR2$@@%&0e zK!TLe!+KZTso)|wtUg)MUL>koTbJ?K-PEy<}>`sbwXhBn>!GPi_|YL{gXPV zy=he~LYr$dKUG;*AM(r&Nu?e4#qgM>rdBiVszEt0Jcb&6od3u(amui}=ooh|hx0Cv zO^>l=P~Q~qj7cw&wP()V@9}J;sl0EN)08x6rRA_s>v~zi56yu&qyBTOD|tePB@-hd<)V z<2gdtoWY9ABL_>^M=uDwYaFv^CIkw^)VVHOE$37m#A&#@X;A&qa-!m zbYWvayDsshw|J5+$kI3S#oVh~nrIMT&Pn&w$-D$-7Vu5Ykg)NIE+jGpEIaUt56NIN zg|TA-q$5w|k(}0*JO-5~J;XK>wFzH#JgvyeY41Y19j{G1X(m>V_Y48=BkGfyeH1bZ zNHW&=5BVkhaIrZL*Y45!=ZgkbwrUnp?KLf#5#Uy7izh3Gah+g+F}+Xq;Cda)A0CpO zM3gS8j@~-Rkaf`|+ZN55EMk<(4bjLt2HvE^sd!Y8e>~s?pv!YoixkU1&-vAvN&JrVW;QCFtP$x4avXijh`M2LM;@uK{-gNB zcu-F52iNED@}j}qK?);>FFjOu00`U6{C-);RJ(V#S!5Yfi5TjAgd3d12sSngg5+jM z821f07(pwGG_&BqX_zxu!dP)FhaV5#pu1k1ihYRH+qd{us^xaL*6gUHsS7LceWP_I9GZm)q>pD7 z-KDQ~7S(vPWHI6kqtPH_#6LY#b7tNndNwo0vi7)M_c# zM9rCJHK26YUmHCaCg%8=o|Zdle4&K>$I?%r)Kcn)o2%P6$^kns$fV>9BvmRe(CfeX z;KRqc%oqUOS)ft^%aV><@$2?6zQkA&dMc}y>BW}ulKYa`%)uU9RA?rEhK@nZ>#i*B zDOZO$Ux6?$ABBnN!YeW5o*`04hfg^py4eMipj+!bxmfNHRN=S^B3*`A&0T%jUnjgA z#axOkBNf-?`n0D^aJY0b?tZR4W~plvEH~YnL+QpiNws{?3P|(0aUwP(qBjA2kuhaB zv~(`D-!%#k&u-8Qd>@O%c5MM!8s@tUT;UeZn$p_~o9$1{z6g;VtH(@W*w5?OYNS5L zfnkes1<;)wRa9+%VdIz!>6|mWK6y?Q3p|bvOF+><;a*8HzqD$Z@c@AIR28KwN;%bO zJ0pw8Y0&4kI>Kq0FPtXm)>ClD&_L1%b>8n#KZH z`%0CMX@_Udi?oQJ8Z6D8ak44>QTOdN*4Fjk8~Kt^JX#fOMnMmoC9OG3aRq^Xt#Ls^ zK4O1|g_JE!NaGv`Q-3jHNgk&3>Qws0OnCI-~ z_C^I)?=f~^FRUeJb{=Xy*JTQ1;Nxw1J1ERZ{+{fNcfMqE*+w-buWB0Ap~5)klJ45PKJ#e8c-gDVZn4TsdE6 zo*PlfK&niXzx3)Zv7wNByZBUzUag2V1!fTa4lsRncGS}VJX&mOF)m$+Ho#zQWHbO$_j&CYBnRPKhPIr%Zu;zkKLLR87zokJM=2B$} z2DaZ!yU|-2A0ILq-Yqdb2R|YNsjnJy$?oADTGA3PTpBwaTCR(u!HYhHD z`HOE$00i!9bMh|npNxWsYrzE5Y*m*;A$I&aVf*w#`2Jr20z&}U$==ga3N>uAy?O+G zd}zWJkiR<+J(Ule?k-YxT0i&R6mkGi!Tn>sG4kkhiEa-_mrPn_x*_{7<#G^6;pI(~ zu5EKLeS5pAX(P8gxB*u)dk&}ad!wpnTp$vM1Mq(j=W~MnwTk$GS83NW`6u9rM^jrs zr(45U;|8isOiuvJYU!~$gjoT7^T+DLXInu1`ahBY|0g8;KgZj1YzKIFysqIE^f_eg@Kv;S`RULbua4KQ_gob%X+4I$TLd94Xz|bWEDjeLEI4>LqD06H^>ddC8|9q$buWLk#_}GVQ z8Y}I8(66FKhqBi*L^eq)VZRyB^e-_)yeaazN!rYa9^FF9xR@nN!ezL6T803HwG%$J zQNbf5PS4ObfFu?v2P~$@-*@#)Z;lCaEi?6kxEqse1xpg16_+Y)IuAO&DT5* zOy$bLfOX5sR@Th1Y4esKh9b=-1Q#m_o;6bLew~j=J#(D+Y6LqZto^YyrRoVbz3T)F%`l_n-(caDQF~ z;PLq$SpVu=tMX=4=v9qQOCA;1jvujrw5Uxan3PZqoPz=5cminy2MjAa@4lhSOk0!k8`R^8RT@hgGp4o5J#|wdl&Ln22TPF0@VOtNO z$DGSz0d|jxq{m87GxyA(D*$T0WVl*$8DqfBag}+7_{Mi=@5%+@`UBTQ2gm%t@mx}G zo%|UC!1KTrDjOPCP6;m@bN3ohJy0^9G!r(?{(Hy`*|hy(zSd;pnA=mVt*vw5-kcK> z8T0OEoGZC>o{iz|v@-Dz2cd@maj_^~6=%OA1-IHskF6kFfyV*XTl%I9S%N$YX5P!4 zurwC`;><}a@Z=~&G!rSO>wfsY8dTxeGG!GPc0kyknQXc4iU9R^Q9nZiE+3R%ZjV#1 z&Abwa2;4Yml4;nn6$J7FXkGgs>ry6iM1BI3|DkGvVR}FX9=yUYTmJ!+93wIJhDwC= zd%f-q@Bz}sAaOI@^ye;$2O3hyeXz~Cd7AUM1ZHi_)E}Y_Co=-w%KP$1OikkpFRwI? zCIlo2GZY_e>V5Avpc4+9O>1>SCsq4io9nI}t@bS=B_xLEkXuXq*cvTkvT~GceTE%# zh9u>VM^>U5Y7sX69zf0~kp?MH-JO>=VTMIXGVL^Se_zpSqd8eqAULKHOoD0Aky-T4 z^$8z1bD*-QMu4{J;mok`*SHNStGgN$!G!QHM4;rD_qH?-Xr7J6k z9!uHGDt&c6gA6?^syCjn9Zh8e&I{RYC6jf+EXe8+AEP&|?VrAfqoghfZo0gA_B^y5 z(Cw^#1Y@Q{PGMW;kBL;m-7%prABR_JPnsSAoEPtg$3hmL9WjGnI~Fv^BfTfs@&#X- zfvi)^_H5n4!|zGb_Svadwz^m`I#7=a+D_{Ap_Xr(b2L1gswgM3N+>dgOmtI$Hjp^t z7eNNr3hRSKyCAvDMpt8Pzps~dG7-m?m2 zaLg-*6T$$lK*rycB1Qoa9E&(fx;V+8E!A6NcwM0@pgSYMBCBf~cTc&VdQ@KHV3ZQf ze#@^D2X;xT%r0%VOID+Fep~AgTZa1Ru z)TuyR2#YMP&EP>YCTs)TKe_}c#q^|QC>9#%-VAIZhF&PgI|q9b4Fe~O!;1`K6`Uq( zI716&R#fYN-|mP9*#0$ZZm)ol3q2(IqT)T_^~%#fM2mK62n?X+c%(nn^2wMpxuwdt zL8vliWNx;ULX(#Rh$Ia`>5=Hobu_|T;*J>*4iUHrfw{QO-YVDxwyM`oyWSlhR0TVQ zi?A*64kDb;(%e=BowORb*)le=aZ4hPxvTDxjuJ*616P7^g4-BFnK)mS@lFzaUbG^1 zeR8QhB<+1~eOqiq4-rOfc!?se#ln?XU|lb~?JKGWv5jstiQ?ch3hLSzwEwnb+&;I~ zv#0ac2oB}~+q-U6Wdg?ywhg3*FnfMQY6&2^jBXgDqTw7 zQ`l|QTQSkNL(#q-<{18R=H)?SJU5@o&pM$8H<`|sk*D^#9jztP$(jOq5<)G@Fd<#r zoAWbVM9C>2nK9f*S-6kdk?t$8)GSSJ?(Aa>5a3~ETr1I5|KU%c=F;19$of7{>8>T= zgM4a+`+z+uk`*Gd918e0LrGC`8J4;4VXRpXH_IY^=QZ&4!@{v0avv#|I_YJG!9@sJ zj)7i;m;AL5X3``6`@%n}Eksr9tPZ;VEm=%0i7G2h3+DAl@d6oqh(VOB;7K?J~*(3EiK5+6(fDlNKCG*=)C7zzYuTY_|gr9Dx2aci@c6HLS z0BJ?FctyyquusFC?~1e;qam%kyeS6)3D~T@3T9*LcH^iJ_>lo{M0$fBCp4h;EnX!` zp^gKeUIESa^`Ue(%wO?$wx}RWm(hWErJfVv=_;_E22~9EQ%dR5%qT{`>1fc0$W9oS z5wB!itao!(PKT87tDCt$+>l`}Iu)aEV*Oxr-EQl?G-&E1%I3(N9RT00|6n^NhBtg}1h6yHR@jR`yPk!;kr57zNm$FGu*!)zQ>aLRNSPB(n4 zf_0Jy;Lw}h@FHLKLeP*7m&3tbQ3T}_h_!aNC9EXVm3)Xw_YE18b&yoX-in%+wEB=4 zA##JB5O~O4mXVq9Mc`z`v@-s}-a}6GH&OA1rFJ~va-v?@)SJ)Ve#`$&y>7<{KdWoL zbg*_TuYVz@-?W5_qxEgM6K1>qbEc=o#@*AKhh6sjA?uu4L+q}itb(OV=HkJVS={_2 zrH}dS4vhdOIU=!ELqF?BUIFGCe!*Yy?+upvzdp<=I^1X8!&5&?TCX<-qG4Z610Jg< zXa^oax+_1*hZ+yDCQnxH1ml4~1u{perhOdzcEX@T0m$-$6z)f&^eHYazUhN!8vx=8 zdiwRuj|*N#+1P1#XFm?&E?bTcoZc)P@!Kx}ogaP5%c)935tevPnkE3xd~G@cB!jg9 zXz_aPDpJ)JA3OpK^IE4IrJHDsQbzzU-LI?$&f#AILukOnJ89_KE3~ROVS{ero#d{h z7SsVNdf?2(z<40gB2N!1xkA+fHj8ohfu5(^Js=D$vj})}-SHnQe9uO*Yy0cZ;yi%z z1Smz>R;IM9(vMs_oCB&A|cv*bK zIt!?3RcQgt29mx^!Y0|~#1|3%u5jn3!h3+hq6jl*0>XT|0SXDqbI8T6G9P)w0Aspd zx{8_%)dPyP*ME~e<<&xt{rbiI-P|;LAb9J6lY9AA*N)$)D3dMwv3zg-v4$A>6g$=& z7IS=a-S&m61Z0Oq1CQ5#`xn>iZrTr+vA))Z0SO7vK|s!@FIDXuv?m{L>U6m>yVfv4 z=}Gz{IW>TFi|r44vUfGgz^#!fC=bo zKm|_u5->KsrJRcG%+&4jo8=YuiHG~Oj;^Js;LxhT_fT8 z%7(5UfJwr=^ul&*j<&O6sNC4dNRHpp5W(#TMMVMB3$qIt(Fs6TXQLHg)i3Viy*)OHI`Hl^7CAf;uJ z&lC-dlN_?ITV4UC5qTejWVcx&tgYx5I)_(I!&h zU>sPW*#`huk~!9*dE&-i6)2lidM)C*_h^N-WAqxNAl>c-$;oqC`-<$H^oAUp$ zNck^r|NQ3?kAJ&B^-pK@|JEI&|7)}C`&&jdGUrvm=C!V7e-t0MpY)4+j2;{~+aCg# z(}Ax$Jby&x$=q&EQNN~jTyD>>$Y^9(ACpkxn}-9Uvj5mWLXW>)U#c)Ye+|s2@>SuL z@m0jI$R9U&jtp!GlFg?~DX i|39*Oc;np0w$m0ad%t;%uz__4K`z=|z?)tF^S=OA55OP* diff --git a/images/UartSession2.png b/images/UartSession2.png deleted file mode 100644 index 53df9e674dc1a0861870a41a61ef43a168edef69..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 33193 zcmc$_cT`hN^e&8oARwTKfK){VRHR6g8j-40>Am;RLvI05P^5~|i*yhHl@fYDdhazr zsG$=eKuG1n`@X-s?poivYkl8e->jA7oH>&-XZD`iv!A`6^GQoXnTCpmiiCuO=Cz7~ zHVMg91PRHN#_Qx4HStzj8y7!UK-$W$NGgWdwk}S{9OTsHNJxIiQ{%0!U7S;Ts2G7r zNa(#UKUWs*IsHjU!ew78$m#lu;}nF-^FND?l1G!wmoHev7w=RL}6)a z>%>H<)qnkov+)3z!FN?EV~a;W_|kx$WZD(Mq774eKV~*-8_s|IWqv~Xh?(k2!_%~` z>3dp_MeFBvci-(HMnpVXC>0B?1_N>&?03@+WBi&df}p3N@X90}c#RClus}QjHLwwo zExw2!NJ+lOby4yR`p6JS;ve+Ke@gaz2AmxK1nGWxjVtg2bL#C|7lr)_IYQyGD2Ihh zTvm+Uvb5B=tneoLe>A!013>hj6P_U}3I3~K0=OCa&#lefgOJ^L0HOH&y=Lf9(%B-C zV1A4XK^pzrRgd{qRAuN%*;)7b8E5{a^RhcW78(vk`4MS}Cs5+_nfv)3G(hL(KfQ|u zQ4WAl9l(s>y-I>;@G`YUt9Z*H4L<(-5b(>C{}6tT1EY)n9iXeZq~JM19QsKh^zif9 zQ3^DMleo;;z6FVEq2nZMDj$}CPbyD~-XI%8&y9BD&VzCexD7G)h~~Sf;!ZR84cRT3 zMd%*vG3MP0;cS<#yXo|knm?TEU2;LlMvA~W5gnw1owuXbxNSOghRIs4au2+Hy$b&c zCScEA%Xhx|u)O^-drpw7(y1#F$5)PC1!F&=d2WpY6{I#*bTk$=h&h$3=fp+$FVPp% z)7~sur;m3TQo*NHIij&r;A3U*L=gGeA?LYg6M8YIuCA_?0&pgWuYjL4YE@1jQV?4? z4~jgToIfY)wqQl^**!z&**S;iCK$<3YpP&0($00t7YEbe)*@b6ih74b6fZ_WcdyM` z;QuaQF;F5+03zcok<&;M_gf%%T&;NiyGe!YITq{l3~+epu|yO6;5Y!fL4Gz-JT;D= z8Q$6mmTD2_F9}9PoJDYwhaPRr3yjP6L(BGd4n}+dMXdzp7S%(T4IJkp4(}*F*TgiP zqsq?m&^K<~lHr^NpmGiY=N$r%$Tvc@D#kafHShaa5+UcO@Gdyk1WX;#Mglm|0eq+o z*#mN?;Ne6V`13i|;{0jo>czY}(}QjsEKd^}ETWM3A*2F-3Tkm{%-onb3cxCJpV(Q1 z?sA6E0M3``mT>anY>ImhQXx0N#0#xqGA#ba2MjX+nxHB{Rnk z12X#?HbRsX6c`d2GNIAmO$%uU8W;Fmjtd3S_-~z9(2M}iF~h3>eAIau80Aik-TGu_ zf=T4W+|n5*Y7%<^ZJ(J0n(Fua8h!TReWB=`vqlRVGNAXSk(s>W04UgW;pXR=aE;*BEb!)w*Pd)FPQ}!e z#fv|S;4q;-1pinZu@21R91sp=Hviq< zvL{{p``O2jyu2)#&>#N@p{CZPQBim9PM+8?K9>`FACCi{Bh{A(%IBM$ojJsD@LWMd z$2TxW;Jk3_&7p7(CMIAwH28K7vDAG@ia%6-lVZ3){JrzJ>_=qHTFwZ_jaZU{uskvZ zoZJtVNKHO?VA0&hZ|?92sBs?cW8b8!;zq(zYw zzQ+K{4qzeVep|!Nfr6rQ=;;j0(qwWuF(me$Y@Ad;!*z ztAE3u3Oy$v#Y>-T%X4CH5=S_(@@E+rb8LkZ9{~>I#J6#FAc+f5*u?j90`wd`2pY|+ zgdTRWa?=sYAsjC(MMrM1FNLb>s0|grw);qChp0!dLa=gYJS}GL>fKj1B1GSrFP)yJ zv1npEiC4<6G*cHpYQpK^mj=ac-hFdlio$dL<;7Uxoj^F5=atIX6(+`TV(&wc~N2yOoY{5Hd9u-mTC8@34J$w8+C$N;YaZ4#eWZ_qUX$s8&34 z$a>7M1)FoY8a^E0kMWbB)vjpQfXVD_AOo8M7lQ$^+~;HhyrH|)bipaUU$Oq`SfYY- z)9`#F696+E@mTAq9xR%WUgg@%Imdlp$_-r^N&~2C2vcjQT2=kcvC>V9COh*AonXTu zu>{V}Vp+ENBUjt4%L6{Sz1tA2P|6xto<3Z((>4-+JUS8nGBf-TI< zmgDh>fOo!)u7QrD8+q&Egd(`D^AJ2>gB_o4fdUQ$)4PfgW5}%Uo440!#d)69Be6ZW zuu{AVF%$3K2QmgRP|aJ1W`B zm0&&%8w53Ot(J&!|7eswxE=@7XhgC4I}c{D^6k~~H=2kOMQT0(Zl=&4sN?H)VLl_P zg}?Fh)8bcumiI$bQvJrH!r3q#M9|{l^@v{J&!yycebMJ!AtslAR#iRh+~=t-_OBhsx$GS+T9KgD9Nj`kCb&>PU5OXdqe!oNk6NU?M}i1<~T0+Al6U8;M)MEq+Z zc&_C1?2JP8;0pdo;QV(X9QpBbt>5Y~akS+qelZmXQHOdklHQxHd;IIfseY5dY3Fw6cJ zt9xZBiSyj|-j}{xkgS1gY6G~MDGVgkFm#Q;hBu_2aQyLCW8QeS!~1i2OB{0au7~wGWv7F;MrBZ+R1Ja!*SQg-P<{IZv80| zN@E>HvDTvIjeDXq* zJr%GveU7z=j|bydi@KA+Sk3d&*TB&$QN*t0Y(1e>>Ud5xqR-8Z2ZC#JB$Zhh;_UPTp8(I``ZX@btSss#o*?7j zb1hqre(#FjTF&D`&%!5Cb1;trD#@0FLfkTxpqviJWZ1bc zi{TkMcwAS%bKz16)X_-!Wm4R_RsZFk7rgBH5ZoUnY+>Aj>tD=&CSu^3VS)K(A=G|! z-b#lj2|Z*2-#TB1;+b@UDjtLptH7tj15mj@plIBwV;;bZRc)9QE+Q9C6eMKFE@~Y| z4YBWbLhW{jRo(Y0+*Y^aCyM7;ieou+)+od>Z5;@&oxziN79dhO*ycEq!cGi!Q2cWOu9W7;ZeQ0OrH9`e3rF21bdcTUJ z?x36J%Cp>sa~5r;&O=yX0*;`Cb{H&#V4%O#u(H>VoH0}aV?@4j@vFS4$3KoEh0E{! zVys#q&ADwHSDlk%-LQG+%mJiR?4s>YKVp6z)YKKqV+~Pm2&w(e$pvq8-61RiN7q9< z8iQclOzkk9U}^UZ?ogTe^_XD&0l(#3S2>01LHR>-U2SPUD(}<~_EBMeUb?aGcra$+ zVt2zsL)(H7f{XZC8FIuM9et(zTf_w@&hKIt=HPpC<|PEdcM(xfnb~ys+=>lWzt&2{ z-@1TQ#f9ORMQx*rNUz-c#;x#h$JI+?NZE3P!b&3G}ci$lT8-D?~+2KHNA@$JRe>>fiGu3KQ^%(kh zOpfvI+UlZZHU9p0s`=&Jfk+#M)jbrU+V2MsfCZ^s%1!~sm!jI_fA}}3n$taG|LISm ze+5DQ|3VP|yGf(!Kf;&`_f0tyAa+6zIGUT_M<$`v|6Pz|{NGLg?_&M`uSvplLCEqk z+e|XrgU+RxqS)RhzKV?RX=rF|M0QobgHg$eE#|cT??2))X*xtWv{VP9vmnh;hd+(! z7QDzmx832F(m~FqSWb@YBqyd-doD5uYRY!JbIl0=clYM&3grJv{T?#=(y@X*6`rgl z6{250O=g_((Zo#k>gkpT9W4BE&S?yJ)puMX6J^}t)JDq}?u)0IpGst}78+Xj9N%2B zlh=mTE6PZ<>T%Z9?R1bs>BcM@vpbib$okX1zCQ4*zstzwr+{2&;q@3C^Fokjz)_)O z-!;pzmRAvNmjQ}V;D%PXgot1Y^mcU3&l9&4NZg)mt+T2!u~w`ImfIs82x+z(9P54# zE

67}YEu)utSn6JoMgLn0YqMwRkE@^Pe3IqVGY1l_1l{^ zVfun-1;liU=Jp=_o-q@zJ>$zzb`hy&7(1(fV3E#^sCJe5#9!vGa2W@vtbR~tPO(bX zg)!mcntQ8e>6UB%?nnsxV2Mx5#wEIGs@y@y9WB(aH`3hP={hW@9^w5|f^UkvfV&d^ zC!$mj6&T=I%qeEf%+y#)3T*sAql|O(#(&2Qk&U5d7gRT(#rZY6l&a278ZB-~bNft` zmrs8@ME{Gc789M2lR;f@J6@_P-V0X!N}@FMTrs2wp0@i!F21Bb|BvXI0EN z%S!F0b8m1N?=nklDxR)9L?D*mpsoD$i`U!o??2xPFs9zX*2N7>7t9P`nVD4U(2a@{ zt&Pu3DE>aaigKMdw^>8scI;5U%M>mR;501vDoEg)U9?pBv(&1D_Z=dEtGT`?XaVp`m(Jg{#H}>QT>7&d#4e#!QK__q`~i| zr2ACZy007VT}Bh?4Ie)k7lw77*@NzKv=z)VR0|w4+826AMsE!_FRr!9UrM>!Pe=k` zgh38bH$5T|E5GMAxG?2`q0LfiC&P^|2ZkQ5(_*Zz7^>YntWkr@<=>(?eMFM-0=lke zrHR}?SU~P?k`ZL8d#YXPU+@l(%1)=BmHrH()vIi04kf3r@vHga}&>vftvdzq}`OX8UrFDu?vlH*?)LCSOj8eX_m{n%Zt^nH6du$~ z#!$KLQ5HRwL%R-7;HMwHaX3)F)CTS*i=~RwgP!e>r!5<|_UNQ%EY4J)H-Dd?mekh# zA=|ZZC1KyQdDN!A$RlU7)mk*+?bSV8QyJ-_t?Ji=Kh({Fj#G)9_oOZVl_?{wbnA$u zAp8yR3+lmm{Mq8BwCeL=imM=+zTy3`c$Efg1VH}jOuj0gdc06ReP?m^KS9?|tJnYa z7tBEv9GIj_EB?{^5ji5ta&oC)t8M)r-vry~^~;Is?VQ@@b-n1m6K#laHCS@)vzmXk zZop8j4(*rfWP!=I{5_nHStl+ZX4Ea!#%+@~OMQZnt^e@MrEoKMz1Nit@n`-t`KFY` zE3>?4B16#E=}}SNFb+-mq~mQ&%13o)BJN)9TnznoY_c;f zz;+$lgm=9q+Qu3eI!Lo0d5lVqSJ86 zC5B7s{+3E>ov%nv{1o?GvboJaQSNYMGOAfenB|8>bysj)5T+6ISZ;^1(7@4HtywT} z336*wI5gTy{+-L3z64Ug{PBe$n+n%Wa@wHZdwu1^ktV>NAiK)vK<-7AzBv5*Bl&@! zu%jubw;!lE5#m1iCe=*%$;Hac8~5c3ufH~E*@~B?U(&dL$o9PRv&TyaHG8jcs`eqD zVTo%&R)N*>tFk{l`Kd}}I^I~}wLvAXVv`tmE*vRyTy=8e+GP6YL$ccpT2y>-W=|}C ze|$gRPR^gtB*-0>LY>)~G)n&GxwtN<>fjpdV~iOnh2&+k5(kfoE_FDY5{Mp<_3 z3fIiHy$mK_P&5+HV+u?ZZS&uiQL}WCA9=$VQMM-oGpwN!w067nF|t~cobdrS)BAr) zj0I_m_vWn}FHH=2OwZPAq?YpO3yO9<6BiC}3O!#!(WvJn`ZUaoZp}?-3ETt`ZYTRn zu5yU4c48|c$xrBi!ZW6=9UqLqOuW^<1ob!HR4EWegC3eGUoN~XdU8Zi0nN*rlrQF$ zaaR$W;{2mC61@Yb&3VmEgvz`j z#muvMUu)V*&yM|be$Y7BN!j<6aNDk>nx5D|wJzO?H(Lrp{HjQM>TR*#Rr3Vv|uqar4GZk3)N`1cddkt11gq+Ro{V zaOyjk8|zn%hk|}V7PFglFp-B}>!geP5{ionPC;OfcV@5d&zY^YbQa{kpAm&har-c!@4kd&9$+RrOZu1eX`lIEm6>Qj<4>Z*-&KLaL9VK^FaK9_l(@?5miLz)g)h2btFT(25HJ3B{v<}x_)`&+$;^t z#Zec5E$LQS+@;%~aToaSe&gHvFP6=7h!I6pMvHClCaYIq`Um;_>eYk|^x(iRuT`?xaL^TD$c4X#z<$zsl-C7j?rLdn%?ldX7RlemES zB}uoDif8bs2^R_tr(;XjxMJ&;sD$;c0#golgO|0MJf5UIV#r=GB=XnbmgsjH)Vj3q z!Ro8w6uo)zFJPuPEPenr*Y~8WE;(QySTsxm9LRY<(=j|e{fOo34qYWoydZIscQN?! zamQT5YO`-Lh&4%es(QS&WWpy%^R?5=bw0@HN?tQNN3NB_dsDQ2?KgDC8zqfiKW5q} z^F)g<3h@;NwB%?>cqD$tu|B)^QwTScC}liretp_rmD`nj-D^fQ=vI=q`uv@F{J1HlOQVaqwyb-#gIhj{ z-lm4(@JyOy8kmNGvj)@pt1BnZpih-ULX!tkb6L~Xz%}D}+@Bs>)fcO!Yp*kvEZsE7 zy&i3`$y=-@`;BeA9@&-g;cchge`LA8<&m*ik{M~H=(%f=+bDlRcl>55#HaDSK;d1I z60I-wodF8s@ya%^U&KnGW}9))8uKDvF7|0)6OQR!M$wVUNOzN#vQPJ5Q@{)7VZ}Di zNTa^bED}n$8Hb~dIH8teZPwg?*3oHa+CVd8Bl=+z@^F*CiOqcUUhz{L&;~VxOoF2A zZ&pa`(-Ca2^FzbNz*$tIYx4q2s*|Saj?=mneNfY8>WyXwl&X}Oq`vjdko&p0@1vM9 zDkn2dymuDXkk|>jublks&?D|G{$HL08ynLHP24yL$l{d_m^ClyVa#0CW?FE(`G+JG zJ0l-?M{JGk@n#C$$kRUOYwNGblTPc&x))K6W345D@%>TumihdsWd?w|n~9++nWXY8*AdFF&+QnZl3nySy&s@v7Ku?#76^5q7d zAuBtTsk_3e8ZUOrbNgY66`b?n{b#+ileH#=4FtEhiLOHE$}fMeN$~TeK9n8mTlHM= z1iIY{TySuP?otP=28+GQzdiqD|CzB2V!~SJC3N!8&8J}}Z{e?d(W`6bN$B^o8Ky9ijH zOU*osVM?y)Mex_?5AoHx74+5pBMiSO016ht_=qk!^x&vY< zt}bb=e8)0gZYos4**{n(9ehH?x)t8Wl_jTe6#$ORCT=C!m%uFO^v=&lK{ae6sGg1V zYUnPBD=O=xB?&c%Da_hm-)b6+S6XY#lUtH6Wu@@w*l;+J%$^>o&#znLN6f_FXdebV zYf+9;wF@Ul9H9@HU`C6l&Z-g&s}|rf>u11bSfT01&Zgu)hBbwzy`9ja&Oa$$A6R*x z6I|VmP()uh_d(n`^~3Wiu5rod<+woqmeaA>0M_lGz&{oG1HjPHy=A_2Nf|_q4c{M) zhG*rj!=2@oHD9SS3fTkUq>86D&#Yj*lnQZMOL%W0*3^TuzI(2GABdD#*nmLV_V(0o zFF*ogdOdIAnuRJ0;SLR^8QqOFU*SJ{K-x_^%t7w|T z!Ms+I&-3mKwc?$P9i|lOVer8tMy&)Wc8aZ)RBxNOZ6@4k19E z<1(l9W}k!IU*TpLFoY3MpzV1EsHZ=4(Y&ur7JzE9uU&U;c@6*k@^GsC+3-QoSFMR4 zWHV$sPe=kZ5I^btv2oH>uKs!@Q2uTG0Cc>YU1Vt3bGEKs@ohGH@3&mQvo|F>D!GW0 z&2P5sxu@xm4QswQd7v^`;*Dyk{v?GKkiP<56XvB*;`o+ST$*G!Zj#|yb2CsclocH0 z5g3(`ikB}>EMaPDyjhmwLzLWhcKZf)oJ{8hsu%34f}gWLs*(BByD;z*?vSb*DWBdT zk(zr_Yq@bkenR?_a!N`%z95DMul+ z9AdtDLon9y1xvn-^p#Gmin`RoP?47g<-U!M+r6l(_J8sthW+?OPi%u?k8L_b1#UNC zQK%h%^Qr-do@?m_Ybk33=eWPY0>`-Dp)!Wf9=0;ovFVL57iu}~Xz9eI#3cl|Y`s=A z34sWermUq-3sfy`e-VIZ;r)ksm^V(sP(@P$gkT1gVv3WJtLbY?K+Re|L-dkZ`Iv!m z#xn%no8-Z*zH161C)Ubsu!zEF^8|lXRgDj3=GgMKm?%Jv9r>1R92m*%&?QLY>!tT1 z4(G4vp5-=|9x{P77(Gloly$3kE~h(X5PWH)@5lq@Z4JuX0Nu zyhFE&f}YU7Pe=7&Z;LtxE%7`^d%c&icjwHU1C7D>NLThAK08oCO31ter&x8v# z8PYrgar3aEo{uaUAkBGCC-ph^t|%#K%ZLoRV897m0LfEWAu$d zE0q&BjbGn1?Yy@TnqDlvX~7eGD?Vq6t-|Zk$xop#Nk7bIHw2E4zBq8P^v3|7PA>3tDs!c=or@^tFe!dxd+D z)05VKpcR>;&2Jk4tceaEOd?D&Pdx1q(|=V$U#Ukl$d8fgO`RxdaF=oB_V!$`PL}XT zk^%;u(?{vjNg#K<$K`j%(;-nEoSAbk+Y?`$&ozHz03Auaka+8qK7>)7Nah($N)r2# zx&3KZqc-O?H5J3GOJL#oQhWm$BSc2|G~VEcqJ*d2+at~luI}9W&P`+*3CKja^Aq2m zcbAM?7qxA*{!7ku-H%2t58xpgzF0@#lB=@w(mb2B_<{XylFUx$6g6C|ckUi_n+B#ru@oauX-#3{EooZ0aHD(8ThHAA{R z>)E)#{(zI_loHETUnHqoY)}b81zb(LAm9HfCr5qQKnT+016Hww$N??Oym|t6ye6~V zeC!SB0)%rdnJz6Emf~ z5@mm>3FcoJk!N~nZ1SvoMWyx|jI)A_KE;d6R3_Q$S$F39TlrCl6GL-!nvstDe)={+ z^8Cg0lj%eC7fVvigyo67ly*L5nZ90AP*x3ubDaMbBr!OCp5!I1?)fW_9dCCwdgBeP z9^rm@ukj-TcdM3$SQb?KgJCBcZB0ZjX4=oeYZM0Ti{HDBHs>fbZ__=F!m1_9xeMh- z@4LEJ_5TVPgJIBKvM3Jh@r%s->g|0^_lWL7Vb^@7%|Kv)T|PO1M1zR`SySNUY2ubn z(v=XhCZb+66RGAK36xOA?MShUjeJ3KI!uH4WriDFO^j2&{gs&8SJVLke{GM~3Vedo zQMT&CkiX+rE-+BK0>{pNYLs}7coNo!&ioi~jvUR+(zoQ$0ouB~^_Nh612m}~%}21- zhwMmhik>iTuBNhVvV$2cvP0ATuDL~`Ub%;&qX~g_Wrg`8Y-xnPEIAM+A#b0l3XW@<=;SDNPBcAaC@(xLlD=M< z%;kMcjICEwosp&NpYVrfz=ZRgE|(L6NLxYac1|8BCmw%uv$kuh1uaT3dHBO%fNpm% zD`>Zyp_zFQapJD-H$rTQxDq86_Jyt$WYnUq74SVKT+qnV3)QMt?1cg|Mf7l553Ga3YMA7)78 zxr?(+11q5+BaH7%!ZQcRA9uSu!oNo3Ly1Xt&;t=oPvNAc5fiN>bKQ$T{(Sn>-aKgC zdW5Q&0kih*=L2QWhPlOu-Cm)g&BMUuuC(+G_$vzvfw84UxCCOzZ3aZ-kok~={MNbK zdQ;*+UHQaoBzbL#Gj?Q~Zf*NasHuyrvbDkQ8b;h=;{EufUcJ7$I(8?*2$-oBe-UAQ zYOurVS+7>VH&w*A_q(l}awd3?lb35E=ZG@Nush)e;~nI{_Ho?3B%Lk3pQ;uQb`eX0L|=Mw zx$C2t)3)zaCi}5WH@-JHW)KvItcxzl#Z79ld?ltTyrWUSHqOF=$|M%lRi?2`wi0uE ztHq@Fs}GW^Am=PTJG2z@}GE74$YOLU^DI4BS=o_Bvi zv;@_cZF%)woj2!wE@WZ3!1-JrJ)c#(h*tj)SXG9j{T6+a%QQ1Sa%Nu9fb_UPt$TH`w+1atPhy)_m$kt}^h8O%EID^c#+}>TP*G4YiF@0c<5# zN^!@yG&K*CH4Uccgp5_|3HdDY(wQc70cI3-vr%Hqro*lkY09Dr9StA93 z9<9Mygi6`WWxocT*j=Ptd{`;sg4WRwe@fN=%`RuH5a~aQrO}i*sa;mops+g5)#~n!2SHk(t`~U@kV#*DtsJ z6CJ8y{mt9?+ab1(ivqD*C-r4T?1?j4~IFA|`YCKzw-)^}H(_czwsG zb%E~mZN?>zqsUm3r+0L0jRvyvHp7?A1WUWCH&oOG{#GTX_cq@0R%ncp)kD^8wbVB? zB`=xlD6VnkrH}aUglX*K`Cz63_V4cZ+rxYIH}bS*U(gaFy@&rE^*N<=uMw!Ej=19s&I7?lFY_Fq+fvb}?}=5nz|Ilm6X5MI=i;GiE*NSo;)i+s%-a)$@Cn&zgsKx?Qftx$|9L{?H~8EL&0nrJ(gKGovkeCqo_1A>W)x zSTi-yW#s9JSG>nGQX<{TjAO4eO)aFmx|@mh9vwfi|5d+` z-0gau*Fe*&{_F0{1(eM`4;ajstD()Zp5v14D2t6FE=fmSIECJl@<-DH2|v94SfpEZ z4Qj0lwIhus=YOFazGmO;n`h?|yEtLv+t~`NDavNBevrN+f5CwjDOU zRZFV}E!-6Row!`R`q?hBFS%^EXJl{xxiL0hc=|4^j?TKyz4O*u-u} zkIn68cAyuylQF*IkxUW<6ewn#zvy3+wP-l9<)CzGpVU7tFAYirwDFE)GA#=;E z`RlWpIqmS88K3KFtOR10=H*uwwQt(KQ0IcL=&YDMXYZfLVbP=6vb}QH413?gIeric zRjWiDy-^>&@~JydEu)UJDTFfsDU_LG(TGY4YgWX{HU=G>7@&KXAYn$n6s zJZHs%ku9j&U~-wq%}+#0?a9>U!mqGY+gC?xyG6eJMg2Ud)al86@rJm0uJQEPimh(H z`>63-6JPilPWq~iAHU-FS8v(1UW%hnjto9rrO;*eifIFoOQdi>9IlB z^!+xSX&)lm(`Nm>ovHs61*u6>v3r}~W1&`E^zgL@3&F&%r$5#{@aMqqgh`)9<;mWR zib`+x8l{RSFjwwwN0BJKP{##1TU)7}Fu`f_;j8y+FM?@&_8Gl??JX~R*&5S#$}O@S zq5WH%e+=xm;&Jk$d!z49e|_)Q6@?tzFg^C?+b;QlT9Rnr$d-Ci|8@lp@NM>4WNKBP zZ}~pXc-CxmW6kfr6KBvyD<8R|jCaMC>w^_y>wc}7f__-Sb*Z6?@PV&+5;cv8YVXF4 zl+y$K*c&%brNPr<33Y4&=1m72d)+2ExIW6sRH*$UZ#|YLUZRH7)Ak+eNqFMm%GCE= z2>C%>vqa0pvuBwdOhxXto$IUgnGQHZBNh>;mkE14fXk zMcaJtN}d)r>$WL54mMa2p5>27ig4s!!7l$`@g8$vY2-fl#b1t7`(A0bMvgzVn&RxF zy=MAjbJMweFx0%JP5ZV9>;1GYvChLEho3sK=h_P>x3lmvNgbE!zQlR~NjDX7ZEnw8g>{+DJx?#o5S?yiLH z6KYbFjqU8O;fD!NtS0I4)lTrWM=|t)uA_`=g>Th%P<5@QT<$o&n_&pmk~RBH)s8Fy zJuQBch^LgyhaOsBh5<>0phlhJr!k*_SZjXkJdqhOd5_{vzZdrfrxz*SWdy3n4Mv;p z#^4aIcz7*-WP}JhS;#cST;#oYq9qVf58D#Q8#~KsU2Mo9uch7}SQ)Z>HR$7Y1VmP5 zM2$VUq#*5ElKV-XsTW@n9uRs{^!XY4Hcm*!*GLp&3Op-ImWE&} z_q=sd0lgu~vUbNiK`J&=UOE0Qy&-Wy2V6-e0; zFPpr;*QHEB36;89Co8j7^6%=u?$MU((d^yb$GOHlY-D<{awqrST>d?^(Q~Gsc{C>&`}RN z{;SLm^m{fTcAI?Ak2@iIc)xbyJ2GkN59dnBCAW1^=WGx<%FDkox*Yw$Fn=tNS@wmeQvj9GS7&R^e?vmRU_K$3{Qq?@VK_@>X;d z|2b0>h}%Bl7t`Lm(5PeC?NT9Vo1@5IM`^V7)wOPDdbbMdFDyxAJ1m3RH0_#KIIjLB z|1Ym`z2lmv>Qvd)gYcsFUSViL{hK17zTgf-KPO-^1sL&av@DCJFLztl6CARo5hSWq zJ0G0Rwpa>@J)JE3$}pMQ={5?{EUp1G7e^^Uw@xvGqtscw>T?P|YBI<@JnXr4^ZM)qs1Cvw{N zqRfgLSq7SwuXu?HI+J*Rn)IC1Ky|k zvSh>}7^_ml_#;wGV~dO1wp=WHx)RM_@vAK~W~iEjMkd+3&R;o(LQ^cgp9-k+d__EG zv&w58>9L-<4nIs`+hG29U29Q!pqRl`YHx zJ<2~Vm4U(yvOEh?ZkD^DLA~oMN;2aUNc{e3|bTdk&%ihG>0 zZl|x}ax#`Ib=!faHEtgI&qyP7PO`F;z5eCcndLb-km))j-fdo;uDfyKa!I&qlxGuquNu%7s0O24aNsEpb%ctu1;%i$M{MHe)Q>c&n=MtQ zh-G4n%>{gPNh@wyE4;$<-_4C(dcTwtU!<#=C0GKOJv5~1dc~Wwy{a9)IR0XDxW2dNF{vfo z@v5AxvTaRd{=0DN4Ht&-g}f~#p$AM9r4=e)C@i8G*<&6bzT)bL)S;aAh~hieX#YQ{ zfS_Ada@~!=26cps3>&YCpeg7_-2n&3tLlK1=&!_fjm8~K&38T0L&DgGcIp2- z9v6?KVc)8War1_rr1!*5`ANIkohE#I4So$vQuR0}-0Re2hie2~|3N}{ z-xj*Yg*f_?)D+@P0E%WVh&CO6J!7}-^$ujCV|^C0K7*7+edW9GGvuXWTjzb}Gxyx? z-eu52A3+Ra@vr7{N4rZ>@vf*Ad&)Xm@rsGeSv}gbADk!^$=)ln2Bfv0@H#)pu|i1w z1Xhdk#+32lawZWcGsL=IP;s~y)Beh0whHH{S6lcRNkEZI^}N$+uSgAyqcL*q=eLnN zIr|Z}-W1+7req?`s5VhyUl;xZH=g@An$h8buAHK6{Eu5QsR9(_!xi&&f7xeK2dCAU zF{I;N7CajOHvHa*-?72B2e>!gfoeaPbdCF)f8(vn7J(~jQJdaPi&0{RN4JcVDgxI3 zoDYaB){bUdHZ-^f7FiH2SM4v%)0^eN;b5o7=f8fjHL37z5?n9xu8Z0g?yo9wl}5g< zTgPrG^bZ9d_0Tli!;*0jI{~1E`z*Ek1C&o@;rq_TRiEEku)>ah)?q-gb!~x~0`+k?71@yvRp3Gu}=T7IX-} zu3Q`W9bRwYogNh-)rio1AcxyVI*tZBGP^DvpC^Yo-AmJQahO&8oi!+?)|6`f&piT~ z`*N?M#E;JxLpEG7{}2+n0zY=F`yZ}+Ksy2!vGWGm4t}357Oa9oab!4Vr=y~+bZ@FW z%MK~ltQo|&Jn|0}d`n0WoOMHrdA-`C(Q^16qqF_5Y!C3PTWPLQW&T|l^&qL&U4}QM zQGJ&$A_VSTv>v=sNnvOkZ5VGS(kb)2HKY#e0@>_IrH+C43`062J-Dp8yQ7)TXcc}9tA4u82|dWg7KXq z_G_swvi#o^Db52_XwzI4aZ*{c3oR8?|4@DC$Pw0(yWbBj?;PFrqEjyA0cKyg$d`lE z3=gljvVvV-$2ROuyglHMdCYEY78MqMw=aZgt}z<^y{g-r{?ZN42U&;LllREXJAZ#t z$kXQhqU9LX**sk%eoK|~EwbLx{b89?qhp1MeB?|VF3>FhNbT;zOaMF7se~~&?(M^@ z^}|xW%MPpQctnnh$;ZqXx?QU!e8@f{gKzRd<^^QZ!sRGF{UrkMwSRoZZLc{tnuAt8kP>zf`6ackC??Vz1m zN^-)j{pJnb7Hwru*_U93K*n!@w^qyg38J!(F12CJh8eHOi)nkpYcOs_dO@1}rUHPC zYp53S1rgj;?-@5}CdzB1i`giH0^i)x6{NnlAlKe?XYLv0oP7P3{5;SLyUTr?Sz2x} zaC<}XVnD}|mlRI^JHMFWE))9jLB^i7JknXUQ74)(uiJ4K>E@~@sVi!a{JZWt$B`Bn z<-gBf-pA6X#L`+zdfiIT0I2&v8@d_W-$grqIR%+AqbpE*@-{TmdAfgHQx!xEqU8`y<-MFnAYbipjJ3xH z6bdy+pMrLk;vJlG9435a3{0?Vt9bptntSW0DBrJNSX!hMP&x!D>F$zH8l)RRy1PLH zL`6!8p`;`fhK6AnhLp}>=$05_7(i0eGr;%v#ChJep7Wly&N}D*7iP^p_uluu_I2$~ zjJTMxYHaK`MH}nH>@7ZeA#43wo6aG*?glFTn2ik3U0hbuP);md5fY_r|Nd1$Twqn; z8)_3&-Rc--*tCHk{Ew~Jae1$>$Qbj&SMpYpG8%^pG9F$h#{@U)S^dr;SMt%41wmDOIF^I+uUQ2kA? zDjhT{r5XULQ&V)$iy;zHW)ZT@&IE&9CIvyeUTV8?WwEn4r&L2jPF@duOhQ4|@yY&7 zw$+_QtN=IV@zCkZ1Ng>}^}QBAEGBkZ)sXlDqbt-}WjC0|%)#$>yUkYBN|GbOzU>BH z->t4^N!S1t0IpW0t^lKRqjRX>8l*j&am_!u0RnTp`j=XV*ghNji_k#Bu3N6u|35m| z|3%)C1Ruy*TC9FeItaU88ED5GMl6zLK}0gNP<h&RU z>yu5WV=+9JuAzCMDJ#9N*%;>Q{>aw9c7&g^f2w@(cF??DXclHNQ+nEXZjU@~I(jd|Mj>ox`3_|K?OTTCg!8Oa z6RC{b1!>KNon*|(teSLp`|ahG`%hyM*Gonnt_j=JdUG0Tc3JWBwf^cEa4KUzt&rnh zyrR)7T~UzKVH1vILX58XEo8~>-7jD9etFI)4JQ>D&hRyl2QN4S9R`J5SsIgBQLC&8 zc9UA`BQxuy%G@n>M)acn?5T5Rp+KC9+ypc&93xvKi`u)00C^dj$MJWWYcHsBY^f*c zg*KgqGPIv@P4mkhNJV_!C6(utO}AWm=ApW$Y}&dv()6ic-KPQTPnksDTSir4~3FRGBQs$KJeO_98W!V z@o6UccLF%^6V^p56+W3we?D4b{xS9kf^$C94(4^R782|x3h_Yh*boo8CvSEq=DV_J zRu6b)T|cb~x)#TJiNwCFduO5%?7x{W*4%Dez|1@0;m1rtSRJk(!DS$DIHk9PoT^?k?V(E_7DaB|?(UeKFfEAq^i79*VFM+0@RuIOBfR*FmIZpUI~ zbj6jB{?$5HJ<(?Wts$k4VjrYQS^nL(_JI{|d0Pp#I_pS0ZX6lDS!CWgVV#-nY|Of`x8a-j{o5D~-yZcJsNwH)3}@%$u&oOrh0e4Tioi{Z z3Yfpo%^qx2cq58CtZXKq;FCGHhSyF6bYOCD)>?kttcN*AQO%a<^5{{+AP>D94WDjA zNB`YU2V+$dk4>rrLs{340AKthu2%>+8Sy|d+;@+P1I-ZBg+oqfvZKaHxs-xj#IaH1 zg+P6n0a2mx(n0lUYjk_`_ufJCfCLtgCTN@=jQ!VakEUQ6`q&G5Vox(2dUeSb+^ZcYgHD;OAp~TkGM}58BinVqn z9=V~45qf)_9XG!iDqG2iuknC@uA1vNv!Y^EFIBn^ahYq}-;W&^^qA3eU5U8H%C`#p zdr(9!T{B?}oltFR$>LSDZi#9nm!0#!4E%cL2mYYA#hzw)xAK6^O)%S6S`-^W*ijb7siod%>A>?!~ z227=G5-DF=seUmV^EIL5x>w?2N;R8=f_+4}#-JCF4d3G@5vLb2Mjc_ik5~FDb-0HN z9BDH+cZx*RVc_BCm}cn)kTp2tL@=u=MA_%*&Yp6CGFDtBWU#pln!hQvqx8-%d`d|) zxw(KylI1RgY+65L*G3nqMgehP8F|^uQumXHVo!n#;Ed{a}g{6d+lrTckL@dzQxb>^p*M)P~7(R*o{7?4PPyyWW)mQrCdifU-g}TN<45o1PCxD&Q z^`dUFw9JVrHv+MrgJr9J`VQkGT=8U$Ok?GD*6lo=kcqkL89KWQs1)dS&5CRlr~0}E ztHY!MP(}RZX=!(6zZdX1#=H$mGb69XH41>HY?wzWz(dY=ly{mb7@zkxd#Dz~<<1e3 z+cpTJW(*jcdgm>SCQuZkn$OV>%O^;aIYsFlZ&K(>Qj?$&r&AiHBVD=UI(3aI3Dl1G zQajEMVCtOx=c&Y`AUR~yyM+uQa@f~cFI^M>ZE~3W@WBP|s2v-Ho~dRk?+TOAy8Cc6 zXjy=wza(vj+G+46&$&?>)=CbrdO)k@M1G9>4ubqj8+yneY<-+-l;bDchO%7VEK9`* z70d&LDm6FD)jSXNNFn^o^%3RwjzQWgR=B|FLX0(x$Y(@uoO0()5AdT_0_wUygt9mp zCXqJStNoU4EOW`!h%_;i*NB|4mT-!H^*CKpSmQ_ z7p-(dU^x+5A?`{}KkWGa;-7lPUm?RcnX$kvJS$(6DVKu+=mfh?4>jqL#&FrjGh0kq;(ScK=Jy<=$)ejePUJblwJ-l`sqvz0yuIP z#=BuY$;ogcG@Uu(rLLuSafQ{JV|@?tx4c z-Z6}~YjyD|5nbBfdH)3w5+N;#JlQzzeRlo6o~`$({Q9XGv1ZiSYIj`Q%FP*bI8|Fk zNye{C{D}0^V}3eWN3^BLMuh@~O25)AZSB+Rvn3$k(Tq3`KOJ|%uYn0mBps5|9XFd! zQ1S9n9eP6fr{WUdT-6JFIaTJpU;B7Fy3F!{@oPqYR#sPYirqE3>yg^;S@sVW4nk_o zwX0ZP7Fi;|=1Mg$`TFg-d}sYfgjdx{fHmI2xR>^JJ z9$zl%9QAuGgI@uRt*doDe%THy#6IlL&^4Wzz}l_aw&nIaZL*f^|5|YHDR7X}U{J-V z+o!W^mUtKBRP81i`qd3Ni#Hh={m-?w_AzJ|dU9+c#7Z)LD~k0}3s3$$p20hvSkT#?N36piNCvBPz+~TwRDT-iKh(G?NjEKGIQ(|v z)UpOSOlsatnsp(d^XLssw{W>`pEn9!#sHQtD$lh?0OQK<@=~LdzRJHHQe{t5`w*u( zc>f@sYadcCkxdM1Xi{|6d#0Ou8c`KU|Js~9i6a^F(#Eu*WK73hhV_n&Lb9ia8wTn07gjQzgOu$4ER@0tb%vjN*i$GZ z?zCW!MyxwYqcC}8awmC+DI$@+BwTrpO^*??+;eFc!*hdrG0>K6tfOw|;u=p3&-xEI zx%)_ld}+i_&-b5=0$)=^{?U1Eco6DR!Esex&p%`?CDA}qU26NfmMu-^ip6>Vi$lwr zbcJc>?B)F0wTxhprbv)&l~cb(Me1BlOmi5Li{-9`G+Ucr05wXyv%YXFHU4<&CAM1pH*eAxvtjKodAxiT~h~riOJJ*etxa0 zU>WpBUKn(m509VQT8i<`62wmDgbj-egs)efGlBt^T(gtMvdj&{T>o5b1{SX>xMsAD zrzIY;SUxMv>THd6EX(g9p{73kJc4QHpI`huk^!YEH zOMm1W3G>ynwyWOx(^n&1FAN1#>*b+lQycKPAL1p}QO4!ipOo#+bM@qE@EUz8wrODAFjlU3`H_*xps$@)&vhWgQPmG7!D;`MjeEk{;I)ka!r4VHMn^W z-}HO$6^{CB6}7eXji;MU$M7m0#Xh5%ePc*cxXr+%n-K<6XvOy z0occWr-{nQJ7Z%u8-BB_NyNlG_SBf`5!LGcf-XWlx8(=_gvlCxkZ}0Ci`nW~!zlIM zSRM2P;5HztTKs`|_e25xsnBPq6Ppu2dq8aaH0oo%fiVt#qwkod2pL_ z^dtc$VOo+qhaJiUE7qdsJPpV3HZp?c{H4($23P6NCtW|DizjmIus#2J{bm|ZmGDne zuZFJv#a&pETZ$jrIRnHA6cBO=><4BJ$4>|#a@pKv~F=iAIh)bgZq+S2y+zqI!PPqz0P=XQJ=rN=}7FaHObtpJi}#Q`)Jk$V#Qsb zMo@k*ErR)dTX+7-Q+W5P`9mp{D6*-e`Su(XeVUr;wM2b@67n}WZy+8Y3sQ3S7Iijo z6-&W<)B}M#);Xfvdt>>vW%aAnZhr;B7zMO`Lg)6-82fBkOO)S3b6}eG#G*RXT%5li=~bYEQpKzQExi5aXcPC`WHd1&q>!prQukG?k zWgE4f21KM}UF%gzo(TumO8s}(Zh-%j37_T+yv24~A&Ny82oB=psz!INc7}4GhJ6y! z0M*!7H-iABWV=s?z?avRlRWt;-{jas)QSn#rUN*sm72AZ__LM9+s0Jf6p3sE_rUX_ z#ozry*`k9*A%)89hSR*sdFyaGa^mM?ulvUF-UbnAA2h@lrMyVwh~?xm6GA$4 znR$%S-#hcBh>$aeTO6twQ;?Z!!N9z|O5F;f04@IQ28_VNe0HD5-wcHj)ZL2=q9gPC zEevkByHU^~)Eo#wk38Nx@V>-F zFd@goo`0kX=t^YtH@%+*c^~|jw)EaCxw`A=H@Ynu0F0U8M0<_`?EXVf`> zki9)21^lv7Rz6K3$nUqWK>&g@Mst~Dn7V#xMfLuoc|aHq#WNDv1M}Sx$A-Y)x3UvM zgm0rdu~%raEu43#lCMv)=wAM44RLa6+O@%K0JI)pga!Yc9$Z;yu|_v{!>|Phb#bL) z;nsZZ@I!}Oi6vspNTz5)nvH^LX|csV*FO!KR2V~ER9}X?#dOsb9Jyx|TuLXB)$m*? zsndvSVkHM2$+3c_KN?ON8<^axyw(Zxfn3&qGs~-Dy9N1vA79d&qdmw#BnEq0gv14! zh-4KVN7BLl8#DYysJXODx)YQev*TWhyc*LSu7q`)Vg6lU79Fa5u=Dq#WCKUb^Wy#0 z(n?}8m9QUcH?$r-1u_m3nCtBp#8GaU>LS~c+Enlo({ zs5A{DrdFH>>dv2`{5{T>gs#UsoJ9-X0QY6vyalCUWM(KQdZN>VN?Ci%x-_?ub1sKTq`JZr zHFP)>6?lm$jvoNG?R5AWiWP?pUd?n{JSsvaRf3iQ_dkG+b&&HZD3SSc3fjV$9 z3vL$A3|+qs6|qs&2P*9Tv)D*HwuoBn{obk!Y#&mw1vTxjGPyMQo`KO32=V@ci*%8< z>eg*t8fWBW_+mCOzywr^Ee(x?%uBxY5QSWM$GPZ{>`e{j{NFFBqB|)i^%eVrkC?Wc zvXsEua#d&A3H%Tmk@AtR6gs=Acfx0tJQupgVTk=}Dm;{$CgQY~S$;7 z#z7lLZaN8ELk1*AefeC*{(|ltAYby;XAZTtk;A)C*{GeI@$64ES>PoP4UL^Mn{zVJ zbEvd@k+!mb+B@L)GyX|i$WO2)n-Z@Bea$sSMse8KB`&Z5k3^W{ggU>jECZMS^Bcc~ zg&FNpilDzCpMvq(>$B&H1`pZ`dow*@OYOSM_w z-~7zqdl_IO)394WXGXy?Ylt_(t{OKf3Q;<%?gQxAZJy`wv z`?c1I=&laXt@1Jbjm8H|q<@X{-(gv*UKKav5ye9vVtRmS#Jfb2lo5}E*(uG&&CzNn zkI};!ulqA4zJG~$azL(e0n(5iU3mPfS$)*cm@B4wAAR+Ga!}Ec`vMFCAE#lwTFHSe2L^gMOZ0cjoXMqqi zwAeIiDdM!FqopENBzeGra{zCu@R@^zV4}{Go)fIgpKcdS)iWQxVqUxUGqej?rN!QF z8O!47gNQUdF?+GMKk`R2^IQ)Px_|Xaf-}#I=au)TmucuT+r(a`93gp9SK8pf4-+L( zMO+6f{@&_K-2F8oo%i+O+#8YdhlhmY+SA!5H(EVrY#8C8;k$Yi?Ac&fW z>%?>@ii>U0^uSPcnoBhT1+?KjtX;eW>?S=Ta>(JjD|xoE_MQkml-t^PH#8XZm=(#1 zagJyPUeDxC0T}b>k=$Ff93QL-PV0TT&i?UYkH?)(^0>~oDs9Nsonw6iF!@%g7-B9V z%!#Obf$k(1fHldBa=YTr9I|(N3lUuIwhPd>z!(NLpjP8iNVHOY3>+eibePah)ej@> zfKTm+yyu3_AP$3h>}bl5jR&qihmOHlp6rRa8D}IwU_U8HLAJDrFTT3MJi_YbvfWZoAwbO>MH)Y*iInnTS&xNEBJ}V*^ z=)8j}b-e$2^{CTUls9pBk%O!}ppHrL}2PE

U=p?c${JP=i?`1lzGYfJOf4OH3UcRN&8gF0?TJ2h=m{OsdwEK23kJ|4Q%?j zgXF8ZOjMF-WcL?T$2_Q0lap0SQ&gb4CrVM4o{R#tbgjjmjPxGYbAQSu|E{LB z>=U1Mc`*DCc@)9{CpJMH1zr^P%BbXA*(hLM7DFJ<6l){l_Xb!TTpo2t>`=MtA>BqO zB|2w_pH8FkhA!=!yre=7iTSeCXDbIA45OFT*`_|Rq?G1?^80}Vq2$`^2(12MS%7)+ zS_)@H&fbI(-ofv1xjF`a|3ns4nRKm%okPf}A?7w`1%nqd|NTHn?GCSnJMct!v^44^ z!_X5h$Nu1V@b8-zaBh3_@L?0rF^Ec{Rkvi<2Y&%A67i90x_7?VZSTpfz%RIff5W^Q)E>jH%YM7kYPpaAH{tu-R0v+#H$$b0Um9XQ+ zjk(YM<+Hp2Nz363Lp%Iii!17XQ)AmnS24TKO?mGJ!x&-V49Xh`J}>`5u;&&nTQ~jY z->AfMLeGQ`%pax~pEQcrw8}K`Z3==3G;}sIYVpX9`}v!~!Ydo#3=`jJd1LrGHNxNY zE=BP3yjFqNr>A%MU}dIY*?TW;@gLm>YilIoQVBs~&yVjvfSSa(Ul^<9tV6T2_DaPM zvDyH=D_OOkJhE>~b;~CHOb9z9<5GVZC(7Uf{Z*@ab{n8W;Xy6` z*fQ3$(A?1!IeqW1HVCSIp7geFtOrayFPoKQrhpOVfD*rlWoA7O$1zppe>7zsnJu#eXe;KS@wW?H zXLh$R@wuvYHAVRT1)xuyX`oM9x0Sui=Xbw-?@WtL8khPump=TvD5w8w!jX8pAt9}U z-$qi(sFRz5jO01&1L6c33gLlCW}w%+$uHx880Wrawf(&#>MS9htii-dJz^9~J|fpR zs{neYLqVM^8cS(u-j@Kq5VP1&Y9p3bZ4%gDp;OhWQfG|pWiRq``lxAHA8E|u-x!UJ z56e;eVxr9sbbjBxkHsr{&_;--kzmBd^!P*@vvMQ)1O5`r2EG(n{BBB|lUsfIk4nR^ zrxE1v%1L1KC)W#_@BE@l&Y_U`7pe(v9pY!C9_quY8zS?uF9i3IozmsDrW@uMzJ7jo zeU~rr+8VnGRSr0c+?Ljh3O;#oKd|Tb{l-FJGwE7uD&YlWC~5e>nkOe()Ih(r10)yNF>B}m2yY_jh|_}pl6Zrwm|s&SdhJ)OdD6CXbrF{Jaa_O zHfTVl5?O>^*_L&fv39qn64De#35a%wjTV%?ov;s`#lO!gP1i)%gnAM2%>1_d z`Y)`2VIC8maYUld!Ue-f;F%Y=xw&w+5TO3KYm>om&iAk=6OY+0=ldEl?y}&(6WrnQ zx6A~m+t@2rCwvjMP8cziioAU%Oaw%(`1g{p7I#3M?3kXLT{n?2zR41ySC7>Br zsibZK)ReL#Lz-e(jgsa5ZtvjMnBh|_$Vilm=1NH6=X>>AI2~^FtkfpO3OPE!*k3SR^avh=R5v zL5CVdN0@niZDNkaf~)yHB8RjmwuV zfe*vDk-IK4_brE#e_&w;bgClt<; znzx_qg3#M?;`=Xwpg1RSvMr#pZk&MR_}lVy@^8O|-JZxLWjUUR)j$acb> zpC?Ub*TM&U*sf*wYTmi7Tam!r3vFP9Cd<^xtk}jpHBmb$!o4|7qX(_uIGecJD489W z)4nN*tbdHCnlydcUUx~K)%rVsQOThaDwCk0p(ULeaajxh%+YW0cgYK;)2KBWJD%5j zwb;|a+uJ#u`VreTm`wA;D|UxTk%w>4vnC+p`0h2HbEZ{FBu(e77aOlU_#(ie;OVSP zM`vd`K1sVUQv_3lKUz1``_WY!tSe>S4F{}40951UJ-$Ov>_)5zDgF}vEJv2MH^@#S ztkJwr)$FVFbkPi>LA_SdC#Pq6R+ycJz8It_BhJsQ&vA@fTutSJai&AU#TKs~hcT~d zw~94&Sv`Quoyfb5l%2ah#ZZU(WH)2nOBh?`4Zx*Lrbq zCiiNFR0g3C`W)a+pN5zwenE#hY+G3uv`VVE8zoVf5lnGlvI}s!Y8KUak7(BNcd*R$ z=g7&I#zf=(pEnfy}DP>aRTyKZ-mO61yCW9-}KpLMH9&VM|P&63x78x!J}X7%bWh}+RjdkN9* z)^f+w+aj1l85u%_h?jd7{BHG_;P(ZA0_x!^|E^_~lS3+VTr!a;y9xQ0WT8caeCA>f zn2X{^gzM7?V90Y;6bgk=5BG`V!sP}&oX|@SPF8nk`i&WMS%Cs7XDE}h!jyChl zmBfoNv&}MEg(9_~hIfMk70BP%SO?HgA)e}6<3$^PnpwvpeBvRGVCp+u#-bk&T z;MoR6dX~uBzv-1tm{8Rm1kR%=rPl4-zJj?r&+b3!9~+SMz+jTsFHDqYEug?T|I0G= zt|j3rBISLbP}o?8IQ|P^`>Dg_6%^>1{_u0R@Z{JR|rB^BT=ebs@`6iU)RdiRpv zr3W?HaXLI&>rr<|@YZE5_CG0y7J(e_!B# zt9!5>%ExY*v~=P0M#)YM%Lz$b@SBjOLQ=QbxT}e7(EEgk(0@lqxxR2>S++jm0!kO& z9p3b_xQrc|yLV-lL=Z9B1MPq%##UG}QiQo5o>OFh=ZZCd=9l+fr(n3{OSj*NeuuCrlDqD`;8P`are&R{Q;RU45&JJKB(QJr}%(ie8HT-(-_BCh4fh z^=LZLsA#kT-XV<#uo&*TLZnF!y2EdG1iQ|O641j@I5mJ|jwWeCAI_8}>6XY=? zB_Nq;+3|d{D2>V<1Nti&fRq~;&?Y=ITvu-%%=CGw*ZF6916?gz_!;AdJ^CAEuYlIs2G1v35sWk+39N|r& z*yah#3hH9WD*igT-YEqa2VpGXXwTTLrHHELL1bTtRg)Xe81)VC4y^jh0{WOD zqJ5tpzk`ojk#SF-uDw0Q5ULZO4U-n8%MIdfiJ0Ymq%N0*WILYL+}t4X^S~aBL;b** zR>BvLo2tgae*xNU**h0zbj*;M+hsB8N!wx{nmfr{DS9H968H!aVbd4#)k3hS$wPdU$P$%pe;BenQbxuM^lpFk(tCs~#l zu!m2}LzIp?Lf%|;5wM6?^zY1wt&=?{tog4vy9&>$)H)0I%_+}{z)rtZ&B2f1=L2k*$j5>ay!}?5tKbG&mlboal2!Yoce*@cT6?NVEB~a4-=(-39 zzE;OX&w=Cqi+jQw8i47{!<1u!=3%#z+7zhdVg_*xbSIpX=@!PIIGlz*02D-7SAJ^$ z3W;cDPthLTshGREOIDa2e=}7F3q+jyl%Qp%2guF~u$+YkLE_{rve3Oq`gBzgGl+j4CSxWd@XvVc-G10MZ?ey5=GKCzZ)ZqHfQ81m+6TabF zw%Lb+1Me)$xFl}T2l0a}9=pCe=|`T0@E49~t$BZz7so|ngfyC<_~k9{iV+6;oF(t; zNz!{_URr?t8=ZoPUt_^i&^ECd`fG$chDr8ggD+@cht|Ck+R9Re8ywDx*AZBkP%;hS zjU-mMv7L}`{nZ-6-;sT?Zh{LI+|_!OcF*XOyMa7-*R5WTRqU6=bYep1YoSB>3ZiRD zp*8TvT`;@$x$xCMGNe?>y~U@WiZQeM*VX_9z|}%{<6%V84Yj~$hW;Ud7tMGg4yrZt z6LA_nVKeh?@k)gg(q6|Y|4Y614I8OV!pQgZen!>jLHDpXDZ@_jvFbNI8a|DFXgpl@ zBiw0;pm?aJDgQjBf#)x2si!FJBjqTvOBaNhIWx6G<#+!#S*(sWyeD1`KG{DN%rdRuO>3nq&>R3$Xg|Q^W$^Lq7 z*qjS@10;d8czyj~-xIrl&6Yc3DHaMY1CZX9zcCNc2l!vFZy)WoLHrOP`{VZwSo;Br z;=i|&xK2Y67YJ_mca-OgP>`5D0NNQpz$Y{vXq@MoKkB;GXfp+=5xMDtn3-``KkS z+lw1mFdEr!i-s;&Mk;~E!z2N%A?Vj+%P9N`bz8^J4fw$$l(+4t5pi? z6EzWG@xsa`Q5WnO;P=p$aAb?HD#K+;F8HO~+8cAa8BMxq6`Hit;cV+EuuzVvKV`;k z{0Q(6u~a)vvXcTm*@5BrAbPFVAvO2Z=1h|T2+=(W29=uNe zjc?LFe%lw#nXd(&7n%;;nU*3pv~O;8Irt%8ad-7vx)=?tJT5_}c!QVsNWofljn0>5 z*oFmX>p;J`Sj5!>?pb+|)N@E%{rsVA{PkeJnolyW-e)K@q&flElSISxdbUwQtnEYk9D~t0tM9NN@)|cYnMRwsWKE zX?((TV=Ulf^`FK?A1MGW2lY41fd>yo6BM>g1x)>$g^Ob>xE+UXk!DOm&FG}bUeo!JtkaXRevHW1d-gq90k*pv)sWsd(D z%0b-m4h}46IGf`ch{D(qg^`e-;cjWj}Xvc z1-$L85x*^V^_d2<&>ixQp~BO-#*UHO_?e3FSq`8@A;78fI|JvsQ-zr5@v^@54kVL1 z5nr>xP-NAHpNa_D?c4#wW=}S9zOnRSL!o0JxVH0jc$OD!!_tHBY>+s>vCA|h3Q}UM zxXrZs=QxPiwv^0BdhWFBxQxR~{K>hBtTiF5Yvd|Amr=9;w&F6QN@4?ZnJBxm*9Vyl zR%P#1ltZx%p8@@mS##$u-(|1iM;&8AutpCNj; zcKsNAW)^NurzB6M+>kiC-|=VGi3sb0+h-*VhUMW7{$%iBDif@xnaex7bT0E$Z=I;nVT{!{P{Y%#r&TnR4= zKRug}0*O)-lByQcehdp=$G?S#Dju4pw}zm(ZLk~w+p+ye&4P$`$rDz;q$$|`Ra7aw zZ$+yA-9+g=8NjsY0Rn{rCevq4bpal2j|+h|j+`oU@&}7-@lrKpCj&Evui0Wu5|uBk zzAB7=U%omcU0+`pYoTT~bz`EK7TdZwYKG5 zOzFonxIRJm?uLxzGt-VHa=nw>9j+tvvR}w)zoD6xq5Z_>T_V&y+M(5t8De`KHl!_R z;#uYLewy5_=#J#b7aww-T%E4TI^3)!$_E?(T>%o(SpNJsm=p+E0~ z&QvW)Zo+EcL)zf%dh161vgP*RfV*fxqXRDr&D?Ose!mg!VVD~q*9}(-e%zIrb2_>| z-@EQ<${V1iF(Tt`RWeVuFi{m$9~$p_Q5u>ps>2hY@+1}?7l~`P02&sNYOs!3)frGx(*@J4DbJf z{go&hoigP|6t6qGl6aOnFp=#(xS40Dmf`)cg2#(a8hf-Fxh;7$0t^MCd&H+}+K1sc<*N2)&=vyr=!7dnm27OIOKo?cOt@qcz8!|@#TIU4f z_}tyy3lEomigeXlRTP1hDhwkp$z4UbB`aOdz(ep59&~f_02ZNyc|iL^^Wn*4nwTsa z0X0rJ?M3!$$_(?wf+EG3!B8SD*+4cX$nfoJ_m3TwzkHDF-G%G_}(YvJk22()fR9|lCCHe2G}7ZD!A9Ldf~Z$}x>4xi9% z{2mbxPzcTihL_tWN*-L1`+dK5!g-i{zGFx5`YqW`3el;Ny0QY=*ug^x;~vYz?TW4v zthX|(4u zix0Nmbn_C9l6Z(-4$enqk@{&Qc~qR!7YIC~(=r4aqka2%=k?Z*8OK+Nz=HKDWbH$E z+^dHa3mpoSA~S$AhzI5+vq^oVTVT9jt22Q zcn!+CY{e3VZ2I8Urp9E9_C^vO!C$M2T5LfKFQ)lPJf^s#S@ZT;*X3NN^&2#{5=|Aj zc^O*&v+Ei!U--J~F2{AunPw2$5vK*z;kTHNF#iAE*sUP~Y}--q?2$D?A2SAeUB46J ztVsej8O?&<;NcYyL(p_niT(mTj)FwtVbq|~_o$Z~G5L#&fp7oS1RApP<=jZDAU<5C z=ffRx9~TN61q^qp{Hv&T(*Dnmb3d^Wsg6;B!NHt`cx?6jML5C2gWN$->g{5O8pr7f zTllc=SHwTN4K7l^y0-6+hL+}^Xc@gBv`T7f=G|_ztIA1Z$p9Ko>G-YoOneMN`XpGF z_8n0%8vAwxHrz<4(b~IR++!$+2LxM7V67fo(q9*>Xk8N=4RyNi0Eh0E_M*iI*l_fS zr^fTpbYwuSC-`iR<6XAe0oXSt@1OX2STzHF4V`;)N6w|66r&Y}xw=G4bLad;O&Q6u zJStpzx~&DMgAyj+72s>LS54fm=riaT*!9ipe+5}Kt`Q~uQ>dCfi_uRnz&PB`atM`)?Z8TIj8J zoBw{`+yudbE?Mm`4W-jb6v(&it80!8-Crlmb&X^K3({Wew`g3nCVK+Q#g%aQRdX#I zAAH9n;~}}g&N|N{tV7dmUtWQmmjSQ-KkexQB2Ll#L9OfCe*hDSxi`Qt{^!;e=r}gw zf9}ry7uv@EL;uo>jp$z!(7%a7euq`ue|u->54bn|R{n9b%~XtO`^S9Zf6qVh{~lrX lf6MOi|H0d}5MTO>7c4&;G4C{scL#l`C}}E|KeKxKKLA(_g|Pqt diff --git a/images/UartSession3.png b/images/UartSession3.png deleted file mode 100644 index a5a9a026257c868bb6d1e44376de01b4305d5467..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11701 zcmeHtcT`hp_wG?=)R95Pk)l)u6_947g)*WM6$KFjl28PN&|4sM;tV1JLIeav2n-Au z2!s|0y(mqA1VI9XE)XIF2)z^Ti8J%feBYYix_7PLUH9HU?jJ79d(K`+I(%gvY(k8f-~YV75!&z9cV zTm8+$wu2orFRvWFR&U=7*xujH__7=UPc9T}nI?9jP{FEmDEih_( zyF>qY5__bsm%hQ&-lccxF0?3VZM|jIl{Wb)`qJ7qXPF%wUEg*5C`pN9F9(bVf3&@$ zauU0>!^XHp{`78${m2y^y#6_CciCX~RFhKhLZlmJYd{z+rWNqZ`pvTvc|yC!!pD6> zt`_wtjG%5!44!{s@tdhw@7((HLWj>&X~X`r>Fi~k*rsz?;8?;~da-w!*aO|zH~1S!{~3pm0wWU>5_=!Bd{gMM5jXuNNBKoa zO93NWsT|)`aPla51j%Sod;2pVS+#R#0=E??+8LB`eCkLPc~P@Wd%2C8eeCSQxR!bl zU&~ee&M+;&rpMRb@55BUE2F@qD;xY%8bK_Sm7Z@L>cAfJwyPBV&r4lA1AVp+(TXTk zw-RPU4}vz{n$Uc-xqvPf(b8&Y**vfLL{2+XMII4GcK@92%p1nMQmEw zIZo$uD_`8+N1q-lis(@99u_D#e1|A4zDTRoUjFEQF3>P{J=;++off7Sb!I_UvPOiy zc0$Y&tHif6LmKBJ^XVS8E~kr)STn~ibTZ`;vjqzzrP@N=r-Cc-9Twu&Ee!$0YR%D{ z58CY}r*XvGt{gwk*HcmAj46331~VZe8`_Ztm>pK#))2b{-8ElY5JaJ-9881iXrDPu z-`qHnc8rDpxbSegEUk^1j+RfmeNR-&EILiL1uI&Esd>j%bvu3D3N zK^jVtpALLb<2#HW8$d~$PC!gH#f|+VhTJz9#;Lx~qQm%dZOb-3+OmAFRM&aHl&m5? zt=acbLgl-J?BCY!dPN)%?O&AmWu#7-=|j^yoJg)k%kfe0R?wA-RB;uSOTAW`_U@e? zuOJ+9Xwl_bSu$>SQE4}W^r^?mCX!ZGyP}YLD_P>3#hjwKf||BEpHG-^>CVNZAGHyO zxBJI9wi^|gd|9=;<%qFoYMIwnoKyxbR5O>UhofXwhaJaw;~^$3VdAw<<3xIh>$N7* z2J%W)e3vB7jt%he9jj@@u4~?VHs-e=%Mb{I=(v}6euQ=aw zdF|Wjr%$BsL@_s;r_QR@eCvB_(V!T16Up-Ta&OHQA{Vta&*UxAHyApsr>$Y|lYy&W zbPZm;uCvgN96QkcGvmaWD<4A&g;GCO64stADzsGG#Y8ODFkY03RYh>T2Hz{_S=Kh# z`_+Fy-`E~o+)w}LJvi@93!M8LB_`1y5KvEw#WZsL*7RO`+foZNmA+w$Suw5StQ25Y za;+3bVtOzE_KY;mw-s+Kju5wnF*Gk9v^(Wxj{Z7oAn zbSq&inq_-DtvAqS1?$>57?V8XSoO(-xQ}M8lZzdQ!awtct`|pJ8Et6e+>HX`TJ|kC zoLo6qmwQ3YPd_l^{IZJN5a)2uIn6cqNEgL`mIKG@gnb=X`Mg3d4?WSySC=|HxTBAz z>YrZ?V!mi#Hj{!LXp9G>dL}E)Q+Chq^^IHYpeogEFJhFOg9JB5n$qTuE*~FRdN~mI zvl|C{q+X;114ftm*Z&x3{;|;+J-y5F2Y_nfq|jZTA4x}n`L2I_@oS?4g~|?It1>y= zt`F4f_%6Z5hKq>04VEEV6x^_$@zhLG(X8{#yY3tIvPkn8MRRP21gO znnWedc5JGoV8F;68kUMHM%WHS+L(P|?*$4QP9yP$2@4*VhexKu5%;i#t^k0Jq05fD z-wHxECtbRb_GS|mBE3UOR@n!fV_hJTE)Ed9R93wAj?2#?Gw`PfL|3?*$@@p=R8*~+m|jPOz#{b8$J}1yQ6{SReNcZBEAQB5XRH4ukfTt?YQwJzG3qe&y9lsAhaMu zLXk-J^R_DwzDHaJfUQ9n^kH&EMoZ#G-YIByo8&jZgS6c3E$UdQZKkfbe+01ywOh6%#%8;66(06Y07O{ z+m=Cl6^VU!Q%diuE9+Aup+CP+#zN6~AK?BSRtvI&+8x{XAW2r*`Jtgak6+n{mA$6i zB$TM9WSkeOgir%UCBgZJ?e-fN%xcTA^=ry9%o1^stdIRX0#1hVu*=^7DdW6UeFu>l zW7;H#*Ux7=oJghO?%B^mVcxl)_<+7JxvV zdstNciaSbqmIz*p;n_7^?u_upUV=^Tr|*6r(rN%>GK}+ggOU^>KFv@2Ohl)Y_5g{C zA4x{*z_GM%y3~f{2^5@&-4>}&!?MLxz^T(}FQCZiMB-l@KX-XQdKn?$<@##2AU4>R z6`yr-EvwEk-77~P&iDp!KVonWQlN|1JO7Z7o=n*TxOM1t=o{kvAp1{&u4vhk+d&Ol z%oI(+8K_t>c(H|ZVcmWKG-8Yr^Olw8Zhv(^R@uV6xhqo)$Ztco8ru-wZFbm8*Aku%_Ta-|_$m{cv5%&PdG{zU zhmb&todtQ&&={_1r?Q=ocqS%`@58Xo>>bOq5=C1NbgN0QvfC>+I>-KcSBC5&uBPE; z%^JP8L791lOAFqdQm|vjbL^W76#ADRyg~F!RYzOvmb%Q(Y!KLkH!r-a{KQ-9ymAAy zT=OFN#-Txa{|Y?f##NX+?0em5F?G|auB);aM!IwwD;?F!I!O)hON-L&R~bngzXsu( zo3@rnr;dN7z{_75JIPA&!itQ~i{_9PhB7`SA}7n1%SlG&QysSR{f@~`7cL7*rp0Eq zlASiI5@XYfs|)raq;U#{Gt(C&7Oov4*0?tne{_r1D?r^(xktOZ9&-acRiF1|Y8IQh zk$MHW)-5+W4kz~tg3A>XopBSxX5u?G6;F=5!TvzjS4U*@Mg4o%(79DJRz|73r%K<5 zdaz47_08bqO~XcN;Mswh;}wlJmu}RNWi1?QZ(@zjS~BierUZDF+vI#*g=3H8++;w6 zodUe%N|SBwFmpb$KNQ|*+B;k&onoRBc`D{C>%LzG)Va^OCj%;C zY)+mg41TOITQBxrdVpcAmukM9dD**-|1G`H+c8%Wmt_G(m{0b{jTw{aC$9UaT^S|Y!m|Ntt}Ms<5O5SrBB3c;Rq8&FP6JS)M|N3c`&KBB71t|3|voP z0_O1hyKc@cGusn!2CgcQosQF-JyG2m{wDlw2_}fkz3xks7bSv@EG&*)p)qgu*@#8j zOnJ_I_#Bb7`c9xt$Gi8cg0j-fYjkxP9V^Az(<5CrX}$(|ksvx4F6U<8Z~0d%tYUv3 zFR%PlVv*qaULBW7STTf3J%v*c3W(rLdwhq;8-K}!^Sf-kJk{EB<;bR|gVIKVV3KRo z(~OF~McX`Rd+FWejVR5{nG(mC-RGymtvg5COokH;Y{_NHLLXEiq}C7LHh~^>=@nLD z?ONpe^kPnSCCj?DFdGNOTR$3bkdhn=gCB9n;ZF74k!LEoPU)AsIPZ z2&Th$tjLSCj)?Dl%mb*uDP^sY5q$C0P3nS8U5vq_3S+r#_>6M7(qivEN@$nuk?S~x zQu;Z$y3++q3DcrSDzxSNBgQM`b#eu3of#p@>?Ddx6V+r^oi};sT_Vlyq+g`By!@f` z-io`G^6#=qS;`{jGs~5jY8n|*SA%+YyP5;#Uhwk5%LSLtgl&;LI2xSTd@h19RKW=ys|Pdswrz zj%~gwSMdx%tX3*(A~oeJMxGmRz8m6l>vhKrTcVc(x<=EZ>ga;}`+|$Ex8dIDsGH1} z!VY~i;SrO8e!%@9hgWLx|R5j6+GNIog?0R ziWy4Tf4T2XrXP8P5UL1KDIpUop0xJJ>0O=E!_ai5iW@dfQX3VBD3T*1gL}x0H?WZu z@DT3LCQ5b{UJW2n#QoE~WUJ?xVnk(1DAAO5w`5Vrxh?emszHLuw^G*LW}4Y>1Z}XP zJa?sAlR(O%I%m(_>ih7=tI7295X@p++MqppIFZwF-He}ez~qSuuE$U-{zE?L%j<{( zu*8s=cE&Qhy()D=$JmYaghu?b{q&bLX2|Qt>MuE|3jRM#N$9U&Z@e>^D+ozQj^3d$ zPC$+h|D<>=&q6xS6}t1ZXKs|y;R?F)m*23KHfX^KiO{jM{h*P)4|imgNwYJT&L9xj zjuAi3j|obwQ|+3BcwH$?qvdxoWnK%qbtg714lcB)24|u$NT-yM7X|Qq?e9=nM}{FD zj|rSuM3z1Ls>~FqLL{sfI#>hiEs1p*fcVE~x?j}@DKze+A(y4fdXA|hR8Z@C9i0O} zezao&l*fvJv_vHdr(z=ZBk{Zx)MTRH&jTHhyn=INNV+NrIfHzxT-c6@%8q^_IVdWE z+fR>>=dBEeDQbF^jdu+Mg8G*)r=I*UcKW&S##k*yJ<c<(?}C6g>>}0yg<*t% z{0R>vK2V;JVM4aN?d~Z0op+`I?wW3&x2W&d zW8PxnZgQIkuxSPoFGsG+33z4ZM zW0o~~I68Pt$hp9pv@b_mWVr2QPZYlXFs3 zhddL=W)i??uy_!qGT1_Ye11lPE+YbyjU+th$C+5|$aXpV6 z7`-Mzxp24ItbiIM@KXzks(-Rg(vXWhPD@Ndw+9nDgDlN`fI2v)p!36+qcC2mT%9r| zUvR;N-%YlzAqJ$~4?C8FkXO*TyhJ17cK>!oSXuJ$)!Um@XQhG1A^}a95xV2CQ!RMc z`N`>=W0Oz6cHz^6gg!rn>=gDtX)|}}Ieg<~j$R3>P`fPZ6t~kdeWQCUC9d*eW{bl` zVAS#z&VZ{O`?P>5^n=47nSZPXrrp3KHz|JA@ZW1heWt4|?p{RYBBysvnffSiR*Fhb z(fC1Nl#YWyB0qOpRXS>kgY@RjoUAihfH$OfFEGqSo8Pcn>KnBeso1xju}jn+2(I4m zayUnNY9ek9e+yLmLF|}YYwWf(`sTr@b^)_!(nTJtX_I)B^<22J9y!sAoY6-fzhOL4 zEZ^5Wl>p6kl!1e3-R;DUH5}(SE^=s*_k2#zy=%e*n;aX}d&nJ&lf2w;Vz6X0rS4)` z<{u#nxYr_f^Iw4UK0hLpw5%T1Bn#sWl?eCJYlMGsO)E_mZbuH-p@4d5%4G=Ss$ZN| zb5kSBJX;c51;xv}F17F6FOW#AJgZU?{rx1#g~ZJ&6}N+sCozsKr!YNbT8ztyy=P}V z)I4_V3Q&f$ItAf&+bMYhNWWb!g6UqPm>qJ$+VEPFYiAtxMuxK(f4WlQYOI?B$T6Mm zFY`^3OiQE7Ji+-j-mc-ATxVn*k)005=;#4E1LyflZ%1WQzp@+fwqOx(-%P6hhWXql zWuc=5sFRr{{_kuQ%OCIGjb$dxvdH3o%Em+px(JOqY2>gk|t%Q{lFi=nCkq# zD)w5!;Rz6B`TLqBrN1V+UOhA2228D>=buj15>jLgJWqPoeE~ye_Q=P6v1(CZUKz}Q z*uynd^=mjBF%LsH_*WnUH$71J5Y1`O-fn1~Nx}Uj6@qkBZJMNnR9J7D8L@A*ukQOA z)i$Q^Y2p~W+%kUkqmph87cLlI_cxvy)QA^Z)wFMP)&@rR;I^uCw7$;-zZT6*5CN0Y zwAt>Tp6fQ+pWMWKe%`_veVur&>spz){-I=E$<-Scw0`2Gu%ONGbhzEtMYU4)31GCC zio?YOmkT+&F~SA)+G3>j^q|PLrc}qeFpx^+TAvJ~DJQzkts#fC1AYM9JrYxJ`70a2 zlgwIiwv}Yn@ZH(x)}6n$IevMR6E3LeDqzz`*ovSogaD77`m`a8qvlgkvi-a`hW5@u z(#GN=Q9nd=5auM8aCU7%*?>@G*2&HEX`i>ZWr2E%Ib+1f#1EPKtN_Nm^!!QfbHA0* zgKDat%4M*lxq?j~?mYzO55sOZu6E_0sfAVBoiAARy+7NXp(@k%i%VvR>y$d-%(nyC zMbQdS=K2+&{<66*8eU2WZViwLT{5>Ky(;rJF1;v}QI5ylWu#8$92uuTncYU9IOJ2s zhTxdBB8lSH)$+))BN3WAcLnrlQ=RJ4Qq2%(r-rv6xc#!NS|dm1P5Ch=?!hn+MR>SS zBLDBN!9rYM(A^mipx)NP4!!9__Bw9iUp^z!v2wXJe45$hYVJQY8|rt=6%<1Jj2uub*KBixbxdH{gp2XQZq+H?YrKi2VYeM~jX4 zZ4|xZUD&Z$rwg95N!Z$F>*8=Ly%wp!@9JS7P2OF{?)t{FA-R~5P0V*&x?JN`iPaFo z?1mMPuZS2U&75JBRWETSdi{9-$Z0f{$>-7nA9?H}yt&`_4nO z*GEGj?bPalO&)q3-f?>IebKgXIkIm2EK)+%dVasg$2#`RZfHA3B=_bc z5l{eqUq$2e;La;KTKK1b&2l?Vtvw=_EnbVvV!V&b$^yyzvTs@`85XeY{8jI;RK|La zm0%>a^P4$x``(2&fE!svhO(jedeNTB`{(mRpdKl>6ZPp z#7Dbx+ySI*BCoN3Ms3YhQZ6C%PIRu z>6&vhFR410`TGkzGYH(14xjdNJYq$nE}$Cq_Dg(D=cl5AC?GU?4Bz!K;WG*F#Ggu< zrRghd9U$vhncye|>Ke|Bidwt#5>rR~%uW%6BPiAO_A6i#Q*RW|!-gy+oD!Z?Fa!lo zv75$tqsVnQ%-LJLrAaT7>Z~+B=ri8cAOk{%KpEWIc%n9M)kjfuCb9RIxw%R3)IFxG zUpAN^-YN^Kfh5bBD*=q%sI@+|C$+zULVa8J5ELCvKqV658LkLHKD_EI7rmT#>z(89 zkI74KZF>EF1gCp%U3y0VfpdZl5>ma5^aQRFRg`371!s*@8zpN6JyrVzKg@OKP`2GI zro(SyOc~$!I)b|BX+yFle_M8?cMRuPbngPJ3I6-Q85GWI1&iy6;)+|%sGunD&^CO( z1gew?gv)DwKyZYcGW+8apC~d*v z?#WmP!Yi^}EeKYg{~^s@D_tZoY*-x!P?DY)a7)H+cl~nv^Q>8^9M||u%-}n4ztX!n zsgO;qj4R9BawztrS;4FYVJhhFE}sJ+ATLjz$&`TfqI z#n*U0UsiS5Kl~KLm0SWxz-6aqb~`8-Ow=O_Y?lnK{spS|Gw*uv>WH9?Ulk+o`o{7Y zE;GkT5%~8`G1>Qh7;n`0)uzlUO`Jhgm(03IVMCpw&i=xKh$Bd?a;hJY*WDz!si zLBZrLs0aA=0`7Wc>$Rkh{S-e|Fj(#KER7CUiy(JrUfz7OEQ!d>`gpC+-_`*l1RmF3 zU^Jh)Sqpvwp~ip822f#i`eu|_aGW48&ktT4GPFgKlF|O$0c5$ibl-!WQ6nWzI2-T- zrtbZkUM=&gYj^}nAM1TubON&RU?otK(~#zCN7!D10fuAS+q?m5`L zF$xynxH>RrwwFH{Fx;KUHw{0U3+N^Ou{|n%V{QndHu&0Q=Vb4n`}zVO@o`MwulwMS zO>gZ6;cs8a5~52ggo4H=r#DbhXm9=15PH~$_5kx>wh7kG(08hIw2OEE!1p`^36{Yu z?UwxcLpm+cMhF1rZRY0L5JcViHn%QtSMNCVifyD6>XSb9AfiCtmb=rpW+Ogx$!MYx z*CdS|aIKfQmtZFTEl^g0u`|a-p%Q5w+n*F=N9t6{6uTu$EiN?)e-*VHZ}zWn;y6J z#pIITAwhAM{(i9JlN9$GCxQ3I$U+o4c<}?Mhx~;LU=oQ><1EW*bIx<^Gy@bvvD#X= z|5eKz-O^|Nzp*#>o;BRo8w(accN_d*p_jz==svY}&{?a01#{`4s$!&L1`@`oR z=eUetE6yhTX|Lb|?d<`~=`aL|s67F%Qga*P1M0` zZolHI-hTlQ*jC5!+4bM(xIXy?C(a$Xl?$IC;0o(@hcGb>e>|lD)Ef<` zK``qL60O!a^gm$}xRtP7(qZMA8@^&!LEYjHg9cMS|HwsK%w*~HKS8GVHSSvqtmsb& z8yef9>Nu$|iCbVWkqWls0qRqBNJeLpx+0xp5_FDoqt>iB=u2OLoV5jNFP1-iMalp0 zS1Kq8uvF|e?!dv-f{9_`uJa?;{~z}LFNMATrZV<_wmY@Sj@cnZ&Zav9Ac&hUZc!aLLuzIIF5hRKV z-Nu&70N}2~wet?}Ua#T>oGuJJ4yXdb+_ez1Bo6ldVgp{USv8wCYV^RLWl;5Oe~+;< z{K`EEZzrMcp=WehlE%V^3QF%Q-zfrVl_Ka!reBH9uVB55&SVye>kj_QT;lZGU$v|$ zU`-0g_7qs)1Fkv9@q!ju*E0sLvt6$l3{L|86U~xp29`opKXTs-STJyV_2*kyREkmOB7EXe3doHhQz=2Y|R-#(dGO2s0KGRaF8}}l diff --git a/images/connection.png b/images/connection.png deleted file mode 100644 index 26cca7ae582a297f32c0820b3d8b7960b0f81e51..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 267265 zcmV(?K-a&CP)Px#1ZP1_K>z@;j|==^1poj532;bRa{vGmbN~PnbOGLGA9w%&|D{PpK~#8N?EQC? zWZ8Az3+{}FjP%}TWmZ;Y`L3$&>M~u`z8j{yVH$=6KoBHIJxU^Hq$P<@q{Ml%p0sKt zy(i5KAN8nb2#TaYf&>H*BtQZn(cNs1_NKhes`TDxWQLE(jQM{1WS~jS%v!~NwREea zGt9l`p0mxj&DrPNiog8EKP#;#lGf4LVx>~aa=C)l)KuBX$e49@cA9OKELs_}#rXy6 z>gl$MiV9m_TeVm$W|2t5uc@o6v#FVBYiw+^Xf*0=#G-L)YHBf#)zxLSS)=btwz{^W z@0+Z+xvBGV`fbe`>Kd)1tKGJ?Hm$Lt!M^*ae`$B$bI{h;*R89o%jz3itXM4Bm8-8? zcjq3vdE>UFGHE+`>K<>mPzZgls*KxaY0LXiEEc_QTU%Rx4t?F++6EgM9+S9JF&Sg4Fv}ORW|9(?GG(}S@jvl*M59Jgbl^Nky;_U%7=!;0yw{oTekvzTrr-l(@0 zDVb?F7TKz>O|=&hkLV|I!JwN&YO3pWa(EBDd2v7QyUyQIdvVcttEiUM#O7wv ze~aq8g1(E1UKXKQohjZ$#V2GUBAP^_w55Bh4esx>s*(&wX`kPt`Yv2&6?v$63z zG8VCFz0b!oR^QoZhmPE16Qd)xvbt(TwVi5>+mTN`X4!nq<)kD6b6?so@lr><|2q6T z+TT9-%=kAY%Z#fc5_8@l1MqmW5a>`{U2VBS-mi&N#JoMO;d=femz9vCP{i;}{_*x-$gf?i? z@27T=TiXBY_uG_Q(}xNP0`x3J)JN$@JQkNev`g=2Et}Cv;;!qLUbGjh6i%!sr5ml{ zkuX8}Ffux7^}-5pqN=LW`_v$PUtV6e27QOz@Ofh+>G!URSNd2trJo$UpO}~s-{ZD# z&t6NY{k64(H8(eleleSyn^Rwlwr9_v^f+f@V`J)LtM>uHLf#o$OG~r!Yj%3pcJ%eC zA1TMMv@n3b8)_Gxp~JP(!(zT@vvV^xI5_CKytufi_q+T$+NH0I0jxr&*Vfh?kDv#A zTV7tYx|&+6s;PF`@H;es{*1Y$N$0Fe|0P;2txcBPNISi!W+tWk!rW3sI#RVQLtD;c zbhx#pWt%q<%VaXPu(04`g@-YXlQE#PCDS?j4m=ti8@7G>_6i>o;z`=lqV>XJ#@@Oz zVxu!#qD_r1R>*{W^yzZ0DWxbua?o$xc5D@x_K-JgR`xr0=2sT1jtMPG8rI=d6Flj6(Buvg^ zvfl5iYK>P!*6`HN8W;FfD(iHRMvAB#0)ec44~(Ckozb|n)~L2oJd6lNGo$a~VjN?g zot^b|0Ic=(4fRm)^9t<{EqB{j8<( zB^mWv@vO#HHxkx+_lKoK0>oU#GV4qBmGAtyJ^#$N?e4n{2*7dz4YL^;vV5lA4jn#Z zU-{i1+L{EUT>{=LC0gIeT0%6*qyz+_!InU+I$C8yaE)A`C}4_6Nn(*oe_tu*gZK13 z!XU)o%9wbobK_j6?|IL^B8Ep}<8w@gB!XZ_zL29R#O8Z}9R>)#RUj+yNdt#xd>7OC zVwfnVTd9AW>K8zi%VO{W`7O)mq=rQ)Dzcc5idRufuWs4o%@JFjNm@?w5sT*Sz5_}aU9RUEAd`5Qp%XP&~u7#E|g5tBjb~#4oxxP~U z;yR2JGL9n@6HnBr_n&?<3hoK`VP`nXedj(~qNN)m{pRO03_ges#bQDFUgf}#VT5Nn z=_1!UO>|#mx~fV#Ehi6t(m+c~t0fW%8J^(0xpQY{3;2D+z!;;Ns918*4_KqeSAD?!kJU>70e+$yBy!0-S zShlvdcHv^Jk0;Q&cmAL+{KDKI6hrK_53xk{NJa1JbJ~ryS$C;d+xx z#%z8)BZJs39820@f1CQA5}xGTf$!^Su-yYqPN$jaNp~U{AG(H4tgpk<2FH2~YeKXK zD=~=h9w(_vjzm;EUQYz)$sIs(w?j_DMsh=XlC$Vff9C$P2y9x+tZ-nE$BIDdh8c`h zEfIvG4Gkfx->Y@Q#ico&SLwvBuW!_VW#wh@VznB}9qa+S=SeB@^q`E(UQTU^+25CZVdgS`n6r zv9q&Fo{x;cvZ56!Z%o$&!G-u$Za`2zn8Ek7SE=t&Htq#5rQ~g_s4v-k*1unsr^UJH zbjrtpP$F1G(FeM4O=E41<<=I&#GJkK{Z?|TU&as?>04Ni6eH(1#e3cLSa+m5+C?JuOGrWicr*OLFYwexbiY^ zTaqOhhzAaJd2Mp7BC&!_EU7m(0;`6h0^8T__0bHwEO`h)s{p+v?n^40qbP+FEUQeVdKF@Q$rq9I`8~ zy=}Ws9FSqpS_F_PVMyq20BK~IXbN8Q0>n50P%ax4~3=irWx-~`9j zFZd16quT&5vOOh7n{#mR7}MG34-Ta}Md~|xhh75Wj2#>#3j$~|4jfsj zp`VBIPJf7waO%nU(07av2ayx)@ZvshJQ*MDYD}i6p8mA9H|ujS9+kR>(^%758RkVn3z|X+@rm zGNfQM@&%?Ns}<5eoCdHxC46pfkR{M`=jcaSeik?%}Cny)jBsbN2?uCx*qyYU^n0kfGg>5XdNs zDfAtWrd?o$u}g~S2q~$NyqM6S@9JgL5s><(Mn8vpAw={UC0P~y`HWCUbsoY9&0Wyc z4j#~o1ih`LLm;wc-~8GiNYp9mOwKiB|bEhrKW2voc zv)0}gyLMsLZeAO=U;8JYvfuul-?U;$URJ){!Fomty(Ru2G_St$oi%KU z-vS|wgmA;w4_%fnPN|BRBU`ZL9 zlmuD+kEKicEPkz(D%7TwL|*&4Kypn+NUCh>2)L9qse5O{`;5k)gJ*o!eF`#CMH!~7 z`j9DBSW;eLHmCD51>4BvB!4OKw-AgYDS-MK>)W@>1`qAC<&`xD4N{VMDH(0$@|%jH z)Q_y%*eKZa%)Ce4MFGR{Lx*kk{E)4@cipBhT(;dO@3v%7Q8B=;@l{sH*hn96s><@` z&X_K>mD8uLzXX8^8jXx>KlVh4wIPo>|d&eK;B``pdm(7rjQ_-O%X-`ioxi0yyCn*_Wh@ z5;#NzRwv_BU*F`qI=`^sM#az3xix@3w{e`3VH3zzIL%Eup0pi1cIa1(0{F0QyLa!l z<)vls8xA?CO)z45YDQx(hBTtao05}P65SYMN=6A>gNA%2(j{_3SD_nv)X~xIX*qBJ z0|HOzFBk_d=H*>wvpMPBwEEvHAg=Xn0r-exhtbBN2JkU<0ki|1pgryO_4Ru@Yl^_K zIJ_-wiauK;M|B!^2m)|w883aM4@9WYCZ_1?#*HCslF^7s7v~pNMV~DjolVF%NlW7q zYg44QDZN-rE0U7;*WTS@NB1`9{${%db~;}XA;w)$KN^K?I56Pq-29^Tbay%}>KkgM zcQWKUw?_8CU3+v~n46VBZqjwog-jE;8~yU<9z9EliIBLUi3pvY0zLsjLDqM9X~h`> zpmJeI6GTN&Lg}C|!Dunh61RjKw`K{PKtZ?9Dx{~4#%2Vm!I{e-2yyFlFJX}eEGCGE z7&!#ll}!Tc3??)eMdlagJwIBlYnWdtM^+(SK)$vha0!tKj3a!+>hd1pgpgdbw7lpc zXdVF)qY47r5YBG8m+I=NYhYqpps;rb=D+{&OE_Ja$WCRbgmqDef9`O4`r3>|5`*48%f7k%yM=y1B=6$fLf^LdXF$OGZ}ii%3R zaQ=p+1iG8CcH6OQm%Z`M1^dHqd_&4=mXy$a{tE|e^y;`B-oM|z|Kb(Vx84#`A_VuR zKY!fz?cHV1zqGEgms}_o=I1RZkgbs?H2P;hv|5GeD{5?2rg%x9y(o~ImRVV|~wG)qc`l6lEbDsM~k37Z(5_PK$4F=vhPc6+*Z2rL?;w2IULYjX7R zsPpNFzLT?{h;U(cO|)14lH#q5PwLX7WiO1`-1|3e z!8si00?ASONCp9rM;4LwxcFD{^N5N<+vpiO=+3CVCoRV*TvzTF=`{>hr9Ah=g=Ggt zbQaoiKRjmi3IIhXc+nrCm?Dv{24A&pIGCH^Z`t^!g$?wD%m_M1f4H~*CO)B;m7-f? zOS3>Q7)A^Tza^F>vAPcqUtH&quESYnE*k?`$f1`+iJK0DU>I!^rLD_2H8nQ-wfqi9 z(_a8`Vtm5;fv(b7A~NU?AcGOich%R|34|AXPKEdMi#a03#kr*F!8_j5mPV+^X+<(p zaHELP!+_C#wTw|w&P7)ABwB%18n%NwyuoQeUXhtpN-Q2AqxA$jEJLYwfUF^>=A8VX2Kxn_taYOIwS^Rww+C!4>T$=2z6O3OV5k zJ8@T=(-fHio0pcC9P^0y^TJ;Ggkdj1L+LlPSYBGS%NK{Nr#DQ))X3t+(H9C2JMBr0nXo3)WBAV-J z6t*IEX=v06^Q-oere4ucAR#)gRpexXWFX`%+Wf>hztb= z6Yyc2%r(qT&sqP@of5LV&zms@Qay~Db3KX{odCL262OpWD4<^wEf`ya#>rn&ofzpF z8Fc3UkSmG-^30EjPPC^lJcW$jA#b|9)kWn9rHc0MyYJfVH-=?s#q0FC^QDNh5~;Sh z3{{n!<`~MY#$r_^Ym+Qhs3GPZ(z?f{1AUlGkIS2c4^?sQS&|+ItaNQ%ODK9N$Z&4d zCha4NQZvaVIabVrhJprCWXK4ABWj~8d&m!cLarUe0S(a$-GgTEf%A|HJ~MYw&HZ(7 zPhQ9wGVRVFfG>c>TcJ9045SBw?~O%%8A zJqKwX#uZYNMVFUyq=4RoPdj%H$l;KaDZOoJZM25^W=pKD%Q)rzd@#oQEn1TPFVocJ zQT-$hHYMlr?xRON(^1fGjDe{EXp2Ma#zpiR9v&8r%?=$p;NyVa^9ysHVuyFolDQFz z3(#T4y)I{v_Q27SE?yOgqw_>8&>Nc3r?HW7+kbGM?w9mp5FBRa&>8FG=FU}lM%+GbqLI*^*K${>tD=TY$4*a3uqeXaw%mMyPpEPM)Inm+v%_SQfTeG*W zO>#cxPOXM}yZ!E;8@! zkbegh0PMKDrG)NJu0I$+n1%2ZBP=_)|p;^;Xrg&qjx? z``ilxgg14#KVt7*NZSAWZ~vA3=l}dIyK-&Vwz6Axym^PB5_vp|9yX+Ss~TUTuF^IX z)f7aZ^$G!Xa#3Dlm9=#>S(RuLk+JcXX5i2%B4d15PgsCArYww#dXqj4Zl z8j6lBouh~ZFBjuL#ZFzdgElGB`o=b^l3Z=bXmL)E3sE4Z7)19);mDQiW}d>t7^Rab zMMR4htB+di&KBGE$bcPxbf4Yx)Db)Qp~Lpzr=PG(auSwTlD4LPZbqvtD`Q#8RY*CL zmPMZA!P9>#AieTW@>43|Oaz6)!!H&9G?cPn>2>j0pcEIF&;RIx&Af5NE}whV4jn%& zy36sB&XA%2Y-tbAAF%e6mE=F9;6h4;IS+p02>L_uf+A{-v{InLc|;-stf}I}!IAeY zJtbx1k&RybjxpdMS6|M2AVXZLX8d~6gtX^;+XigjyQAsd;4^cu&M^O-^GJb_-XEWs zl-w&KmVVI|y216xDaI{CVFF^GGm1!_SJl7ZR4p%(CS!g$U=9bm1RcEERqu(?GNivH zYqSIX`MpcPOh3?%fq?;AUr8_;zdvIIr^Y8H1hx%oH8{QFh}v7L1xljv5c?RB%w@ZF7odz%u$=kQ0GXfnLZ3#RBvRyrwOpthiUa)wq#?21Lx@ zEqcNM?YL*=$Me!r;oEjv0MaQcYYq(8_fh81~6hA^Ow!guZqp3lvSri1J(DaP@}xpfqaSO^IM zK~5cE!lOkf%f<+Alk_8FIxR1sIV(w2K}=b! z6hKu9B-OsBJ7m>16w)8RZ?|YDZ@I+$OVI`t4WXo+5>P+@VWf%*5W}&6e$W|DlM-Gm zM^<13J)yIEyrP{)diot1WcQwuFQ}r%G<27g?Fyl%-dIO_{If0aO_^4m-UDg zu=Z$Pd~sl4%*ZGbtZ|Cmp4R1i&f$9^1RO%>d%x9lc z5YT3&pBM$&BHF?kti?F#AM+A`B3TxUDA%kdR^_p;tG)745R5=;mWZhIL1# z2Xb;O`HXXn&PC;HBZtT&bJ@r`DYxLn${AE!)6+Ae0S;?Oku9$->$;E%MQkiV!2r@{oL=Uy0DbU-c{1by14eYp z92?{HvB|h$m~j$Dh9|^hMPCUD(L%&su$1lT>$U46Q(l+^20wCUw`ivbPvi6=CY?v2 ziR++fiwt=RSO3rp>@++=o{(8&i}`R;e02@APS2jc4vWVq^uqDbxE?({AcItC&5g}o z$$`=#tVA7HLw;M9H^PJ<)|&6VX1)St!V6?b|n?0VLEx2qNLtK*%{_;4mT(3&jBdy#j3{7O208NXHB_ugNgx{O0t6q1Ghan`ieZINTn{}++rbFT zC&G_lAYcV4##%yxu6x(X+h^{GR3wVGtS~*KP)fGAv}BzM=ea*! znQ*z1!t;HYY?rL5O;TwHKRm-TbMIN_a31t5AGC>+719)v8w|KS!OA-3V6xVE_^gySC%Mk2bGmQQQc^C~*F?4zr$PYEyd_Qv6?@r?`dqA( zJP~DKbY+aymnubH^Y2`@+4Fj>PT1h!K1E`b?838Zp9gWHu`QDr9%PSY42%b$@)CD_ z?=(d2_{(^uhX8>`F#;)!4Q+59h5`?m2noKC1)yLcWD$r`fa@~7L_Yx_MuY3ih7TTy zg}R1FF0NK&n)Dqq>EG*r0c6y^_(X*lSV8~YiQB=scz0 zUqKmF08XSd|>!Za3M)yh+$3T|d*#=T=AB!mV6AQ*MEl=>-D%itX} zxJO5&T~fp$-G#vdY_NoVeLF;yEeEb6cMpnalufeev`!tMc75F!x^;JVxj?y#C?V!O z=?6Z$C#^nl9TwM1!I`5O8+T%35b?-roal-!nQ zL4=~5I`o{i2o1pD38CZ;b`mO>3vn?@j2rKbYv}{$P~F8iNRI`tt=iiHSO5VO2^zDO z00tp^2nfuk>ZQ4<#nSRN01?Iv{hJyvS``{wlfClBv{l!(*yn%ZG4(g)Z2?4#1B21g z(PnSF{*E;?HT$AZKqRiQ7R6icSzotDeXO_d|HXIgz^+z1e__$;b?&iyj@$6$xGk&C zDV^sYyvB-mn3oVDJVo(C{)4^z@+EuXL-#lhD-?COcc}gY3ULW9bc|}88|hG01a07n zdzGRgedT<=UepbdsG?QT356rFL^k-HQ~){uZO=mOh0<#1NJNX!qYPz0^9Vz<#u+LX z#n6XbUgsi9GPxMJSe34`5FvPkDWJ~C171(f+ObFX+C3jTV)uXQq&4^U*~Q^GTNiJ0 zIyWZ*6x4T*)cw68U-gGE(qB{xLyL!qQl@336lOYa3Ynr+t>tX?o%e0!`VDK_*SrQDh8 zk$dhDKrlofWn9 z6sP)zY+;D?rPGUXGzt$|np?c@w6n6d>L7>{MTCI7VEA}p%)lh{TNikrh_0*@vMbJO z902tZ++rF51~DGmgD#}|Dc<683?FThu4HVKj$?E&O3;P=PEJlKqSIJ~Rq!d52^0M2 z6wWMZI8O@-SCJ+9$+f)CX7au!lWI+j0r*Jq1@xYqmEmn|a(>V+rebQUYOSHM-tmgH zP)xl{jL!;}b25Bsn_OB`yV87-uUfq7>S?ptg`_03LVc{VM;_QGd{BhD-It}MRok_D zr}$3P6|pNoYt)AZF`7B5vlXlJZpX zG+3v?oK;jHwX&M*vw1NiYZ?8{d_Za=D@JA1myp7c!x09K0PB3f9z&Yfm=Xz! z7^oI1*!;qx6r#ay4&Abtj9-n$K!k%pmKn^t2m}K_q8@Y>rOZLlwd+Yt#c z_e8itxLjdxzH!Z}VkOVpClw_jSoLZj1?lY>wBGIkc|qgyTx9e%1+t>iyl6`SNk+nh z5h|x`!XYJ=Qe!06<(Ta3*FisVPjDv4OXWUN8K%M!R8~}O7H?Pmy7EzPV4Xumz zdJ(NdKVO%l`!TnG=bcm7NxFzRut<)FVAo$V5Fj zoG~0p=Bk7v@Qyikj1{th93x9)A3Pne>!V-z^!;bCsGgG+I75uMgDjI=b5b;g{~{Dh z0#jgcV>9b%n7AW-CV`TtMb6t(SdmHxUs7G99MliD*mu&t#vsPveX-&^cmo`C9${dO zQf*zedq)T?o>8YlYLUnZ9nPyzn}A;2HgrF%G-*VSG$ib5-Wjwh29*Jc&vj~(hzvzR zS@9l72?BC)fj@!_0l5cW7>ZjXVy6}5%uP>wbja32RGVRpT(Q-^j0jDIAYKK^14z<$ zJRXWISlL{!=wftq%-SSCeD4a^SS!yu?`w*ldFi^k6t$oE{4uLl)I{WmXNQr*z!l}K zdr?Ea%BCmg+*_}&3u&Q>h(Nw#Q{&NZbHnm>fpYVpwjQ({MV0KWvNxbR`Mtn8WH8Os1U)#li!qb-~^yJ(3b5)q7@hgc}N%*(VFTw z0#J7G2&=sV0F`+d056pAqpv6+@)DOp&&qkw)!x2BIj;mOgLAb!K+33@w`4-xK}t7b zA|4|FU5T`4liyfEfDCZZKEETkP76hDqu-2X`JNc3QcJ#5M*3!GnF5ehdo9c^1 zHcNEFS}pNFU;5k?y!L2K2A^mutH0@FR%4DiE%{raYiiP!Hvjf{n|SY{CG%^xWB-21 zOaQAb$r1X)*r7cD1=!MW`s#Ad@7v$8rVp^g>#P^>)QcY&AWv(n4@A@GR)hixMT$~X z-6%v}IFIZMkjEg2e;7jAN3Uo*BN?Ml+z9@vSDSp5^02hxJc>q{^rvdemV@;rr zzM|YUaw2HQ=cU9aw(a6GJm&~0$S@B@yNn%&52HoY?*OMhFrNZQa}SIZ-}!lR0BC(p z(HezOjF$z|$TH(8M?cVvNQciXr3%aIdBPTZ4-7Q^Ei z7WElo(kI6TjhR#**$vt#!+@SFdSf@^IP{|P6)@H z`%pzl)XU;iwq+vv4D-%ZU%DHk&!hoM9<>pjAq(gQI>0eAGi!@7LfuTO%+J_rGU_Qn zbd>d``vw~A+R(gO0zISl=;=Meyx`Db4AE1@2`%6$edj%R66WedZ2)-4mTT@1i65kU zai~{UR;)rcL}KRH;dlAO<9D4UgheK35JQ9K%NzwcN%vSJ99an(H$d57FkTN#1k_+) zY9l3KmRBnwL->}K2sNuMxxV2c@}0C#sU-|9TTK`Ukc71wp=ytNIM{D12;XxJ-;?5D zlFbRF!6O7LL_^^5eqg>EA@2|AEQPv?V0aH$Vmz}NBW=5((tQeYZ7JC#B>`w6EZmdb zBk-gdV_4$>AfR9velsfNXs~^QO}-jh(C$jgJ@7V&IM*bsfA*JGEh(i0v^Ub~xB7(#e9z%y@(xoP z4=Ko$r^Yr5C2N*vh(X2hu=Nj7DZ|1iwuD2MTdwnOTOYY=egihOWC_yzrmJmDFW&><~QX^WK2 z0!A7aa^%j0qDqjQiYg)u(h@C-&QW02V?awhTa-E&Ac?;2LCOx$CCZ>*-be3`>HOWN^Ug<jW7iw}7jD*$v$Q6)Q9EGKR0^{aOK-HW#G{(EJ#kZ~@hKawTM1|ZAdvV77{ zH%5vuDkb}#nsk3k5sH3;bL?Ibk-S#OfvC_J^`l-eKqta#dA|NveMAn4T3I~KG77vm z^}_D~0nigXZn6~^F$^8o@;iNEf*j8|@G(TYp4yVv?2(af&CV)Bj>rX$Czyf`F&@T- zF+%=n5BcE5QI;QHzbGe$wz&tO&72Cfn4O#R{`G8E1}|U`Bq!hs#vS0MB8+H;gGx^v zWDGs+(C<2T^73-3QobgK0v6ihz{zDQ0+|F)m`lYmOkj}Je;j7yn)bjou4R6h176Yx zq6dEWdnVRo3_3lkBAP?)iOQfC<7Uhl8OBNqA7hPCr#mc0Mvgh3ej`^n{qTwFkY|_^ zbWSoTPLcu@75)1uMSxU0?in0(dd|$P*g`^vO|+Sop{iH^_x3m2$i#-Ptwb-L`p8kM z*kTQrWJ!I1S73=d#?p7vhVT+zK*JjS&Q3qvcV2BGk?ajf)gZgpVBo=hqPZp+&t!7V zqM!Qc-Deqas1AwAOGbG}6BMM}?6$zZb+Yj41UXZzXGY!wz!)Z^P(&)Z$O$s15kgD6 z2Rep;+-duC+(Tep2gC4SU_A3!We<@F*pPo@-XQ=M41k#kr~{dMtr!LYkr)E-b1nx& zq#cY!VtviSd#}!cFcN~`u>{X2RP@LI$jHC|6qb}0b74d`gtn}|z>`LQtEFTJ34#Y* zP)3A}$~4|%0GJR(SQo`eSAYh2JZhXMvYb{T`lyiiAFpb*SKqiUdPVK;{rpF)R9u%* zgh_4a#Tp3&0Y&)E_ujR&G{7sx(|4@0#<(K5AOFPXtf4w$w}*zTrKi)zMyEupyceAN|||cHfi7?13+Q+@ATX*Dbdx`O<|MolA-iZ(N-heK81%+#_*s z2ZO}!5ZMg=r@%QEyviDZ{mh#eZT3g!Y;yFf9eC(rE9rZWaOnSbKR$3=L<=c6{Q|`N zdq7CCNZ(ll=W|euO`n4y(hHivV7^0s^%>9stl9bsT0l2s1HkYct>jQGyFm$y(YM$3 zK@+u!uFjLP_8kZ0D9BL43zk**q&DN0{(97*w!i@Ag${T0)PL@Ue@}#h4&#Jy9(oP% z@gfsJ|JYFmIYGBMm-hf70DOD+wy_r{1`OIPt*}O{QjSWiWQO}d24o>b#zc2Gpp1ht zAy-tak;X(0xF6Y>`8f&@8l=~XtmIryPE2{<*+vY9hc>9br4QhR7j20@EHPnh+}nYC zMG;qhliCc17>AaKiYj8p18y>Aig~EF%!wX22SuvU&N4h81KGJ(4LHM~ON0#wb ziZ{5}I0~6`-l9MEQxBgdca5`y@)qS?1FDqz!DNg=Asgm$NPpBeu=tbpHAEPQXoZcA zk9sM##_9xNj)_S~+N>3r+-dvlh6})MsbL}ra+jH0$$ERb9L!)GZQ;EjOlkeTu};-q zn9C`Lx-fxr$?2n99HbghAbvv_5fEOXx+N$!C69n8sl|@YPMl&_(4wLw!e0c6x_^`e zLrVWKz}z2zOiLm2`cCpAW83JU2u)BrKo15`6p|2wRuf^e67R&T6V-pfn#o6Y5Xgz? zU;Cr)Nr39SU}3ON!dM$TFdC&TO75Wx0R3k#jEQz(8;>saokgS=7G7_@`?j69=a4*- zW_$6KAN?4UP#Wg@=!biOa;l&?Q2}G}lpWvefZkvdDcP~fMSJ1JtM>AbuGpV{?dz6J zuUh}W4v%z;5-e9JDG6f>z($G`@DiPgn8XXfi-?l(ATuZxP7T1%79;$ne?f_fP$IS= z#{@YEJdQZ6*msc6o|=%6Z&pM~N`PuiXi9MeeBu=VC;&U&dZQd7b`ozA$d%_XcyZsd zqcd>mfF+g|p@8?3-+et123zmzWdI|U$aKaYed?5*`J{}~7k}Em_vf!#q@hjnEB+`7 z%53IjOlTuS7w-8hdSVVHo5^Wh6iiT|han4wxU`nFnO8{ZU9w$w-z8y0=R(8;0N|-Y zQv?+nFuzNCRr30|zCtpBlSPC|ij;IMeMWw`2)WV!#2W#Zz6xL!Die9pN6$)#hraS( zPLl8BFJpwRArJs8bfQIg)!EhNjvBnh;UO(bX)^qRrW=K0EDo6XcQZQ!dZ%lt&|>MK_GWz~D$?+$q+8Rt4!2Re6k!Ib@jg<(?4b5WMn?P`Gxf!7L)ZKx6Jr$`W0~7^4?N@EA38x-6d<^L0g5$Tk>+VP^{>47JOqctyqn zJYx5Iuqt1Wft1VZPW{53ZxY4BAt24__)Z_UbsP$5P;t=my;@ zHMZ#SBOPbiPl>}fQxzCcC5C9iWCoa6#TqkNB`;K7Im+&!1=B=qLgE0*F-xfp2tzT$ z#zxAfGpb?pAy)*2=pF&MM6^H$A%QxXXB*o4_l0u{-o3qL6YDq2V% zCFPmGF!HQ;DMbMTg#&Rh97eMMj&vURZiHn+1ci7AXuE_7VMKu(_|+Gfh(O`FAQX5Y zctX5~@N|NO!R{@nuk;N83(;IyekK6vgJnjx4W$F0aFYj*is#%dem z_ViQx1oR50bKLAX`BH#1VZ}UP~Tz88$@h5 zJAU$@_^K#ROxB}(c;>mm*7(k6edpTGc+eXM_P-v*>Rvr*Zax(y&%2< zf*kY-uL3%(%98_VX@dhg02tht_YKVgf5{jNcA+akwOLrUM?P`Pjy%w3XP&&ze(>BC z%f{<%E24;2Jd^0U{6lg|lf7*js^AQ$?^#kFOzJDzk?}4mnw(P9lz8*1oxge6j+|n} zyZ})0h#cTyMD&-cSPT&IC&b1|saj@83q<0S|I!Bx0L44Zd%_zg;Gr2_FAg9=?vb+Si+uB#5_l}HL*IuTDA2Rw zmK-Ww3(shW`HacQ3D+SGc*n#)4vt5x>KlA=CsTb7e5>$#EXj#L1~870+GS4;FYAid z*Amj*v^7fqiRP$`!~lRXlydtvL&7go{$wMVCjm%l9@&kGU=$M!-yXHrwq~D)!g=$# zPyOD}*(Mna8@93wHlPhIgpzXcSq^H1=H!T{=;aXm@Zbe^UuImCcL59Rfoba{PO`Dxx zw*^H>EL2CQ4-R(O#N>)?D0;`>?Ht@;t+k3|#Bbk{DMps8M)#xuWW~>ckI)@nFos%v zX75l^xnzrKYLIssGvNZVMurCd@kED4In2?gpSb5N4RJ8h#z|N#SR24p;7J-p;K9V* zmTrV#un!g93WREC#1p~ui~1_#dWE!r$*o&ABphKw(K~6MiYt_dNqgpQ2w$o3M*sos z#-=*2_T%vpzK@d{p)3`E@Kj5QoIG+KfDlEd|U%(AYyO<1J30rmwAV^ z1ym_kS}K>c-}ueHuvm42?H|}>{XOy=#C$HqU{Hm}Vn+H)PWjdM=A_K%o$f9A2}S~h0$W5a4YYWwyz+vM~$dAjYo0Py=@K7ha617nSsitzFs_d+oMEbdJo z_|Cy!v;p`?6MN2C3SVx+*NQ8SW0`NoDkf)Gt61d1gtCRQ4`EbA&Pm0z6Hx+oLih@1% z$$RaAPad^hhY#2rZ;V?(_vS48anedej4W1Veily~hs5Wj1Oy%|DKZJA<}#vU#LOG- z*zI>NTi4!xt7umwE*c4 zAfy4QvP9RHmp3@mojclscT}yhF*A!GdwP4EHFP;N|R;F)_O8X}^BPUr;fBcFW7uHiTz<6|q9NGYOQr%0+OS*)<# zJDP2LI^`SJg26j??vg>0<0jc+@i~1b(nS|3Y;*jSQNMKgiaR;J9#k?gO&}pGV{cEF zJIMjdaBx&`sw;7PO9e}=i}%0yvmZUnHaB=RctTV_Q5+zV@G1zt!z%y`NUPu(yJ1mB zTEsv+EKi~7d~S%(1Kee4libP?1}Osq7e`Q(bFd0@}qhh7d-s z#b71YSFJ^W4wI?;VGf1&FdoI?AylLTAqM$h97qHNMZrU(|D+t5w4}D5Npg>-IoXhS7O){vqmPb$!k~OjcvFX)VCPcmX>8 zGUu?Ch}rlQIpa$E*hh9tsY2xw;6a!TN_BR1_!@?D=VolJ5F%GRYzI;)z1VD7cJ38c z35w)d#%*q9UdBlVx~kT}ob7U!me-wkL?{R~d0{4=5nAX)%BiZh$qgm>adx?8<59p4 z?=CMT#JjI+>abfQ3-;=dCT!^Dl1UV-mCaTaU6L*#)e9`4L>?__th}ecC>k^@d%}DM z%t$?u`lKKuOyV*TUF`;n%1Mknb4#30T8Q0-Q5+^yH`%mR-~gZDkymkwg2)5s;~mlf zVL+b0wgzem0T%o30gfI$i4LLkIQ4Hzcw zrtdLe6>^H4;JP2hI`AE!#<{`Jvo2^$Jm1(zc*+!~5JwGwhL3ISZO%&{zwXZlwh8G2 z{DWphTIhmDG2#(Ai%ug?bOb|1#077N3c@wW2gN%$5y6>3zV%1n%gIA$C9|AMIt%RJ z`T+h}|DFfQQ4qykb$R<8^bKdRr?=bFYZw}MhLZt}NS{-gM|K6eO-)YQ&Vil!e%>*K z_SrcBx`ajBf!`d+8IemcAUIc{5QS)s@!(XWcLAG1c7>uR+9DN-UgY!4xg%RFg$czW z>+5TdcZEFi7a~^Z%{}BVD6**6wZcY?i`_0T+?2&b0~`ZiN+9|}Lv#QmK00>GGc-&^ z@brS|@l{(*7p=Cb&3|W|>E2znaxRlHNL(wH3G>g~Ly!=RBjaGJG#)qP1|IwpezSx6 z{{4HLHghtxM5MmvjUYe_9~!zPCrZXka#00_NNz|yGCv;u;%85vMG#q-2NReeuEawX zGnnX0u=kLN2B0QHLg|*}v7c7Q_*&At{FF@ykS&-P@3NB*-aQZ?rz(?K=M5bDXGyoH+q_b!n1puV*n!Lte?IDmpNvVF8Q*AIG zucA(jK>&#_FsN*5ih;#Yl4_%0SOo5eSHiaUY?6u40D5?2C=MPRN`*1OaPeJ@zze}b zU{MzS;$1^O`s3d-R-I2I^LxMllKL32Jv%yWa97wui2nK$RVy^ErUubt%UZh{?K{ui z77f$tS1_(9uq(fmVpDy_%T5AlMS0x%ty&RPQatMJ>b1p{H35-?OMN6Y1UdjRjMZZU z(TlVl&m%&Vf_EFWLp!%@aaq760plQ7y|SFL!-tRA%-ob^@==?dS+s}lzsu6Ow8k%f z>pZHr$j?J(S7eO~y09gUYnBdvL!tORCZ0iS=*#%p+dAAZVoU%;j;Qkxx&}q0z15Xf ztFI#xm8n&Gq%Qd_$d;5?#s=`pN}h9SpY5gKE4=eIC0ovK7_I|8@jE~rco){aFc!wJ zsq3mK>Tr9YbGG{gZ%K`o0oCP8w94c&cJNq_J@VvHJN4Ly?0e6=CdCvOMZ_odm7OwB zP#g*F$9ueGqCZdL6vc~zB5-VozN_1;v8fkcv++0HvYj8g-=ffx{-b;P%UJyWYRkXV z_@opFb2yK`;xWTzZJ?LQ=fl0YFB90z#o&mdvmvSv4pc~WvC-)*0UtZ;Gk=)z3O77( zvLiH4N(McqsDTBw7!-KL4hYcDX`>Ut5M&U&W8XGBXzl|K&{dokj0X1s%(*v@X2D4G zDoW#^x)Yfr?Ut5PRxdsF42E!vwpOSNtK;!Cb-H%R=ZJ8K09EFE=r_(KW2C$p`r%a3 zKa4JhgZ|Nfq7wQ{-)N&OgQV=rP9C~jE?F-}L)@#3KRBr&Z5^Jlio?qJIKYerhna$k zNL0EkCpctfh+xrMlVeO7I(#D{L{Cp^zk(r;eb? zcZnfq#PS@RU8Wp(5;lgJAi!xQ5yUA;rt%j3!lzE1EvLmef`=_35cAkZAL}a@!mS@Q z|D>as6C$0#1FKMCfRN|MWCf}YHew*1gh711)AsQwAQ~Phf4KxJ?4FSrTu%KVoCrNp zN>DZlhCBp}o@E@WTY#o8bOEc6pn_9c=_Q<+GCu$%6*gnf-S>vJWbZv1ntOo%A36=lqkik&G4=`*AMRg*y0o?cw z`S7(b`pcR(l!FC-^oPCC#>U582Hd;VH7m<2F5vVNc`Iy^P9;LX!1*5^5B%^_Z>L3w z%;5n>io7>{;((7F7(q~)zR@;vNgrsNHn+e{qU@IIpm3fi zx7+LsZ`rMvUbBIn4OY?F<@ckJ7(8=Nlo4>Ck9zk3fwo`zPrAW-WQYPB*UN$owsahC z9(||$8QBIjk!1jrbQLL14rGOBmS_aI1^_|D`npDsXwYvAExLmYgh(hjxpV?KpbdWK zJNgnFA}V%ke{2vaJ0=x}EYSU-p_{Iwq{NBLc#1(N8p%5VQuu@6Bz?<^N?VFsFdo%4 zm3rUkUOz@4IMSk%_`e|_#hFCT09tei!%5%h57A(7HiP~_C;GU!$YR(4ykY-5)(bT_ zji5h{I~BWwgG3ZK9>P({c=^Gnj%_kPUeYb(Cfed2e8#Ctrii*I8xMTM5b_@0z!&7s zjjZUoBqPTh{Q4TjAYCrk(0zJRc&D)<3QeE4qXnRS{4H+l|H)5l=$1O{h-A{h} z#R+Eak zJ8hpWXP6YnDB$@L*4`Kzc8?dLLp+$y#4x)la2|`jXp6AVBLpI`Iw_-+aAkQ(ib8Hv zQJFkdO0OXp9s}tbylsHW!ASpbF;E1AX=!m;#-YW=MuvTImHuN$5G<-=07eW6#ShF; z0Bq&0bO2QP%KRC^MHtV5L!PC=IN6jD4=F@iq#6nDGZt67|L*_&=Q4ox)?62}y?cnd zNMB(V!u$%t&a-F;cb|WK#%AX?WEg_ta9>`Wj}j36b8t^y>{LwJg)y$KEZIX3Jz%>B zciW8{H(cmDJG-|P9{?!NodkXpDZzW5$hqNjPzXE17aRbLAs|f@#Dnq3)!!JEC)lym z&R>`j&AM#Yt_}wTsx9fC&+)hd1w&ny2|iOD32-v$39vvbP#j=pF>YI1t2-6U5#ha- z*P$F{V|Y- zc^gvEbQrq$IAo}iBW^^R0`bDB;xjxXx@0U~J*sg;<0Tt7(r>3fe9RvC!l&)KfAW%L z)l^YB!#op@10l64bo4$Gv9OStNEt6TPk%{qDRPPy;x=`0*k)gR%dTF2!S+A&VKpT4 zCSAl+hHroyw5!ndVuB9^UG-uEwF4b7M#wC*VTC=q>z**iMlw`(vUmaDq`KfO57MIm zinJko=84L^=#c2a13K9Sfm9k5kr)&(fQ$k_Tb>X{mqWMUSC9$*LR&x@8ArDOL;6C5 zfxfYa9CLRRya0wcKp0PSkevc>ptv3fg7$Dq;43BRIJL-Rc!*x!pDNwa+2M{jI?nn! z?u&t*o10aC!y=Ghkm&XXiSXHGfe6`=OC9DEifjV zO?!XH#CWNFG^Dq<7Wxbg4Q-!GlveU`aDJl=t_LgOA^o6FRJ1`-o}$1e&>YD4%^MRg zgO%}myFIbsrP#5Wn02>SiDz53!bAEbr}65v9T;fxNC+bg4WYZ(r+YFc)>Sg!zwUHE z&KP5m2a2p@P?s0%$dQA_V|KVV&(zvAxXb5=>*|{=`WYFdR9d0twqg=O<8h(HLD0e) zAS^D=Gjf>_5vd#_gyUdakonnpOr+PHlY6GzJC_$QNn!7_eaf(@$fDA1Bc0?`Eo&|xWcz?kwBt(2rFgQlykEl2~c3y1oHkUHG)a{jvv@D z0gy>;BwYZ@6QVo%dIcUbz#=L)!T^#7$Ee^*6T;Ip-VgT$gisW=D{)d0W9WpkktA9q z4S--FU?>Rpr}88g>$P{zjah9&yM5s&Pv|=$Oois8c{EPihHmUW@t3cS*~r*}&o5L~ zGO5n~Qi>XNSJGJ+7W&uH5_T|WY=ATh(YvGD^T(8nE=sW|3V7t9NA2468!jlMf>==v z$OPcUdxG2*^4_VA!I1mVB!E;~#_e0b^Go&?^H~y5#slpDTm+HFhO;Nu%IvD~#L+#2 zJ+_vbkwYM5ONA62v_rO_6~=&q5?@#<2M53cT$tmVnw+x!{(gN|DSESkulyyK?om z_mX0WO&KCLZ16>G5M^)=oRVCg%Cm4!)~&E3b8O9Q;pKPj@(a(&FrBiBTFJ>~f!IXX zstuIKI~Tx7|8rW9x@K1vK!ySu`c38ldEmvIC*MJHT8>Yb)Y$ zNZ+BCeCL@F-33`Hw@D<$B6Cvgp%8`cu(l8AxX=&HBCA)n2lAN$kpKd@#0x6&+I*U_R;}}9JooEMrLZ0VYaI5>j zEoWE;-fybI7vt($+JIjCW!o^?Kp((FbPHNFsIN>z#1$OiSmfkDE-$eSQ-$P;Is6t| zUdh_{hGJpZyaCfo-& z85jhG@ObGEkbF7cVG&tK#(V(4iIS3*V=u2eZJ)(bZEY>`HW?gMSTSo;hkJWEb)77@ z&htVAwZURDs$mdhwhCe%1jEC8K*ApuCQrq&CWP)(;jwz3`@lHB2mvQrL-_E_u%b_FxLU5bK=YTTFTzPFlFz( zGh?acyd5~S$Jf@dbFq6^>c1NT(UA)&YVnFh{YM241ucLBykmSk{+;ncV=6OwTti&m zT}csXF#0Gy{8oQ-4$8`B$4h;O0)>c!xsXr}%X=oT;T=GQ+#@3Z2S>Orm>J%ONLvHc zIT5|k0Saljp5HxXrx)kY7JcA72AgvnG{s|G>N24p^+twC#;I9yaO%T%+hd>ljD7FL zH$Bo(Kx8?c46w&a%uCT9Ac4iF^56@|g@k`A7~zb7s5)1&(O2KM`5(P$SFT*O{STfo z<2hg)x@S=i7u&_qH&W7g=Bvyxs#TmwG7=$W4(&oT&O|xjMwv2^GT+HVah+C6GEfDd zk0E6afC0LsSIHz$BtT&b_Y2W!0B5KgA|?0>ubD#)*yDYZY$3~ju1-eAFh&?W2VV7& zC=ES>ip<5(Hhe^0NbS)cP9rHsDtn2H$e=7Mk^-B2O%XDugPj}bAIw3f854$z2n-nk zXLumvjvZaw<=U-^p62bqf&J1qvIflEuz7XB1jfaS1G%FwzAc;NoM;Qf!u`-w^cH*} zdL%1AsuS5@K`l=bpePDDVB8p6w{Q{%EPRL46R-|EA_DaFJ{kv3KaM?4BljdSUS3u- zwUM#e70HC?j6Ck>YP8kFnys#f^lEKw&IWhXSWj1*_mTNGBBUKVdSvj}E>1Xdm~w0((*dfJ8)t z2wMfQjS5vtTvI1uL@^K?o;(`c!+vOC2Z}IH1^D6d@w;y6_X#OPLQX{|akVSmXZ#3WHS2%GP|8q!NA7J} zpy0s>7F;P16jB(Zcs#G0sI|*iS?JkhA9{GVzUPq#8n>9}`DqD#T`iSrQG4m7i#ENS z@h}@hLAnN@AX?*ol3ICkGI$a?sjP?h2)E3lgr(gM>_23;hOXPCOPB0RU;L5`b-QR< zXRp8Url&9%GZU?NrLDg1M*u5>!T3XIG9XL=h+tFqZkGqds(H4_Ayb55@-Y1kl+q8Tl)xfm0fH zM@OfAlk?fcdN9!gx@at<&5%Jr3+IpuHQGQIDNaBi;Q>Hb=8Mx$2egDh@PqH59dxH( zOq3%VeCB%SiQx#e43UG+`)o%NTu+gKFRE^7b)MiD;J~o+2W8hdS}u3qE@?k>Sq4Sj z!6;w==^E`pBj2M@I7Oz@w#L9#;j34tM+Wt=`uA~~T{o;2QCf)u~Ftu`?1 z@B?E!J3l9fK4$aMNpOVD_wQ&_;83u&r0`PT!T-PerALfM{2=qtfX5Q?+%@P5=D~O5 zZ&lGXV_~j~EEVVD9K@xMIP!_asvNhtWKE8cXvh<}zw@2fEc%cC{wL2ek;g;a0C^g& z(TPl+K>!#6F!_dil@d;YC?&56d8P;_`ODyKu$g6*4UY}GP{I%v&2=hlz0>vq4tylq zg()zckXd{bVdMG=%?)W27NFHjNPKm_6euez%tP-Mmlmv10)yaS1o8Ih8;f%JTdnh{ z(t}{68nND#u;VST4gmv`N^dxzCz9*V81Z!5U=*% z3F(HUugzk8329CW2&nWS62N$(gwHo*LkVK!wG%o{O!E+jB3D z+nEO*^yl=Ddqt?YKL>3B7|@UR2ndlMzaKw-w+-DKw!01=w4MFC?47sYvC-ji8yy?B zk&#jH2au<{HtY9dycig&s&GVFrAP=F&*h=gl6e4x6JibjfXG?dgv<*z5wU z&qL%>+7x&7TUz}lx+`qOY+`iP-g@h{J^93G8{FM4zOqm@tVH**2#mZ$6fY543qYU; z#SHFHs9i9d?*J9nT(HY^MG0qt3e8|>02t&3r-d^JYyr4Zl4Oj-CkpnjP#0V=A&sPXs)OJpK zR;abHXWz1kSKl&IRN1y?Kzs{JIOv;Kw~E&jg zL;WV2@@Q8rO-)Vvd)6HRvW%065vPwW#saOwTpA7=`i1dg?C{9xuHW*KM=}%OL6sam z7PbS(fUIX(bl&yr*L^M#Cxobgg{kFv3>*>q#(UZ(t<=~=8ZJCqVua0&rT=AT2+yC$ zj=#uVM$sfu3I+=Ug$$6A!ubZj_Uzg1_yQOcLGd0LMz*Lr`;6=6&6|!< z=m~wG1e-q8);5W6Nt>MK`9~qE(!Ybpd&r1RZ@8Y5_B(o54yrhdp#xjdOO}E#$4o&K zxW}&IU_xJCuTP^e|A>L~oz5kjT*E^I;SDm8lcTgSov_sn$?lha;=!{FmU3cBg4hy> z6j+;t49^_TfJJEJ>6@DB#elGX9KfnE+J-EyFLFcK#S9`AtTZxGls)AmxynyuCkAP=;-Ec+@R_5I9nCc^4|$L_sK5EiZIs%N2x~ zH4*H?#u}Opp6J+EFAr0oE8xtRYV6&2#;m=&)lMGk7p-zur7@z2ctTuHpY%Vwcyq<( zmhv_=y&$1ymA1fL_wbNhLV~v#lsH5H^asU708t7S(M80ImtK5bAXa5hef()D7$vzf z?A!Dv-_5>oR2h;6L^vTPZBdHP>|A8#F>z zh{{4m5t4?-tE+Sk_rRFqH3POd6Z|HB!vREJ`n5PHfCh%0-}&DAtb2#XHS(M!OB>;S-lfy!h^ z`^i{|EkxeYf+E+EDZBOkm+aj8-?w9rKjrJ5HqruPA_d8QM^~5Sh@8@SpL+x-)toyl zq2epZEBXT9LQhgU%(?IY$;L(=?Jqr$BgvXTymYTPR3m^dN6@wMdcRF~EGXAzfu$E) zi0%|i_*|7_kBNEC!K0_J#iL*Gsj-fFC}60#AC4LQEz2CT2o0$!4(Ux~ zlSpqvvS7jj90*0xq6N+w=OmLkMTl8pi_Re+5H1b&w#lJbu$3g^68Ym5cIt4KWh58$ z2ib*R=mLdeOUp}EEo011I;7WKchwiq7D#t)-5T<1pgp|eDGwELN?&{Ff+FU2d-;tk z7X9Rt_nuXpuSlcHMkhvW2l;pn03u2qMaZIV5OTJZPXarx9P%)n;0|JVuY~v#d~()$ zkHKP*T}mKyr|shr;aT9BV3C=yr_EfZXbGzJszQYiRa^+6E53k_mCNjt#Dp1rL%7Hb zPfkralkh5d1`K_t^i{pKmgX=C3PZ5ycv%!Q5Y@q~x!Fap^rCp8hN>-Dc^FEsnFxj0 z7(oCB5F-~mGc%>OngfGeID!#l4g{uqT0?@wnh8M2+Yr6J{;iv~CUAZ9{zK+F(Rf)j zUI<}%*wQGSPph3K`_sR;tnr&0y3&@6{$|P*^ytwewx+N*D0T4KnOh-cKx&cu;yi$d z(CyPtKWUdPj@Z?!@7sp@)4yxC@0N^U!;gZ-20Z~ll#)ID=o4+T4ysq4VpC(SD;e#D zs214>=?-9(RsQT%hONSp5G>o3p+B|%?caY>N+_Y!_^8yJn_n~@pDyvTshKs~HBj%4 z0-mRDRaH~Ix4=q9k@tA1^wGB-5q%P?Yc4;&J(KQ(vblGt-#8y*1Wlkhya^@TA@YSU zC_au8=c4HFHAKH5;&bOp-|-ovTQhg-3oxbB8z+-~r|70U9#T}a zi?hV_yub|p)`>E9uA^87y;)gFYit=?O%|-PyGw?4-S+m&_~`oO z6*<1@59-v{QDFl+dGIQktzZagGnB89vu3!m@|<@I@mmOLR1mVr{RkR-ep z1F1?Q+}+SMc(CO82?KpXTAjMn_Nh9{O3=|b7{}l+F6#nPa-axcwY~?WmLz~p@|1}l z5Lg7AK|>$_pQ<)MjnoqBk*HX4p%UkOb3^q5hWJxT6b@8r7G@HzV>rt|&1d?hE;`-Vo~5w`V~EEp4{)Q(E}kD3 zlY8vMaVARTx#{F!@bO@Doz9L_mF%rcNgErR^$F{|?+(p89A0M0cJ%jowH*BdNa+uN z&Tpqr-|veF;S2LQL=XjC-&|L;mbRG9&m~0%<_OGMHBQ>Wd!@V^ux1-O@#)EP24V1YmXKl%s=QRLEddyMA*m z^locu^QesT&{60^gpcFF=r0|7ZS)e^Sj!tk6#*;R1 z&X~udPv~)($Iu^qLY}CG1WT&qkmA{cO%yY=OGmg4qe*2X*@yW#O0L7gR(|th3i_wd z7y{ZO)8gqm@s#(>)uAt7sxFeeR{3-T*vOPaUthnUkMW?7ZtO+pHbqrb*9BeRc}GhD zXB2{$7vUl|!7zonZyZ}9S*mN%9i~SR9Aqx=ZN-x5sEtoA2rpY@oR+MwQ&E;z*(sWr z<55%7XrKG!NsT#Yf@e^n9{y3OygduEw15>Cdfxs{jaKW1=eAj3_;z3X#4q-DTxd-*KnTJ}*v&4^RJCAq@Cc?RCj`JdypjV(ve1h#lRPtzHQ=5Y zCUW5HAPZrF=Mb?)5gMQ-rARRW#G_)2g{degY@(hY#-g+s;hyd`uiVqEocZ*He&Zp~ zCj^BE5#d=}48o5xKo1Pt_rL!GDP2Z{-(%}ZBE9Vthsg4cufOLzDjeS1F2Pn`W$YL~ z?PH8RRir+!PuvfmeOCe$^Ks*i0U&@RX;BO=k_Ql=%m)q}^i(CD6}fb_qd9u?sMCFi zjO@Ah-?3l)r$1-U{_u72DPo`f?8oiGrOWQc!aL4mbv3+MkTF9c_wV1oy@o_&7j1bA zM?#9yRu{2@hmP3r*vO9!5C>yG=+#O4@JByv!y_Z2D+MWGP6%wOC{YBmsWx$RNR3gQ zn75A3UVH!2q+PzYWUv@5|qp=L+}^dU73eJ6XvVY04;uleQ!ixXRwHjKHxod+p5A58M4e z|Fib3Z~Up1q_Z)B77=z#Jg3ly2nnIzECQ0jFk(D6bAlHA9+%Ew5TfKNn{&Q}>2oLuxAS%eqh1O@~H!-mV8M;jiI>0B?<)_KFjw>6%y zZVNe^nVEK(V9Z_xr)$6r`UamF2Q-1Uo>38>%jrb$ivxqqi%5eu>l=6m6Z`3pJ9F<2 zORO$TR;K#TWx z+P)yfrsij@K}4jY3Wh*fj0TVLW?co$f`C0eJG@O6l+MpD+Q8s$-@$;I|DHa6+w=m4 zyfZD_gEot~kQU$}c2w{YNze~AU(97Fxh!~CPGw-Jg2}ga+c(f-iwfg=dphK8VNik> zf)H{YPh-Sz5*c}a)o%nA8j#W;)TR%l1{W6R+}o&?LA-ip#-^q*#(8_ZVyLnetpHphBmB-VO?BN|I6v0u+FHiMxnSO1LpJ)4vzay-)q;e z-tb)}4#=R~9=YYY+Z{cfPOFyIFsZn>l=k$>@{+=4Pg~NiqA<~;x2MNfle47~2aznw zkxa4XNQZ!>p^oaAN*V7RcJb1A??)?Z4m56n1B^r<9l$qp;xSH(Jf>{Y{_H>hx;^zX z|HA1Cm~Ivo;i%2(sxXFK9aUDyF4#Z)m7iBzD|Y(6r>(%K#Ip7(o2rEZrFl> zp-tMMU%UsrO|rz>(pFdmjHiwlNrpf+MCS%a3gz`QxC2Kxh^&137xfu=_xlLQ=^tsE zY5^IalLA&Ahan{l_hiyaJk$jyQI+2Avw!~czhc!(tJb9Us`3I1!2vJzPXCwD)BV^Q z#-bR&3K@b5$qQ>&XihTGR&D*CeN@JcO^wATo@=G6sJH{z=@Yam(~-yk2Zr@z@QN2> z2E+sHxF2b!tc*&a@ncW?hX4I<$A3M?*M93!FCsx_s1=}M8=1!^nw!FSx7jl<&xdtL z?C^{ChsW6<0iT_lmci(70b?&E1jwzhKs+VyhmeoC6(*J0$dunOMi>C{qeMpJMFD({ zJ8hq^vO(>lxI|efNQc7Xj67bvY!rlf8@6{RC(Vju*1qi8wF@p%VIGZ ztp*-g81|gfklbs_W}^1Z@4O+p3uLVzT8A|@q)TNvL_d*7^dTpY-GAu82i$1WKj=g# z&AKnZ0S^U_lt+Frp^f*tkrIf@n9)~uHXu@DSBH7gj`<`aHPVQuPMox)3=`v^Qjm%x z)*k>&tN~+FRvx|wKM&q@m(49K${WHk0H#O+=@3?%7ZiC>m@FW!h}#cde2<~(9t=kY zMzVwFNjegPKci4Sr8Y)}R_(8zf5$Ffc;EI8^m#u4=kTI^BIB5hI*Yi&7DRwOo-#ZT zW`6*Jx*?uCe1w0pJc^HEx&B?e;Xgr$MDR0gFO)C5vjm|MCrs ze(vehXW3N%fdH`9lj}ZV2XHV)MA%H3H_FNaMi#;m4Y8I8;KZ{*fN7W=eu;cg9t4j$ z7|$=?Vf#~4GXh0LLlRU<6Qx4BE)+fhh=iD{8jPp3;y=*8Pxq1 zs>`ceoL4lG&nX({@yAIJv2b8;0BAyFA}0>Y6^HMcK&NhBQMc`oNg`@6Gl0=J`n()4VSjJ9V1< zv83!gyGVVhh!9Z%5(N!!%ig=PZqthywNZ43*XJ9=%m(!zuqC|S++^aI`$rV{jQf3v z^6tLpF1vj3k_^N_-w{2ma*xR%6x=vdI0EefE&$pAO!p)we($m4J}(%IpILHg)_&m= z$89~aVChX(tS=gS1_F4rfYZTx2`cFDMKD(6$teb*$_JTXw`iUqOPZ94W7d|jFqgd$ zsrtjQ&txM&Q$ zE7cC)VsJT+c1Wj&h!5?ctQiAU^!IID?edUF*_n^ujgCHW9dbrGkUsmZxL)_c$npFh zj1Ue%Il4oq*5vpgH}V5Kx?-NykzU`j8-Ji-NMqA?NtV?%rtG25oUw<0?jPB=zw;+n zAFZ+V%?< zy6Y6FayeCLCb6MeF!(%75M#}l`Tg-H|5x?vza9TIABT?(+pc|=ZDQz%Fd=0N^GhDk zba!=Hu2gByzkb;&{^j?{)YxB2q8u8yh#Sdmd95 z?H(C(38Vu^+k|xr0;`HJdAz>5E+8=P=hA#wu*P|K__R;Tim~vS$^3HqjcqnG6!m30 z?@ZN@6e(=QQ>DI7%S&a~hOjF!U`IZgJUJdd8!WQs0K-b^@1A2P>^FY%MY*I^_L(P6 zTT{!jA~v1{!+j~vpk$Rfs|ve*bKPEhchnh1wGu{+yfg-y{?|9wO6g;E{pz@b`6a+4hFqD`W+nD+>n028+aAcX@SOkCNgE65QQHc|Kykdk^TGM_zwb` zqV4XLSFiKpk$Jo8u0b20E!yqLv@I&yBeHZafBOJr@cyYd;vN9?Mv}+AH~41>hPoKa zWVsHb4e-;qfAHl;?YF-Ar&d+pEAV4}E~Fr<<27oT2r6TD?d!2rV$P~%IR4)M^&@ud z-jnwJn{W8_O_VC?pl!xQg(UZ20vej|eygC*GLW>(HEwt$vs_c=HN1Bj5N|xPmRy(H z6+VmKAtK@)v_rH@|7a_;6AUV!F-YYjE`!0E9i3!($td?gJ~1l%rGGy8i$T$sw2j`e zH>6Y`9J*GwvZ5R)u6H9S8RK4!6nkJa;qmtU$&K(Cxha3iK;tU+TSvok$4 zmb*GKU~XuvPet?@Oybz8r{a* zSX@}Kt5;`jb}4U{ZpzV+;{yI2+1(@uu-Tq}`?gn1Q<3*C|M6pTqS%|V%CQ!D-?)0i z$ITow>jppd)8F+z{Qo_()c ztwZOqX<*oXJr-qG*qrbBfUzRvhgpR}nDE5IuT@k+bq?ExKuiXU0VKVGAmGI_Vc*`_ z;Y=Yf46k}Oq zqkti#oI)x^-Zkkpd9N&tM984~=;*N1_t2q3qEFtA9J$MG4e^MIHCG_|gD{g;<-h;| z5WY*gXca1D#3P;8tqALxXP?nM1Y&Y5*d=3294toaEuARYU|-&T@e3bUKQlHyw=VVu zUV@rLK}1C<$paofDIUN8TB1Xg-?Lv9^OEe$fgn+tNs98F^CMPS-K;SYk)T{uUWzZG zXQsf`CJ|d$W{phLI{NzUhtFQNA3b}{2K$>OSj_9Nu9!388DWJzzg($Q!>HYLgsUs-weezVaJk( zKJ@F}kN@&`;n`oY8`tl(n^%vy%tH_4lkwwll9_>L7%23e$9k}A0|SnnvS%WN1IRsF z>`?gQJk|X}H6G9WVNIU&Q~%%}{Xu_;BtwRyQU@eR&faL(4%-mZb2{+TQWvV^`O zPrjT*<0U(VZ15YjgvTtO05_loW8=56(Mj9c-)%gln<)wkv!DsTLqpo+y;lTRwAlR8 zs;#HRJMo75@88)c+0EGWeAemCo|^l2h6i@C6leGDe&N0%obE1vJ{vMdQP%r!_uJ^r zd+heL!*=1_op$)%;cc#l701>MR@#XcLKU$p2Kf8yb@cugq6vVazY zz@kJRv`4iKlc>!CQ7meGL$g=b1m%xZVHI z2?y5{(IqX5mVi7$L$!)m-ALFUfBbP98ymF~Cyx6Z5{fZBJ#UXc_K43-U{pB}*0QHe z>LjG>P?(T{V!p%YoMbe-LQ?(j>FV-zSwIja!r810a3$*F>3O`9*cmONCKWC$Yi z-m6eV3~FJ!LMmk)ojo=>HD~ow#vOfK0;hudiZhgx1JkH`hql8m5;8_obYv*dI~ZtB z&x!VIx5Ibv0Z%zBqE!d=B2ptd5Aq)t(U$2(bOfKdCdd&6Nyq~b@h>!?domXlwl3Nv z)v9rj{)3l%ho11h+-{|4C68?T;d=T`a_a;OpInZ;VT_)5x4N`s>GgyFBjXWWL~Zlf zeh`roZ%0EN7z}p~^bug3k(`inUP&z3=|@l4Jr5qUH*bzA0z>9x)R8w`E0@hvs5l!u zlZnVbLP}K;RZNj``s#%B?A>LlEgtJZsy)oPO^H7&Qz-9BK>8g3@9lfl`}5b2m!AFa zJ!P*}MH4wflA%xp5qA9WG3$VqY(;~U08gkq%xhd=3`S>qdd9jty9Cl9m5$R{-X4g; zD%Mz$CT6o`rU4);JSaO2JeiqPI`hWxw70ikJz~y{owLJwA0jvA7wH$qfN2Y=>2UPm z9~G{YaI>b5w!u3vfd%c9Ruj#;j`_hxvXpbnX>h?oE`pOp+eDerkDVNl5jI>d(}IYN z@3<#zT)1#Se<|~(@=vlZzk_HH$B6Hthi4x21M9`c$L1}SE!os^sG3Axx`j=VNP~^B zakj3E*VNmdu818vIOzQVV|glWIfDUqBsUbv>OFlZXAo{)K41rr-t_n7u zrMsPW^X9Dm#fukADjUkaxtMKH2({Ukf|6*!mB9l1^$Ld(1^@~{f?+(^3?YEobe@O< z;bBe%g5&j)AB0(V+`bGLhJ$J+<{e0ZK|JQJm>lPk<_wa3wJ14+2ml10!|3RkwNQdC zKGMIn^$iCs7IachPB@EKMd1STF040#NeCO`AcPk^f6P#X4xsi3jB`*(F&qVCVJx9= zM|-R9oJ<;OZf?Pu1V|CRaV~<1U{Qb1{6{=eWB=>_{ujDOowbM#hmUqkVdeeHh;kim zQKg7ShFAagg*n?u7j1ZC);&@d|It@cVWd&iLkCf!Q@&?!KMEQ4?%iXBigabv+4z+*aFmI504UvBZHFh+vf~4fF7fmx)thRMbO!xn?g@a!<0oCV zV^_b)9y?D_Frre^Pje0|hj_^Vg!)akozpl6SMTL}h8t~`+q^KY!1 z^LyhJNYPMwpYIcI0~ql=h6?XBoZ|&4q6zbSd@diz5Y)i{1!tV zNtIJn#2Pg8R1Ns|$Ts@pYma2`N!t*i(kp_dk+BimceLLgeEJD{=FJOMA-dy56$Gep z(b}B?oy#+w0CRSQh;l!TW%b60Wp2;fuA>JmE4@o+(~=K)?&2l#0KM_%aZLIKURNt0 z96x&TpZc)C1yLiUOZ5fxLq~`N*M$u@Din9%^abaF8r_J`3uEX2N%YSb=zDR8WCuMK zPXJ|{PIoNj(Bg!w$dGe{C@G|lMJU%1aE-nS)a)LaS z*PzwbHh6oa+-Q%3d2^m8$92eikU7$#;hw%z$@aZy1EWR36z9Ww_{12X4SjLvU4PSZ zdMVzb542gOJ`q*1#S_jCMPocQfyK9^+ju4saxgWuuIMsm3#(b_NZ#iIj~?t53F0;( z*+7Tlv64OY_%Vs&ns|}%Ob}UwP_Do#@W5psd--_p zja?dJ+=i|$+x3Z6uPlu)Am7w4m$pPQY@6=o(eh3a*1EbTTbN(*a%2FC&NFBZ9!m`| zVLqWU&-0;UmJ)JqWe=fYi*)oEzfSrgn?fQE60bbMs4f@GeDb`A{&xy`d{> zUHBV~N3ErEr`;T$w~5IWc~evm;<+H01=~F^Xb1Nnl=mucQ6LDo6CDZX-TQmvjW=xH zzJ2zIPkhWSoWJCX%(d_e8t`JQe#p~hto+XR94I&MfzbVX_qu{Z3x8;y0EdV)tLxVk zwdOR&q&%y|%zpcmXWzG9`SN|XC5HmVmKgXw=`;Lsp6Z|&1U{@Kl9G!pYiMbccf{gM zQo5v8Voqrrmq!~NFMO4Lm&ZYmnM-FtVf5*6>3F+f- zo}vL66ljUl#OF}irK(` zoPg}2{o=2F*1q^J|2rGat=N(b@=~N=t9j`wrFlg%3Fv##R@Za3swinQBRZrjY~))n z*Z`+MSijWQpR<_wR$0eWovXbd2moU->6wTBpWdJH@!Svop{M4dD>N$83L5g4@5^ba zpbKFM2hogID~dU3Iey>~jx#dEyeaxYTWp-%(#&&`q}Pg~D6XO%oJ*o7&gVS-;`HK- zlv8gU&?(45D2%~*q%EQ$c!+$_H|WQ~^~}34-;zp^%3F1rpy&xX*(=>5nkyTWg@rl4 z7h|H`FvhSkGv7f2mX|;S_{;CGlylK>en(g7OM6SRH3}oCp5@>g+F*P*i}aBw5`9=+ zS~VW9$fN(kJ{$&8=^4oqJ{wsj?j`8cwZbe#_84;d%-HD*_h$SUO)qSdKA(U8g77Jn z|8w8}@>rN(wXv}UyD)UiQ~Fe8N5A;l2hYMhQWKPKavjP8At00sg_zA>yzvzC5K=fo zS+;IT%KOTanyU@FFnC^63WDbXGhoo2wjVs!;Dzuk4|e0ltK(4=ET)wl_%=c8sRxt8 z{iy27S(oQ91L(5d2osB49bKND(+$NKwpodKHISNgLB-(VQIaA9lt|HS$iM`_B;20$ zHYuYeM@_qU8^SjaOQ#i%6LPXuQcF{_h#L1fCGx{Oub*8HND-|n8skyI|LJS*3hbni z4YhXPiLiwdJ4uidA<84g=nL6o@Sk~g+9s!01G9Aom2}u!e53xIy%NlXb#~R;@?z3c zrspqRR9i9c^PW9}ik5~v#DDV1C+*#L-g2t*!sCJ@dx6efbwYYUj?6%gdu`FGO9e-N|N1 z*~m~yK8S{Ob6rnb4v%zG<7o9AIM{}Tp%Rg^zZZa$lv3~*1o)wzgU_~achP32mZUrZ zFj&OL!pf}rvb2)YSmgjn`Oazl$L~F=UaB2AMa(H6I~W(7CFU#nSKcAP<^5*#(6f8P6IQC*K=;96c~BgeJe6B>)u z^5Eskif%+cAzTgD^7wKh1u??56#cW?GdqzWGr zv6L@aBTo<>ow53kW~*#%^0Y7KVLbNid(Zn;K1Obz+LrNfuwNa<1R7yv0$<3+$cYrD zka?hw=&M&riWa1k$%>#?Jf4QRLr*Em&|(k(d{SKiH`g)ckQ7!ph^lS)NBRpx?opAj zj{k1v0_7c@7fSQ*Z$vxF<%1N0jp$w5Lrn9)LRZo|~DK zvq}ak?z`SIr^_OIoOUt_fgi!C22<$|bOEP`Ku5i-Eze;6MbBBHLf zgaQER1)Bwo+#Z!&u?tF#%`L3k>|$E_!{XJr?b+EXOpDm4KT#IiY}pf!@0X#A`i}af zN*Oms6~n~bok#HM0=uIuE+}FWPIYy(idP$U;K(iSTlx6mcbjc(IcGzY^J<@6%jMwx z)Mp+z8PG~1Vo`T5ETnMH&5!S!M!aM9pc|< z`=l38W{6C*1rgYYle8auXz@J&j}by)5dgwa+Q7r34=~K5HMPgeY}&*4Ar$0GS65b~ zEuk$Q+Waq1!2Wy(#;dFMUWxkkDTJR6DF6BD`htdTC+F-a0pB7cNhOGaklrluF^g zY{kJ}fP7^IQ*?q4ylm!W-~k78E2m-+ zB);c`5n|$zGIZV4g`MxAT`&w7Ci)`*)G6yp9&ukG<;&UlHxzIAr>SZ{BirR98(R`E?`xn4IQ4NS!t^o1`dZ$8Uv{5F>-q5}FB zo^K_`rKv&J=WTp$*?I>D?X7o4?MJU&b8z3kuV2?F5-JFMbuz^g5&&0n`ToWngJK^Xuz!oGb0QTaZ|efgYVaQN$K8+}EpmGk+%Oi#|CeZLmX*1`7v z8$4`K{h@L#9NTV%`l^nqEmBN;jJdau+~YLrD<2QlbjS)f=APvGDZNc4!*2CF8J6lz z`oiyAkM6q8rvd)3#mq)7VFw>LU=M%nDSPvkcf@z}4O5T9qaIbnrB`$b-P*1?m2l*y zS8V0#EsOQEnmFaO+jrm`Blz(#G4h~>EW<9)L}#Hvz#4XP2o5j(ASK0nij};IR)3Q? zebR9r{KuL`w#veomY)#q@-Ej2qs=%l6tvBvatsgca6jhJST@150+9^Hodx8a1NOjo z=B7x`qceebfyP8^F6Yo)Cqg?644=K?Rk%pL75?L3B8wDR_*%Q^Y0+=fdZZI#mQP7w z#7MzoJb4d~(E-}T2xw?N2BKQ%MLG|INIzMW4puX-&iNR2qDW{u38)4|}DaoGL$h5_W9@=Yh7ESBs7((z8W9M?OG5b8D?g!@e z_xD+|oMqOqEibOw>o2z1eGiA7I5^&adr*e0%WhAvDX77eiT7ch1{1xc%R>H~oeH=C zgQW^cSnI?M-U!74MbR}xIZTuxbWD=Y$|3@YFdKrhN7dh^eNr%M+qq2`IypAsi&43j z(r_MifkH9o;M;V>LPW$KXS}C91eL|5qy_N$xi?QprTv_H>};JMn3NUKrp71y8W@Dv z!2A%xhY{jh#=;tw#L9*XF9wx+!c5;gDo)uf5n{CqB#(=rEVik&(Tx%UGB~)`zWVz= zk}zyqZ#Pf1T```8pH%n_9k@RSp>S1glZ`Lr?8M1?t-G_;Jt`y~!$d&|MurJlcBja( zYqP@h*I$2K;NEHPy?4$A_YBxD^F=zBv|?J(8;_Uh>h95f6#1zghb4^{8pG3|R2b?EOp4whWvr`{q6#o?kBrG^k4QOMt#9W}ja#xMgI`XIp@FP#p_)?7db+Pj zQGkB;r>+7#k%BzDum%R_p(sPXlGw7PwXDq~vi8cGqoP}=gxe}*ylPV#;}i7o72Y%c z<<%8Mb)@*1hz=9<@TxpN7`$mrLf}6K-vc<|yx`699p%#8uMD!J!Nnb8-i#E8r|{(LVBv{`++z`c)e)(3m^0wTb#yK4PsdL5!C^#?NF7n|LPV~w z#MijbJpxFKF%;ooa0QBMJg`pRNyb@@K?KPB13PXnq{r=xzxFv>sgiEQ<%H?{4UDYh z1m`Kg6}MH%=gNA{HZqDrmNOPzlQH@JJ64xw9h7+fUmVbx`??%%;|d2(6vkwEg z_8>!`zBEdYF+v4}#LVrvLm|LL#xTUtx=Q>dRflfpbslYV4buYf9nA1bOkoqh;aIk| zw|T~e`!hX2pUU%6w2iLg6k%lM=4PCR&<;$X4Ri#3;rb8(hdCk~bnZu{C7IrE01nP? z)(fNt{?n~vKk*7vQQx> za9nN0ZDw{#7~SR@FEj1I4mj*3N3c*-f5-;W9=oo{1(B#pZiGv;L0j|#eZ=AAJ2p(E ze>ph{tLiiJy|l$+CmhEm=gTYeo}%43(C7UvAG>$;SVvETEzaS9O19+KL_hcReP_7| zjYBvZ1I&?k!hBL$SZ1naC;(uRv07k`_ehu*7Osd7L}GC%-XETOSf!3av0actY6%U8F84mP zG%IA5@B+>lm*DACd$kDL+_R#bMYMPWFqRY@-{JkycZ4#gb_mB^;B{?U-;qinZ9uhP zmAnx=YdlW`aZ`PG=e?v)iaz;~du$^iucEEV!4N?rP2v;odVg&=Vb^a-@kGOvKto9D z^h047m+uw;$mu=AJ$2@^@2G(HLnRMh3n@D`B*nAyREFB_lA*<`BEn!726p!L5@3ym zzeEbW$B@8K4PV06<^m2~b*3v4)buot?jNF7s>WoH09f&aNRm(4?%OR(SG> zkJ{MSm<;i{u8-L1`|h)wH?He?IV$ot85hD$uAVBq<0nqI42_MC>aQYTx~AW$zH_M3 z_=%K|KgBWnTeOcqzTa-$npOYhnTmg4Hv0%lT-8324k^^MUAcTyv^!(hZ(J1c&Py&j zr0h+e#v<(uo%{*M>KpAlO+*L0argx9kv-^+9F{+0P2d&s#&`e`UE)B+@0>?_L`TRY z5g_!U+RLL;{quJG2u7Uq_{+8F93FLJ;2mx0{uGz+8|R@X?7EF(h@Jt)IEAF-FytHS z8G)+2aT$7cG9gN0UVxn~7$n(5zY<4UmdZGwnex8j`tNeV72!jf|;*knW z52V~^abKKg=6V8;*|KZNXygRYS3=30Iu zvjWa=pfSF4ha63w9DuB$bLDlM6ktG;X7LuiCMqHo8P?bFXcm@nq{IUmMHwudaF%=c z{(GVUYx2NQssmAZHztzzT&85h6N_>bd7^DW4)}^3+BQW);P5fD0xGSOKG0W(K$59{U`4`JE&48fvdo^;4I5CAU*g!LxPVtB1QOdh^RJwD7p z2=BCgP!OR5Z2+342GV6!zF!v#K+Y2;!$gkpu}KFH--bke%A|5?E9=V2B=@H74RC9$ z&P+!Yod>h=ekUf!oUkm;!uSB@pvR&JiO)%42EvaZ4iDdw*OIb!HXIdzu>BABsjaV( zgMxV`!2o5qgIEnk<%EIpUn^vvY>n+73Jw5(Uue< zQ4Eh6;gQsMX`;A1D=27o^4{aNr0S<1&Ih zZm`w`l1mFKid5!p*X})*7D#y1Amh!GCUsN45AsLf-E0W_uHRk~t$9|E`sQ*Z`4H_k z6fx0nxkTzu#^?TCd*g<^_4=so=-De-7HDiDTcmnxe4K7<1rAh^F&chzV}%UqcJPgg zJ>-B2L82peqHv?4zPjPodC-lQ_g~kdKL9D$@jVI+$aod2fYqyAQy4DBi)XB!yG*d- z0zk<+JoJKxX=XA>(T_a_!(3^RJqHD@X+@g*PVTW%w%TTSx~aa;NSmI`vD zwnTsWOhi(cN!Z;#6)M}x$JE3FK8H&x495t^kkf*Pw)!EN+u(jPKfqJpVI@_N$s&NkNdDuHTU9rZ&x(N zSi(k9XY`X@v5`mC9+r(5HB z(n5}C16mggaT^_9vizpLj7Yyk$F`;_YZ5Ns7@c;<4pDpL{++hIGAD<+Qw}goDmJ|+ z1V@0Qyi5W*c64=n-#CzOaPi2A57s1IcxTWyblppD4f)0bWHch2h!8?R6obDQ3J8zo zZB}^Kt?&-wuu}k`8C#AMesL|~CIXBxBHclWDJikR-Md7zu#eT9w%^gwCa)?Y0ihBn z?3PWN%q5We;oh4PbYA5!mHaDUp4`aT#MHDeHWU;%xGqbXsJh`j`|!C@WQ!g3gOc1s zhYl#3*dsyCD-xU1{Ujg)ogRhj^qm);4hq3a=f3_OPDsWuJu&IRfN=Nr_ga5{zbiy< zPoL*h)tGN+T99JI;&p!i&;P_}7d%2~n8x+&|5p-I8=GovW;JVbi%h0AdTA?kElCJ5 zt|;X4{EXFA27cGq2Z+4*x9WOUP>lEH}V);ORC zv?ttV9*6Oi*FuoGibP5>ykXLpegXi{0Hf#%r2Yc{{N*#}zzh0_GCGI?Li+C9xpV&a zzWYwtk6wG(?mKO-W_2My#=Mz&hK`$gtk; z>##Nj)a)d|93%asZHi!cxzV(c#_$MOV8FuRKlsY82@Ht71V;kUTz<>WU74{Px0h}I zJtyt`D^vEr{Kj__wAINFraZ3?O`$(+`l4a@Bmn20$S;4xd??S;3EnNAp$BrnU#`XR zp&t~}aPS@EalH^-IhXewq^8RE<1hE;;63MYKJTf}^F`$v69yAd$O)`*+TcAPgZH|& zx*>zZZO zQeDSx4W#IhXDle~^Oq@!o$IW^oQ}gcKZeN#XGe(0pc25t@Djt4N!%Mu<5f z3=89&lXFj>c+WY2KQHQe?nB>* za`_&fGB*0m?|=PZjH6>4;*)e;SO%>koJ{8^*Mn#ffSb|~!pWc+?3Q>!C+Q5;NDzV> z@|lNcp^W^_VAvVC3{roa_GhQ&JS9QLn11J4+5>c*;EFcni{>A zPez3pf>iKQK8nX@6ci7P z`I%-#aLlK`fHET(BZ3M88=9N!+uwQDp98hCPe!*O&r5V6oydK-A7f-psSPRkY{Anv zKAG;o?%fyYm@7(3f3FX-CO{#(n9Eut=uCe<_T*Fc!t>ABwQJXYO!vKmd#qbVhjZx9 zTW`JPMkws!5VqY%=~39S&~iwn-B1#F@Sgs1@H>3t{`^H)G2%YqDp_FOkiI}yj{8oW zbU}nB=ia|8Z!OGE5CL#4(cH&B@uWR;{~3AQG3#t^mO(w?6Q$6Q>mt@^xk8NqxJxp^ z7A?@v#LM8|xSYw@bDwhm85=b7&x*RA`SU-q`f9va84FozD<2tT^&*%$( zox18**_dEw19_Xdy0U$g0{S@k{X za!!`O+s3oeK_4+{^a=TfzsNLwAT5W!vgXg}sQUo=%rmvOce?&Eu5tw!eeLbr;pc8v zr#)l|9p`tjr<@%?j?2q8ILdMtXoeFI zXjJ~4cKOV2(2O?7*g#8o3kGsMdQ~?7iS7^nK4!q~Zxg4Yv8545ML0j}4r-)(; z?uShAnfCOb_XXL)vG(ywPMg&x=ed!an{#?$1duhTOO{|o4h73gNR5IO(3A6-7a|)I zXbm=n`Av4ur#J}QW4v=S^A=YmNpT6Ek$IeY=!{N#UxYQ_eV}(Zj{`^MuOB=Gd}Me@ zhAGV9b40)N*$2*QWc~$Zfxhzq3MIxSB;f41RV`119RaAqK_@-+rkhYo?Si83ecK)h zeLZE%Vj7ievI}9Hz$kR+R-k8SNh|>*-SMmPtq%FL})C- zj_wYLFv8CsYW23Pkd^v&W*3+PVm%M#&#b^E#18rXO&3H`j4+cqB|I_+%ZtbX1%asL z$4gN5P8lh4D5PFUD z5j8d2i*H<$M;o(yj`XXyih3kC6^hmz{7Chv{}{8C6$y=maFE@&WrTqk%3X(thZIhq zvdQUCr18ij58F4s@l8)n5xoIc2rzqP_4oC8AGrRbANhz)OintFNP+QRLv6Lis${ft zC`d2>c!%%=deJ^}5EY^W#uG1{q6!{1Mms#dn5bZ3VbO*2utZ-=Ha;=s=iD9| zc3yilDPl1X#+E5KHyGQ2fnDDAVEdG&KJLX0 zj6=$i&8Qz?$AruUqoB2PN74^ssmgt3yA`7GwF&^c#GSMTtf#Tx;_#$Wl zq|bxsFV&IImUdVSju(#nGrvYZxt6l|0Ei`9Pq5}D?`dC_UH~G<6V;c1^5kCnPg)1Z3**NE&yd<;*+y`VNWU;dwkX0uV!t(_d3J~=wdao- z*FC7hAD$Sp(~lpwciy=vI-$Zc(7NC4;ZggkfB5tE*4wY!V?R&dJ~(c^w$EbKL?AdL zAxeZ6=rBfsesKV>REzPuZ!H!_AH$ppdg>s%?TkV*WRUqkQd;l@W5U?^jk#OK2!`Cg zJt7Q>Y#XecgE}%un4?ckrO`d+`@(l7eO~eVVblmND7UAwST~kju-3BZ znFg+7{9MC4Y@MPhDp27EhL8;dm_I!C?hRWJJC;{-mSYKsIN8?1^vR~pE$1w)Hlo6y zPkrnj1spgoJdHuLR^KUlfQDcd#*JNg;1z58s9?p=5&<#3gZF$eZ}`d&JMBkrT{HId zWQxY0srRMNK6n=JVBq96+gjVaVdi<5{N_3I%oU9*nqiv@7&$&MA|a`FWx zw$9)$pZ$rQI_|W6zzMLYq6pQ-a8c>SwJgfS+H-%X>(4sUcPIcvt*Z@_iEbdtZ%d%~ zjtbt6ntl@{2Fqpgf%A#U%%JQZbSY%OqIOr3gg;4?hv8pkTp^bugAf zvL1@P4Ox)c-qvUX?UnZT|IVlFr60X#M~~j^>w?&IgTjyd?z_*GKnxUCstvuHnWisG zcJkyYwOeU#y!ECOo@&Bi1Pi5v#Y!FGamvOf#@)+g{S$MQRB@`aE)PuHH??d(4n)cj zQUJ^t$RJ2r*+vI?ZOJf2YO?m+pZ{C?PhWpQ=Tu4=WK=8SZj@FMiexqw0f@dsLu0mk z?;fWCvNAobC>*DZzKaw%RmchRRWf((;Ba4z6wwH>xhde{9A5Ao+2;j#B0Ib%ng?+G zd))_P1fcOA*)P9ngS2@3zMAwWJzYx6)mqGrYd?*S6PkN)ZsFMJ5bt5W?~@^xK+GC=K_iFl2`uuTzY z-tK+mpj~+Pn&bs1M!;Q)+lBWo+Jm2Z)b^aYDEfbJy#FuO?b_t1?LMLielvL09DpbN zBnrhKV?2W_hZ22o27^gz%=3DGRk7vrHwfw9si>yO%7+Qr+fr!nChb7HiK zF$33<>MNVfGInqioMJQS`Wl_De=BQD7ZhzH9oCc*aCEfQS$BJ@BHINSp14;&KKAe~ zi&w~~i!TK^RTU+&6k)s=XT}U&`HXyFD3Oif+qbO0x8L?2kpbF1e)vqct!HX&Qo7c= zqtDxnKK|hTvsitqV#F8UvVw()EIunw(6RZRFFe%^nD}dLV?IJ&j5>&Ck&suwLze)v zQF+_#vdp5Bt*%o`&qMp}tbM#sz@5@wUEp&`gqAu<{}CO?L(zSGdlq>tbMvzzUQO6Z zS0F^`cPP8XlVTD&%qQS&;T5v3V9^Z_TYWUT(lN^(chZJ@Let{KNwc z3)41jVsy;-aQ8eCQCj>1gJrv4X9DQ$W|W@Jn*1LNK9zstzsPF@{YXXPB(*Y z2M!@uasTyi^(1*D)VfVVAGpwtXEH_N)K& zr|r$RugMA6?a?sh##EKzi~wjH2q90UWp@OG_WlPSRK&GqH*emup59J54^yJ?oXs!I zO0lSRq(X^O?7Wwc}|Mct1H6xRji?EX$zacVx+PHd+v#$ z0{D5cc_an_Ma9VQ9bPT;2230b^?ey2QDpX5BWj}WoZ~>I&j2z)!P++pY>-#<1i8c` z2GEF<&=Ibs-9|+)WDnSUb5f27Ivk1^qF(%hoZ-+B0dQ~hDWv6M8sDPp5Do=tyK<>G zvO`2dT*&uCQ0NqE;YeYw%TPk6rNuQxJC)Yi*KKWb#3sk*#JjvzZOOP_8?(oLVMYD? z;CS_`_12xMw5cmMZO4Omdo~3p$%{k$z$i!Maff^#kqGpJHWXQ)i$u>jj~G>G2>3(e zmgW{O{6X&U?l~AI#st3O@PSc`*C+aQ?XKN@&MTsok>N4x>~52=L_O_2GB#}WGLBTV zQ6$hL{G<2^$B#DQCH-T^Z48_DL$t?ffL|B8O9WdA@6GM!H$QW73Pu2=K0~dX%fp8NY1XCCv`m{K|Xz^;IJmj|0 zh9~E}>Qe5ywYOHuV8v~gN8#tP!jx+J@WX@Zqhvr`Vuu_Ia0}I`l0O_K`sQK7h0 z)za+Jzq5Zpcu}-N_ug>YbA0m;nthEE4hLC)bVf9RDG;ShG^{*c7GO*v0nCILweq~V z83GV;(P4tunZ2E-oREOCW`&M0;fiqlZQAEM2o=Izl$w&xuoBzzoVq^|4MfGllZt`} zas*wWP!>`&%oQM5v;hOT76S2}xr+XsJ6s9fitGMN^6@+2KL@D~ybqL>7sir%GX|8I z${fDOD*{N!5%c^V(j@W98sBo`2S0cY5wb2RW3;p=;jItlm96T_qS)vtfu4)^rfMqUfae2bo>3<(16Le2=J$Y=k>zjWI0AVpH6?DR|uFH>r@Km5jbEiH#-QV})f{qU7- z><{ic=xe~Fb({S)oAFAj_SWpcU0r@Ikt^VV9Pv9IFn>w&iL4qY z2px+M!y`8~Qe5PNMc9k$a$<@CZGA=|$_IUzqYoUAY{+nm)Ekn= zqGq7may@i` zr(8qYlgI|zm+ym-V&0JJkV(>m^sP#Ap~1S-MoQdyv`t@r$M}^Gc(t`jb!JxmjmmI@ zErM{giLkj3<0$ir2$H|>Pd~XFG5?KxLN6A}rZhHmsiVEq&*ge|p#3iq+@{Y@LQ60l zM+|!6n1KqM$AQifnIWfSy%_t39H{ZJ4;IC8tR>RYC6*~Pc^l9>!i~#*Y&%G+|B;?AuZ$ut0{pS6R8@Ci4z%0>U z%E(qg7)6f9AAi)292~Im(Iv}lCGCkvciYhLh#ft8)b=0RZ^I*FcKPxJDU?9XL9%4m zu3go=sI-!oC9eoR!XMfOz+QXvHNT!HF{wTy?A<;6HX`qKadAWa7T74PPfO9V@_3$o z;YCG%aYb(IU@m~fi>Xl*~Y+7(vCAA@_X77 z9Rdib3gtbrg$%+EGvES)8c}Y6XPX8hi9-KmX`is-EZ!;U-(+tgS9-Ai)#z#-v1o zS|=_OWe|;hoT!<{NW~>|kcx1Vf(NdFD9tVH4hVPJJ}DQrBVw-P=FpHcu3kdLIv5m} z#agTb()g{tlbmVM=Y#Mp$n8UnRVg*0G4n?N7?zqmENMAHWhNc*M9V_rle#hhguSFY zNZqlmI=>Mad0IjY^F8KdbOAy_XSN3_Z}7?-1dK+&Sup$dTdN9p8)cXqZ2um4I=V0O zVWbWLNm3tF(GezJy=8Xv?z{APQ$m#SK2R`0U)fU*A;JS+7T}S3x_XU#JbjMY#OSz9 z&&_HqQEO@Mwu05VvHvH({EPNmzx4;|%bW`<=W>L(vEXrUs@*g9-)EyEBN94FUZDr& z<>dc6ea#S`Sv}594?b@r8ZIYR72&aa@Vf5VYGWf4@~CR9uBy_#O6bb$iKI>8`l|Ym zQE0Y~x=Q=yzw?Ow<+HC?f6pEn*?F6qWDAxC<9RK0qC59PwwjxoWdwVS1+~mO0shdI z0s(fdX4Q8_074qUcVp1^JgL?rk0ONvJd&Ntsv0*AC>*8S7-bwC0EVbSY;*^aNcXje2 zF)XA=cr40_WQs~Qs8ZokW*Ho4m+wV+k2cXS_yv%0j?+?h2ovdjbkL$0AW;gbz-F0%GPoPWf?CHPDqSOzLx!K2T z`R0u1RuonVXyp*ZZ!cN%!JQH(MW>lWOM?{B<>T zjz{j;s2|MVFs@*1BaR!;9Rmy|`4&6d_&{5npK-?rCzqJOUrx;|SSRB z17aNL82Cckx{$}vZb<&aJR1GNaY)PYW$uto2yjGjLYSul8(sE9>$&+g8=qQpJY%f= zopR>l5u2G$d65t@b^pm;Yi_{tkYSV}fwj^*20Dyim&++&4xR|R&fb6jyzx|r z`yc-xO8U+Z58Kc!VT;DlC|ki6Vi6RbL2?j&`2?B3H9R3O;*klzqhw5+=ERt6Dp-C( zQ9Kp4mggJ@0C1xm%+J(_0DqhIv8G;1n$B6Dl)O<&KqSOkoaW|MS8TitLQOn5?oH0R zQ=T`1#J%tUyV~1azyO`;sd?+$vD5My6lvU=>RbIjczEmx02r{Siz10`8K6cfS-GT_ zHfRsxXB4K^Yg}w#$^#%JGOh*PK`lcwhOZWW|KY3$UO%>;32*J)*Hs7*FXKKr`2xJ z`3PO#e*0~E?uF;w!>2zT^2ACaI*+h!Q#db7^xY>89y+XcBA)X6=o9zrz4{#?_brcl zjVDr;6sdH1swKCTx8MHy3-<7-gZ4ZB@gGW|>va7dzu(oHx9!CjUsm73#4f;tke)hq z$^jJRp{-aX?CHklvpWZR?U)R?th49NWAe!G-ZHrrF81%o`Ey1BTp_s8(?Ax=Eyo4nY^z%5aZVK50+>+=py!^KX^_ zb5ss?+FgI|X)9JmETge*=1anYyv=;$MN6K$<@&a?u;}xyMD`eG(scl0n7c`OGzt!} z^;2z~oE`OpN=zIVN~jq#8?)kE*4I_}_DIaHLTl&)Ej`^Rx-iFsv$i0E#cvp9+CxI2 zDXDkl2;Lws0JiVVsOU-LaUMZu=s@W-7{zzs2L_V1J(^6&FiYl!qz7bC0-r*`1+swC zfHTSUWm&*axu^duhF*Pym{BLPz zDa{AR)W{8Mk|tZ{M^&0&z7sF@T6Hh2BC>|5Hu#6Sn1E8DZ_T4mQeE@A(o4? zuE&Dn0TSVmLhvF3F)cjX<<8oV${Nq|s9=FGPatG>XjU^*UWp<@9CWKmp>#&qKxDi# z&vU9LY+cdX-YjAU5Mk1m2o2)Q%+5L3P<=v5X?A)}S5){8(^&aMc@YRMjKpKOeTy9= z@Idi;LIoka(Nd;~M^0%ook0-d0wAK8e15~e_Q#h5J|%0GXLk6mE&)v1(+5nt`b38g zs&f|CQg&-HCV*R16xim9S11Vh#6%vM&YTRy4VOj&PFuRK5Y36!!Gc}#TF`_Dpcc2=m8GP6|?DND+D%LV|2qj-~0vtVOqOOu&Mm*iP zmSAdylJlfZF0lp<-kJ2QUl^UUsmTq?%i(6T>Zd+*$m*(tj8a5`LqywTvl`?8EX>ad zL-NKl9*T>O-FvsE@`$!cTXlrq4P5>TpPlYNKsz|6B%E`k_C07Mz zeY$r+3LUqZsX13h(hCrb)Lvg-zdx7?FOcE_9$6kXHzW!I)MM&*SWwEm3?-VjJOuHg|^65|7mCIM`z<~pH?!tK&eA>eBGl9#_<%bU+wfEn9*Nq5<7=eUt z29(Tdj4+qS z4&U|7{m!%6+7_FfoR_e+=)LZ(dyzxl;^ul?8=7?k{^Cooddh%u(vtcI;PU{n$;l}h zzKn0MIwzhJowN$nsDfHuSrji7HOOP!+^o>OH)M>7A_3Ml34Yyn)DX7gZ*6O~WI_t1 zzNS)49*f2T8`2Wc&S6vMa=sfSKnyyGCI{~xw6FZ(Ur1?4?QT0Cl7D7`d?+GmB*OfS zKqS&=r%s=+|LI@-A9nfys)Zcpd(1X$2Qh)u?9uy+z{umpNDD)e1ka((q5#WbB zhV%|;Q0^THHE>M4;6VSn6q&LXh*S&)09nRyLnkpBo-vRCWURCD^4mMw1b~$Fl6eR_ zkYIe#O}twANE(KIg{O;_+(7~0FrYY+%$49U0*oQrV}7gXg-xWWpd*nBX(bK-964k@ zhqNiO#@0JjdJgP)%g-qvul`o6b?=sOXsWUHyY}e1oaGi1GM*F;Ffk#AX=vK!Z;V;> z-d=m}?O7WhUy>KTXz!mJw<}kMgfT>YGW2SnG*&dmdM(!Rgf%;V^oM_Fy)AL+=!E(+ zq7hEnM?d|O77=aN*O#oRuGQ*w|9BLqI_FU%CGTm;PGeJ}?lt07#;jw+F<21pksfYt zk`p4HAh%>6a4f(%WE$O~5|_+GNb`mHS`M(WOOYRsq9Db^HR$He8^ex27$oiwzo0cT z;tQS?oglwB9qhCKHWLlQI~)ms1xI>mk!g-rrvds-`j04%s#(W3U3cT=u*=SjjPcwW z`$HBzW7N|vJ;`m^jnR2`P;uNJIyoSHV4uEF73vtH{xa`NgvS$yDV$=jJ@`$ZX&ZTf zE=Ny}`M5cL_dk(RCZdmeWoBJXqeVaVp~GhpK04|-O8w(A{3riPiU_ZhMW}e>)dG1s z)8D(p1s%a0y*(nZ-zni1sEMF>MV%d;uJ{oAPTMCs!ONrbRJ!4@F<`v&E>d&tZC*Bx z!DGD=gN2|NhjIlLM5Ck}hO;X#h6}}_G!esvFp%27+IO*#R-dP)Cf!I7PG_XBlkzrT zyf2DtZ5CL}%J7k&4i$jD8LJ|$`sOBiUKltin> zhrP7`<|!F+oXGk0dYh0@%z3_CR1wqYDWJQN*tFN)yk*ICF?35{CgHkr`KlL3OiWHV z{Q(aI%+p&k%(_xw&GY?3ity;sBQ~xG29RZp9NeFLHU>&0*CER2{=usY28-k7%^Tj{ z$3Om*-MTqs{&)uUk@9e^VbLK*kTl2x4?ZN?w%VG!MxOgLx16-GNq*b3o=)*&i^qy+ z>^c{Zd_w}B&B^(Y7rJl%eoskx4I#kPV5(@-mV+t5Cn@7kOSy+IJgZe!xLo_otk`Bsr_Dl_CgOTU*+E z5h032S_HrnDKtV!rRcP)y8eMb!`h=~digy03 zTlVn7C)5s!+Wbc;312$peShApN z078A#7^>U|&_3xYXrEvezvS8VNnR^PiIa)-&>8lGWM49uE47V*335aFNTbka7EhvY zfFsccIf zDuSmXHzN;!T%LZ)vmr+g-)mdNY8$$~VlO;1YGb#itgn+f;w|g%?zGCa*4h}L# zhdus~Z3&_^H8y&G*s2TXprfr#w3!w^)j!EdZB2vS9-gr!Gc!ij^BCb9XojNi0$YPkI$Hv?qVR3U$%oo?vSawPkmsJ ze((2KN>dcg>TGd2Wml=LefYkC@PIx9O&6iOfDMw#L-8;OSZ%yDDs~Vo2F-RIcnIzl zX~fJU0Lrs7GoC_#Nf;o{mEK|doX>bq4(Toj0TG=&p7J>sgf&?zo3})=S{`YgJ@MEceZOXG0LiZ1q!bWXc68=`ufDcq z*N1P}?!i7azhx`sH6=O_I0RcnmG_?#IF#(62OoBU%Vtw{@4d$bvNJkUKp`Oo#9_M6 z8>mlA2!Hu!e^O&ec%%Vnp%5}#ZV&(=K9dIZ%~913^v3A9hrAs%(cjS#3|h&a{?yZU z>GEZP8wCI?isN4N)pU;uDR8ZCNkYY%?1#EW*=^qT?A~Fe+`9F4vmjBw>G!e8HNV~; zC9bHI(+76$)q5TSMr9@QNTK93H~<=>yqCiVcZ+s;tJXM)B=5WLQA>&TEc|S4<=I7P z8H+6$;(KgT4$=O7`@CRd&%V9RUzC>JAJ{9;$E&e#Nsic9Qw;J&we0M{_~AK}A#(D^ zb8maL$Vatk{TE;RX?y;SWkm`(ovU-`ug+gnWE_pwSiV$kSLMmS{nmu|)hE!2+M#1j zwz!a!L%f4ZO&so zV6Pm~lp;`Qi7`UoxIgD%v_vW&4|7uJ9^<3nr@5)s(_xDXOLpX*zxhb(XMeBB3K_}D z*sQe=^jb|voo!0Ko9^CajdFUHrWV{`G}-q#^=ncQ8}rOm$ALX-E7BLq4>F(C*t5A! zOK40y<7aUxYnQK#+o+81`{ys%;Q&=Ys=uR$Z1=u?>l-*=i>q5UHM3>k{Q5KYS1;bQ zx8J{FcMsNCtSaXz=%wXp8MJ!){tqwN^=r4~Y&Usv)!4|GueV~C4GcD{gC5Wed1H|= zm=)%LsYVW4r}6lXW$80?BBjRpNF4YSoM`hb5z#R(=D2VMz*KiiFgh{>VeWdYjDg7%7GlV632iX;+ zWE1mil3PWb@q(SXXQy>`Dv}Y6(HCS0hXlNAYziAx!*AplongL?>)VvsZT$67Q=!-VZ{+*3<&cnW3tw4$&V4iL!m4g;l={9{RQU} z8n(B!suS|`bi;+^B~N`Jn1C87s5@jsuEEk<157+7nNH#0B!4lqsAgqfip4ZKnn( z^Z?)x?2G3v*^I`)oEyjx8~}Nf`U_~F08FUz9RltK3uB-L$ZInW_!qv5+VJgRMW^j@ zAk=@g>9G{o>U^pKeZM!oMvBI%`!z%nNRtgwL{-L|8* z&3^Xt58L_oE;?|8d9Ls@U-|%l0hjgljLlBZOHrZ%l0iFvamX%S84{SX14l$an$R`X z_V4~*ziPktyT50x%^jkB(#||^znwq#evm1(h386o*}X#b7tfAIe6$Me6mz(j$}Z`6 ze;(O8Pe_+ZVPw2$BO~RxdMhqNJnjw<9wbgsg_uR8;>J$o&TqygPbEdT752wp`xE=& zUtY0?P9OID^E}n5b1??U8J;Un60%$dG=Pim(Y5kIThBnKNAR=k$N^aJEh{e?Ucg@* zG~d=svQ3f0;^M5Ygy$MSf^#^~NhYPyQ&NX4SO+x722j}u$k0dTU`bt-C%>7zcF@l# zVyfmb;iTNdS|)G+xq()E<{rG*y@IqJyB+}2=+fQ;fAfj4-~EFo(NVIe2xjf(gvO=O z){6)6h&Ao#vF3aC*!7{CGW=UM#3nGhFAfsG%CZk=1KoTs(0LB`LU9vnS{1m9;JV>hHa5Z@oHhvn!(GO5Qe- zd0|}AUVr35AuWK z!Q%a?=|x2;y1$%k@Rjve{k@GcwiULp$|F`Xay+tjY=67P5VMOHu6U6bi|>&Q#z1P9 zF@Yh8)r90DqkeU{gVWxwXiu_!?7k1?kbeKG%;#6iC{Eiq|M)xhjcs6DanW>Q9Qs72GAFnTGVyztUVEM0_a32$@Q>X z1YR1u+v16nisNV$LDv$i0(XI53}K+WndjRtcofAtp!RkdO)(Xr1JLj&DTick3xKX@ zs<#(kzNN8O+oSi(Bd$UaNwu($Y*7l{C_$#GsAPZn!mN$YuBxxByQ&e>NSTQ~(irxF z3&ug-xfC1_JapG#8@YAcx@9ykUc9JwV|LHo$L!4gXYA(9Ay2Q6D@P#QP)X>iY7?%x z!6Necahv}i#@;)?&Z|22UekN;nxdJJM!k2*k|lQ=8{DwLrV~O!NWCGD+>}pTlAA&b zfso4$2_XRzLU*^^t=_vvGoxvm-g~d#^IKa74Bz)hUitXUIp-~Vuf58%)?RyUy`zXZ zXdCVEk2=r8W_m{L)xD&cnDe4jVVFw;T0!Vy;9V)4T4t%WgI``*s{KKkYyP zRjsIU`jDa3+B<5abD7qme!HMaiOkN7SxW4p(}nFnmXuVwqGNItuNY;5fC4hgN`++0 zDuiT*MT2HbPR-M8C>zMgAQ0yATG-F1(>^70|)NfbP^B#eiU^O?{w z>*p3lzX>UFkDE)urKKf1de0VLQJ5AFAKIaCQGO)q^hgfo=OHkpiFR-0htK?j{pbfT z>fXq@42WpM+6v}4#uhVedLrJgU2Cw-o8*bRCmU!F|D(jcO|Fb2FS<3tiwvYBgCfZa z)ycX5UWdndCtDPQgvWxHiopd)us01L4fz1@Ft3MFOeOTB;RI+gzeLy)nINGd67Hd| zEIdcaGfAJBnc>^w})WCA!Pvx|E(p7f8u z8G{=&4Yp&?&$c4q$jkaoJA2|HyM|h##&oh}(7GCKTIJ65wkTeq`aoKFq2+C^vg4Q7 zvq^k8EoCXCJuAbF%mXr*N+8Vap?mQ;G@>*R2^g?B$rx;j0Z^IN`}B0Ib#zVIxr@zq zy{5}9Uhc5V*E*#r+vH)F+Rcsu8yJ=$>g~6|VHViNJ2<*l-)CCZgzMXIqDr zFD>;Xfdma;9kqzcN<18f(BsZCZv&%Ir;NOM!at1ITAoUh zrKd#MhE!tL?2`3)O4T3>gUXMb+lvdsmT z$$I9L*t8AM5;7La95r7*2caq9L)aHX91cpi=vm@Rc$62U#h5>MZ=SvIe6<@kKBELN zES}Jyb}`2kn_OULF0|R&sw``1o41;47pz#GBJJUhfB^lczw|#H(4P4kJVykdv8QYf zM#0CAzUp}n==387oGl^XWe(`a-dRk>ja z>013d+p>F;os{>Xhg#fxjK$BySjUO0Ri>rJ$g>_){Pd z0|4KJ#kzq8Ql(BW3=ttt#)o-bz7Jz7;HKZvUsEz3?6S<9$H>TxHMREJVoaiSc1X#p z@0<%D6_IRoLLgi8k4q2_XsI|M3pPswGXXovA5dl7>X$)v=v z0EY2$&`A+vPx2OFJwP|@XI$`H0S_!pMocM%g|XLdB$we{&>Eg;lo7|!;o+iNm@~xK zVfaX#V9=O1YH4bBV1h1V^U6+neof5b$;n{Px8-H>ZUmUSx+x_jKGAdbY%7tz4i#Pi zE91Hz64uFcCF(-S~FHTm!UjDWnT;y|@x=9}CQfhuH_5LA>6!V}qzu}=tx zxh6kHu6%n(m#;cQ46_tN@y)N zufRV3*k1KZUYgpZ9ym$^L6Yj4oSI?h&vsaAH`}@k#mE57OF$xv%Mkj}p)tACi`Fdk z$#;8p-)2n`EOwsa0nBCSW%ELwF{!?x`+$GAnD*c z4)2D(L@`7{W4<0oSG!T-F9{q%$2G3(L}L#BTlBkjO-e)O|H>ynX-AH{EkL4YYTTd| zN{LBkJc`^rcB*BwK*py~Mqt1d(iWM@D0VEnijT>)f)kbLCC3 z;5W((wD$CbQu?6B;o))5Zlw5TQW9Pd1y%zOq8Mp6v^;#|xQ`!UqTl|VPuk0e-nKgq z+-@gMoKxGnh1`my+$N-aM%71>2SRub9-_iG#apRH!TwQHhldvMVnlI69{2?M5lVaR zg-ad|0j%JG3sQ+#phEFC;XIL;FtrB6k~5dvGta&#k1)@c+WhN~Gm~tc?A1gpK>s}Db zkiKKgrqn+ca`KsE5)2&$S<}+P8ZOV;BRyQdWo4z=&E^g|r)n+f@p^mOZ#@kiki zPEL_BWs;a7JfYb8kP15AkMLUO* z;zf$I5|#`V#3^FT_#`Fb0O(n2FM7t?E1*klN#>vE2OctX#@l9j0Esgs)}TAd>YSRO zntPITHB&KhcH?HdjSNTG#LT3iCTrX?00vMeo!svx-9TwfKBmv0-97FF45B93M1M z9OkBxELervaj6KAl`JXNh0~TTWW$;h8p8p4W(Y!M1sNdy9t1>~P((o>{=4_5bP&iD z1A_3?)z!Grp!k{KZMoU1cE`AYpbR(%!$z_IX@@9e3S6cor`yEzs9SlgIKo7({Mh)Y zEvsDS*J8oh*%r@$`5@}ZH8wU|Q6cW9JRt^3?E^ufY!N0DA+9I;oRIl4DJp7YYVxVDALM||Q zL@-?-#Y}{cfL)9uMu6Wr_+6OA?-O}GzX1+BGf-o3<;rCbMZEugPulhBYi{T%lFRxa zGLVR0W1J`%)j!y3YuBxHq;%?1js5F4j|)6x+K9Xm${F>_Bkkx49xp=5yaomZPnES2 z$*~))f27X)185=qih_ry=(4w(clx6?V;~8Oy!`T;9nBY${_$|JPFJqW#{+{hAzrl4=;n@HKRU=UD#~F-Vbd!As)V z9PmFW)by448S2TwZ=4J7GGVRec-ulqI~YaiitSWf2ioyHh@3eK+Je&I zcM@p`Q-|u_%oB&qK|EOgMv;=Zz!DE%e{xnkrmeqilbv|;yqYQiAj`no!l=1;J9n+t=98h3fLmLK^@x{ZB~OIrrlv0qkhTDkSl0VIOEE8*@W?+3z@2TsPHs2ciz$W0i-O5k9(aK81$0T zBImExt{zL4XY_#&-fmS{F;KL+)9&}KKXvI8gqLmd&M-I(d zpFj)7j-;wx8wxEiC(GK~TD>3v_h;wrl`E_KZ;?&clVUDlBfWq;q-CT!_+wwX^;<$w zXpVpVw`{ei*Y3IhA-jIP*7au0N8f+fLA(jj9g9^R38?{envQ^QNQ;IzR7;S)b(DZ4 zvKWgxWLRA)SL3ljK(2GNrO&K``0w67)`d{Q2tpI*vZ#^m8Ay$!J{9gRi|jygxPlPC z7v+hlgb0$8SP&OuRCLbObz$J7XvJipJ=PBJ&fM07gcp|*!9k&2y;iN?DFGq@m&@PK zbi?-&!idGtP9d5>84D)98ED@?EGga2o^MmXQtY1F^WBg!R|PXM;m&;tAuNd5o_)R1 z21ci?rKQ^@g-%h*7y*iP#zcdD=cO3fYMFhc*f4O31gYDqmanv3yLJjWwfg#pCK;ca zn(KDpzyaTctyfB$&G}w>@p(7acz^WSy(6_-Tt1@%7Y^?e0*m71R$Qmw>l<#!1KDqvE?@CBP?WX2qSDb4Zah^5FldA$SdWmO zyF}j!sr8TB)@>VX=gw7D*U%~i$XJF71k@;GeH$Ju8_>lij$5e=H4ACo>!6Kl4@!xzS^!Sn*UD8^Uqwj3@d?&1&e_vxi!0 zAxziZ-QylnPHwh?LHa?h!NH+n4=JZh0m3_k-pRzon4#d9KjWG331G9my+h9m1(T^= zN%9AZ8s*A*vdF#Tg`RIT)XOO3t3Qw`_UXO?7QHrv5X~3CoIYE-u=Wsye&JG|mEwV{?|CnIb$IJRJH;W+HQyEK$gi zLPU9{Nq3OJ$~Ny_VL<(IS$5!wx69B2D8<{7Z{5oID={%cx*<+9(YeCCy}biQJ;#rH z^!;}B)JdzU@3X$KS!=9sva+S69!+VI_vq>M;t`MNs4X$#L%f*cS@pUca#tfO<>M$|X@ z!TPm*8<*-Hah^!Q`X=;wQBj`XlLQ*{7fWIAh*@%wnwDY%qE}oZ;mL8^w!7WW<@nBb z@@4R(^CY8#(%&vO?|WqT!RDrB4Ngdb1&tAc01;t*2tPp(+(@<2ABy$FqSIlobfE8H zSgazRiti7jfyB6wj{4udKa-He`7u0Ma%Wj_4Ps?toh>d3n4psq#|7{lz8TW)}3+0ve?z_z=kcr9PchB8!XpSE} zX1n+7a^`a*qywg9TyA~vHn~yJ?=UY1=jvM*LIfFM!Lwzo_>Kcb$aSvxbv_6(7%A?- zvveKw(D&4yOOP@D;0KCWR`LNFv*_ieACg{E&&&?k;m83HYP(e z?CY9Px-~U5zKR@vA>^lF_xTvYa9ADhf!g6-gFes(yab*R2}3gOC;~rEpO-IRA!Io0 z=pOHt`O3-Z0Z*w%F%3vrL4WrG9Vs)n8&lwWoGiaJ1 z!^QULgpS}<=L6}B2oFPNr&Hx1m*OHIc zR(bl;MT2@KMhV`Sm;7(owA71{E-f#%>(vd`)z{@ZhB1$qVQ{`h)+8nvVFW=#@4o{U z5|h&8J(<0D=(4?Wro|2)skMqVRhB4q5U2CH1;&WDKnunqRdge(4gJV+i^^pc-WFt& zXb<~{F@FZo2KbP&?|M}LC4|sKT-NyMZ#MNrjyadq>vLz?euh8@6=LLkNd8AhLxI~P zuMXHFPf31n9Y6SCvGw}=(S!_qSgRNF+A<%b_R0&2NwVa`bQ_&bvZLo3g>omYyd+mjH(myx z#gG~^oll`z5;*X#0EfeaVKYtY?O}je?**EUk+EQ%415YeLx%B;@S0dgL76RfgC-<{ zVZqxEDdLlUF2CLm#@^VsQ zt#oan6;*DrvlnVCBO67|Trl@Wkpcb)wE{??jXEp3Ai3ABR~zBc2G9`ozYEBoMHEhXwcWJEfdvsKl*jF)qFXt9TZJ$Uaao zFH0I2pCrjfTU)1SA-ye~G&Qv#V>@o$gA>-)GoKk;^{mMmL{3X}4+UgZn4jgIlfa=%bc)=@jC4wnj9E!>krT-?!{mkJoaBaNe`EIq-Xa_V8&&cIge}=-)=${A9Tk+ z!SsXzXQep88mV}pxlnF{8cevyg+=*77rI`*AsjF~K_OgXgz}%h@rEtV#aL-!qR>LB zjD$Qc(To10++Y&Ii)`us@83HqA(V$8!$27vLF`*d3L`vY3$sEd)5L>cl+2Ka z=}=NvuT;xel{gx2ym7-*j>m*pFt{Ll2A_qXCiht_A%RXZSK&3l z9YzSn#Fh+rN_ZJ~!Hhr269B)?u6F+|S^5J_PSzRoBFk5taT-L9p3b{0Msf<^RD<*k z0bc0>Lbp^Uh>Op+^Ovt#SNE{!JuNw5ONn_8{buLpNe9i@f_M;P5+y~aZt3|bK;(=N zFJsMGuQ1`yJ1>_VUCY?wMKV{+d?NrG-e8FaG+0tpVB?Z=05-Z~%a$Vh-QW43_%K~E z60df4N{>a!pvBwEFCCSk!YhfgilPjA?d(r%zV*CCC1l&DKl69?la~+s+RFv;72^&~ zm_sJIlb)F&FE+vAG`6oDtG73g->@?m`&=ibB&*E=F3eF=2NdrW;0b-x($ioy>B<12 zILLCP4Hz)ICHUs5Xh^+Fcn+YDkeDKHHe{1?YI|0p3|P0N3ouj^XIp(+mwWI4Ty){~ zl>#ifUq&WNR;GPEpB<6-{Lsf22ZIuv8qu3Qz$Aft!oGKUf61CLR` zXu!l|JYir+EEpOak|7OoRP-cikPsf!0h(jZtG&I==VCBG^*8Fpcx-K+;zq{jlyqN& z1eX-$M>q@=>T^wEC_+3a7f-F0Cx(|36Pw`$2aDvc-?gvMQiL#3s@w=YLl}oFEw$dx z)lCYGueQuI;{OTOH#DUug>Vq-D*Af{gm@Ocns}1$O*8BX4R~9i%%1Lk^?AV7u3hUT zac+~h(I;g}hTY}M7j6Ik{T{x9DG@I3NyGz^;e!D|>>NJeq9u()2vPKItn|Bww{Cd^ z5v3nARCw|4rwSIf*L?#54MNQkQL-2&P&s@=x;TYk%SuaROqqm@^$a=^RcJT70AC>d z7=S%{cH7&>j=HfK8>6gGl09(${X&j)-fn-Ap2zr+B2K%Oie9vdU)?CHParPR=Dq)F zOMZTlXIaJxBvn>Y8Di3&eyGxVZnnvwWm@%(P7hx0+q>858fx7uXYK_h&OPWN{ewPE zBi$cQm<88(V9cxXc~1O=B4_TD)O8Nli9s6fGd@YBkvIuBrHI6{Q?z?TW6xqleaBn| z93tA!N0r*e>KnGSh^-!yJRyQmHC3$XBZ)pKX=FO-A)++^EIBpSax&*^>y}FE=!vqo zgi4o+Pp9SvEmr^VY)CS~a7L7Jg7^~8a$H86EJ+L$6aT?@kYFTPmFxj_phr%APFO50 z9wjV=X9w>P)+URU3MeE=FrIitWD^4DP@=tkJsvh^c}Qi|X{S%*ICpNPduX%^uYh%k z@CS+%xsHmRveOrv)nDC927}#+qcQwq@4>+_fwn2}*ob77Oib#&WxKINmw1^o(Tv5) zY>wxj1#&q6ii~MsUwIvBr`|;8Oa~f(ZbDcT7$x*MBp|SB=PtW+uG(II?VP;%c5n0Q zl~rD4yt%o<`q|b&G)+iLv{#OP*Z$?N|I-G08*SyLt@h9V`V-Mi#z^faGUVYr9WGyL z2mHz$QgnihlZ-!83}Q`dZ6CE)-m0-9r`qgV!=SBSyFy@A##=fW{f%KGoQYhp4Ms+) zcyJVtKg!ZGh3i>PN=|^`R6be ztG|>|f$2fEcr|P-0SX=&8TZP~^q(|XCQzp}aNqvVvmW;>DNMEeYzeu%D>tmJba)4q z&1-;JFnLx&GHPudokn)!@Ce2lH#;DL&|VfoCfc^`TRkMVZrwV2@x>QC<8H%-O~yVo zr_Y>pp`n8J_O09Os4FxnZYms;oY3ChYPav(YrpXuzi!|7#=p99gKpkFJ&%5%R5+K+Fy_x_ zcSS|H3`wsHE&xFWNeVtzJjG--^Kd99yzCf_(XKTGR+KJp;zYF+Tc!v=)nuV@DT38& z*9i%a__N@3`oaBqp?rb@3$&%}c$x4pbbxjkUe-V{M;AhAeq9?aLlbZR z`_F&fj=yzPaumRxuRGFviwt(<@-24g@Krls-DG>VtQLYz5e*W2euW4G1_PQPdzdz8 zF)4nI62InVEDF_U+Syabt+~F#)@|DT0%HlE#V%4Ztx`s2^H!y+2>HU$-(xe6(7CY?)OouM`W$T5ms#Oc#89j-;ZnC|+h> zQ?9K`7vc+z|?_2GS_+CV4_J#T~ZZt-HbZ{J`$ zcJH*!o44E1qvx!DV8j|58Z0p-!;LZuA0F7Sy3%rz&RgB(HamLyiuDal+Sm-CDx?WY ziVgf9f9PEd=audC1@geJ7-nb&JyKXGEggo^fAjDad*f)Ey>+a?)~zb`zI!nO858c! z-SPT-cLt3iI=ZW)OGc#EBVpOu>5`ja>Ca5bm%xUMcvF+Syr?)CoG4pURbV%oM{U>_ z_0y6C+q1dQljMU*H&SFtfb2TjyQSD!U>DK~K}w`c z`}!Xmr5nPWxcC+|C&I=Eu?$$*(y)~PS#nF3gu;ti*%`WDr-&;QpnnvoY}04Lbwe8P zQdwM37v-DOIE)QR4_R{Qqhf+m0OeEG2L=O~K@b#lP$YC0MN7p7 z_9c<~s^36uvpQPnb}DREOB}vyzw+Ua{PkFfA6}jN=k=n)wjGp z4L&SkBcG|2huAwTL103DK}wk|8HAQ4vCK=^90?T?B#ki62C1oAwrp`U3fU1s(Y;Uy{+(Y@ir+d zMSH4Ntddf@VJoUuSRbp+#V0I&MLA%g01eBQRoQ?Pl-S75Rd?8x771HIhVBz>Tk{1v zCI@VIG2V?q+(L{5Gg%06`lp5*8UX^RlnfscGL6s;qXasgmJ&ulUB=W83>}FC@FQL+ z;SZ8JJQO4vAA0qi%{Xnt_|Pxb>kt}lZfWr<6`5JZcI5O8d*kqRTeY%8_n_}HmMHHA z;JGQQu!Vg$~LYmw%uDwY}2|N0k>3p z{L$Og*EFwRH!(FY1IuFO6q{U}wW;wLUAtg|BW#j6=5u8r^F^^PKo#0E2cqFp-{jM+5INFLLTYb|gdM5Bf;71A4l=>38^sIZ1SxAd68! zp1Ch{ATz6zGf{Tpe2cwxyunVNY_d*_{ff0VKQnHF;)fXl>m+$<@@d2|Q_`<_mRFD| z!@^!nc;zzsx<{|T^7Mk_Zf??2660)TdA6Oo((9@I=m4ft_R1g)4fVe`jKbrc@B}-NVt8OykP%@$R(-R|8R3U{=s*aig%r>JUbd$Q6&ps! zZlPjzh&otfBco!5D4)QlS12@A_A_~ia54~t3RwV4K}S-h$>#C+qy!hVMee>U9TcqY zLGlB|MF}Z*c_R%p!V$^o=jF&q?eS6?QoM6B^R7rh!0eGi)>zo$Sjt|5riR$ReB&({ z##AdWO0nX?kaA84ae9huA_=PKKqlVB+D?1@&C4gPN|a}YzA$+!Pia=5 zAvPf9R5oN3&(G`MlMk-5vb@nsrOo*z}I-)=Azs#*f9iT=O1pTaK}L!B7wIf1W9FJB#%Be5rbXj%pOYi? z9e%uC-D)RKUH2#jvO-j4R^vY_(PCe%PVpvd+SaZsu$Z|Hd-&1a_QM~Yw)5w!Jwy$- z1b7q|7ueX)j5RlO+v3!stu0?=GjWndc_V;9w?`WLpUIN`cHRr}3@r(bGya4ziB2Ji zWEd0nX5D9ZcmFL}3)#Qj{j>J^+t=-lQ%!d6%njSMe>am!!i!;{ES@CljF9J*OAV5< zArH594zMsk=*Kj9JLM%A*3==|h(FMCZ01>-J!9o^7$zo}2MxQ=5&@W)V(q4QLhWV# z3)vy6lp#I(w_iKu#+R`3M?V@CvUB{?zh+shJT`O_GDu&eVJKb@g#BYsM0h95mW2hR zeD4ZcUJV9|1P0>qphRxaEv2$3B#CQ`o5pt_WsDWV=LCq4vHZe3M`YXsca*wigxWY@ zTVnRL&d?jqV*GgLdydGMb40H9&w4>$Jn2(s&gwnO4K5{T z992ok=QXY^O)cV$Ft^6!GO7P>zVU`=D%88O%Dq6w>|GB$;Lo~p<(kuh#P6pe>{ogsi&T@uI?UJgqT$N6cw{zPd#$L(h_Iv(FeEtMvv@ulMo$mU;f@X z`_ADWHCz1=;LD63v`@cJs9hhs2Kwywz5A@AlNxH2En01r6&31(_%xc*K0$HPJ`_Cn z$jqVWFd;4}3y}n#W$hGnq@T>^0bt-+vXt{S{#H)UVJQMM60UO?cs+HSe^{%Re7nk zwY8QdK$a*2F`{Qo2{`r1lOK?Ar!E=e%+>=i0_Caz1imO*?P3m@<276FDzqm5H6lrBuuI{ zQB1U;-#?oEtlepAw-*dM$H8$9bFI*K+$+Vt60n1rx>#@eE%) z*s{N$dW1z957AeG(|r80#Wwc3{LV|sM@ez};&mx+=;NV9dA193)8HHE&k<-DXvdU< zC@G$kJd5UK6=nA1Q}4Ikx9ztH87<~yqIEA*UuL4S?2BJJY@h$?ar^WCb5dg^8HkgY zE`Ax5-YYFzVr8X;mQG<*(cUwu)n{b5Tm6Vs{vbMp7npJ@EJqH2>vFZrVyIM3b$Nh0 z?OXKzEvPNfjYYAk0!RG)&ZE7v!F4&ni^KKRV-IdUh|-0*aI+9F=9F9^&f+qLj3SH{ zBM74rE5I6ie@5LBdgyKc;ero#uEmLkU(gE*w~Ek zBkf>tC>%*P3YC429&M6vCs;{Qtd$j~dR#deUI-l}#vStSSa;OZKV?ro{h-hn_0(c) zT7$zmQDPt#-BI(*y)g;aUMafH?oL;{q??o20P1G)d&}l6J|RhARd$Pg_9xE@iMOlG z7@J^VpwT-8obN#1&>pnIS}j6tLAZnG;}f|&L-fO&3}Ax{G7=s_l>qJ;IiKGHoD0eX z=DGFJuc2>|!zbq5V3E}{Z(Fx+bMLse=7ygOT?wyNRxb0h^rVM|YU*(suPN)rh^TE# zmzK$Etab3iHLQQQQCDN1{oH4*wM8DJ=(wb)(27fzSbSov?|YM=zCbrN{5y8+#H|L= zwkTi2u`o7ZPd`*;Gs9E%lh-cl>oomL96Q>kCKhZ&UI-C`lB@))EJ!id5mvWIxyfKP zv@}^lRI;ZmQ$^yAJMOTC#yWWt#S-ELjZ>m{6VGSf=|(jY=){-~56PpFrw9^7R>&O1 zP&3w$580!Q&<#Fby?T|00P(^^$y+qnOJmb?vrXe8#o)H*yU?|wrk58 z-9|JX2a#`2S2#s z5}-gqGap2osZ|N@P`H&^Y>W}!90?2bAGt)=@J#xalanP{vss2HA%-9J-< znVB;$Z+)|+Cl1)!)tPqS_VxDA{RgC!H`v7s=cR0=pQI<)8V7Jk1OZv#IYCC)_><)) z3$|;=20MH1sx>qX3*g2WWq+2IEww>`bk>(4gH%0Wph7He7@vp#tjlLEl( z8N~F!l-dq&dRr(%TxKkNsYDO|~smo5D9kxR!S}i^)%SMMf1VUzH028dGf81i@(*$BBEJgCPepQ8C zsq6UZ7-Z+f+lo>dF0~PW?U6OLh4M_akxXjlG;6ABb^e0JC`0Xwwc7d_n~OJJNA=+k zhwaZf{`gO2j2!fazS6?Vf>;PeD28AA|a&j^thOcg;<(l7^105gGNAy{yeXQWVP z)d>xhEGaG}0z?&Wj0P19NCRzZYH|;V{cyz6CQ|}KMF8%LP>%YdSXLE}+Te(k;G)=)0I?2Nz9J$> zk9*-I@IuKG7bh*2bw0FbOhVQmrF8L9i>1lPZrQX%3=|dz!vM6CEWq@1(%Wb4a$}60 zzgTBy&eixTXVOHW6D3N{bIipp{u=w;s@ zx#yi7PD>`PX*cIFHVmc@sQ%`A46=B_+eRMUvsW2F`P@Meh;j z=X2yY4!(2Ya52zl88*NL->GwY4)I`qK(Il6ixSp8qh?pU&=qr)gvTUSUJMt2P^tcr zT}QG5*KXaq*|W*IBvb$f`hc>#@4kEN3xE0ryYsI7*4Wf&?|uAHd->&;JqhNKhab_n zb=%tYYplBVx+~MgIT&8eqL=7IwdJF~@-h3XzyH4Vj5GczQa~~!Nuy#5Hbc~SP<=p3 zczAN&TKgxgp>xU?hpt(gYjG2;wsmv4)d}GOR+E_Y9RCh>8$`qMq zEloyeWJt=huUCMf(A&hh$S>_?;rO(`6!*nY0cbH2+@DI;BnY|Jt^pGkU?3 zCiML;mJaG@z1{l{DC7W4vHlJ(&-qWh!V%$7yfXMaR6Uom7Ja!E&f6>(->o*y89Tg=>%Oa2lvzfqJnh>mEq1ZX9(va<8JH1YG(0uMeorx0UQz1F zSv7U_8qaC_*eBj&tUJuhE3&%l&1%DtZQr)Wa`Fo7{H5~;iO=!e_T^fB^cXt+n0t&w}WNo+V)gPlSHxKOGpPAcI7J{Lp#mPQd=ubeDbci=Vf* z-g?>AmZsVL``25^l4PrC>XOmDTWzm#P)}rHdVa>_Ygm(bx1nR+&eru=L%V=PR)JAc zi09;FBv^4VS$1PKH6JReqnGz@D{~o7OHcOs)%qJZr1vtN_DEWrz*5*`m2E&~Eg`kg zUU==Qc#tgVSo`pYhCH&&=EXdA)97Y4JMT{gnaW?ORWF^|MW>jK~#vp zQyG|Y7i_eKurfd3#ryD#9QlP+yP(iHDb09Y=gL!UVeuq;YlO*8lr5V863UpA0Sq#Gou}3g2;Um`vvHz#P123+?1U0r1(Ms{>_*a`k&h4u3{SwPp^6F}vfA zJFOpqVeO2Rh9_6}b9sIM6{}XPup>v0SXl}4A)~f=^G2t~@NmB?WF+b<{MM?%rkFVQ87st{Y;iyYVy1-U6v`}l<&rq4FJgitE+9$&k52cBpcn-^~^8z zdI)||Jk!?JcSS(sl;F)r<`i;y+Utlb~gSW@-02nC3q5v}A zD#!Jn`FUYG8Mr0LEIO4o5(~*KTxwtW$8XroRIGLM4hk$4S!*|0hHUY|t_Cb$fR%}= z@GdV)Z6eE=urXdCrKF~br~ry)r)cg1*^LNfzti}j$@fQu!-+YC_ySL^~)i^guAAkRy2dQs_Ab~Vk3(-F? z2ne`W4QGx=%!vU(I3Wlx1{DT?dGVZR z6X$U)zo95-V=yF{LRctv!X;$ng|HM14lQ6b+<)|O_fWJ|U&n~1%FU-V5NW8a*}(V_ zsvEVD(Md~+kFpE3@z&JRA^GEbF_Z?)6_M`AdJHn`sP%<{9-q*_-6}wek@N{~4Su(1 z0^(poxoAnD?@UQtI8l8;NzrE72nvlD2sak+DldJ<;Bt;n80st9z;pPX14A8Q0?y-z ze8#BpU4&MV-#GZ*|BhU*F@omUL6{w0Sd>C#Ql7<#EgFC3TL|m8m&oYqeCB&7tc%CE ze0ilKVb)vy%CG#g{l|a&hn+uv&PqzsZS%$*w~EK^vOoEgKeQkJ=tq_zw0iO4Wd}0X zYwGOX54~GPYsBZ4sNP*(xx!jos7c4KX%j28xH<=RV5ugFn% zZL@U)LPZng5U-7}4|8KIRtF$r;E>Y@FO%uz(+Dz#e8*G*Ad&CMcqP0xJ15XPLlpxV zczJ`l@>H_&(yVf2j&0mb*qHgbC>i?!`_}jB?D7S9rqVGz-2(!Y<1z*)VR?mW&%V7o zY;{$c?bxx+e)QwFbkAtZF9iHdN(ZrylPu8y25BE27V<=f9^q?BG>vL}$2Gnfn1bAV zUp!CDAw@cgq673LQT($g+Tx+IvjAa0q6GLzbGipS%;Nv9&Tjj_Q%^}>X3AUdblw{p zpSKzrO_9LY{t#NlXk)}8vdxqMpaFiXs#@l4n-WO!lG4FkN=Rwvbkd8_6!L(2bTS9~c06j+!VxUPpAhwS&@P%V8Myvp)>O!aq zMF(LRZq9WeaduTL5~Jdpb-QPj=l8~2!WB<+ln~aTK&)B4nCzXB!l#oca)`mxFi2dB zkwU4#*f2N@8j10e3e6l*3)Ksxh>&1k^Q8NNtWey91wdbf8W1!X2Ls0Up&eo-^_@X$ zZ0xYJ=bFT@^LF=L>upJnjB0n6+MMDOy4)A$ViUm5-Whx0tlaA0M-K%oVv%gm;9T@7+7n0loMwaxTX^ui?cr_{=%X!8wx0o6@*ouwbHa zL^)yxz~C97$ae17>6w8?j~;dO&!SX+K5br{cciuN_B|4~A$g=r?AWnmZkR|^sI9$j zxmio(@m#XK2XR=&vlm}@-VXlBpZFr_GiOeFzXpe-bVjMjQ0{zU^R2c)3TJ9A);gsO ze4<-kI4F2AM&JuZ)V=S!Z;yCwO25yFud8JYFS_FX_(wisb=PY|jXBFsNwCS`E*q9I znVS<`=VXjUW^S<{-ondZaVaR5v0?obUdEPfTO4??-s}s1{#*8sU;Ss#fUBse5bsR6 zJQB_oBnV?A9%GFa0BPm&blbfy&WiK5$e=b_VseJvZ11vr?|P4Y>;Ha3#$njjZ`o#l z@IQWEw4n|}q7>1nM=Yr33{Na6$+Ci?G%ps)To2wDyh+;_dlrkr2ZZdAN#qK-haU(Z zkzq#Y4*6uxM~$S%>O+=)roYTXEnoTbS$40#lIOJwOG=8oek8Kb+z`inGY9Vw4o{7K1*60u$J(fjOm$tKjApbGxvDJ5Vw3RS%oS(wreejoZ9b<|+nHdS z)~~dVj%HiEYPAq$e~?ABA3+(G3yz{@Q!cV;*wm`3a+#EInWGq#Cna$Xz4D?9F73uZ zvJX^-2A#p@pqnrihKa)&UW0{#LkOIYbS>BO;`iX~hO9ltiS-VIdz|JlzTOdb5IfiF zqth1pgmXfgZ{+Z2>U%xXl@;e=3rTz}JxdhKTii>m*&RV^4r5BLx z=Uh-t1k+EKpd%+&Q z|7ii#1sfV1k{81UkMWY(B#$7l#01&6aPg`&w-C9QvSAr8wu#A=!UhD)OioKbMp>Ldwtz*0d*(jRLm4*pgYaqTl48qR=(fI=YP)SqmA(4< zMF(h1FOc*?;zINSU`&J+y;qm#+NQN-p1CU3Z<%SqI3bsWX;~&fqE=i2p?T@!6^x0_ zXD=f2dR`M{WX4j4}s5^`@hRC*4np{z7#zJI`5Gd=LY1J>2i?sE;TZSCH^cfIRfHaZrvPzZfI_~3(XsF)iee$N6$ zi~>T5A_$(6ZZ1j0CB{f$WN05oCo0eTWfdiT5EZO=aYf-ATpc|dF}5U=(#E`)68-^(w(=m?9? z?De#A<-@ffAn{f1&Z9DDa zr7O-858t!OlH#VUYhX+~CmN}%thvk2uTa0uj$LW6I4K>x0>Bo4Y}?i?cBA3C{p1I) zT7rPeyYJm=-5n%p3|m_4m~B{F=wpsSN5C%T@qD5>APYO z9P)9N((sQum}lUqTv~1maRjE=MkU$DAvT^+Ns>MA$oV`Se^4QyzuwNtu_9v$Z_G+3W3o$(Ors4yu^5fH7a=MbKd8q1bIo4W7jtt zKi|b!_oN&WV~tGkN=;!~_6&RLwQ9R|vE2rS8q}ts!{QU>?1B3qw6V!fd-KhsZakSE zZ*K=Q&WbK0;vWHH$qtbWGqtC04%?zWFyAX6NmWjOnP4im?4?2i=odE*6p)(6POwaJh8Q9)U6|hp3JPT(+;fr% zL|7D=2m%9hPhKcC1|l*z7!scC--+1KY9I!Pb2*p~;y$>&!x|taTM-7z0FjKr{UYhm zpd|O0bdQKKWo>|l-us1tbwZ%v`h+mt1y1#fwJ9PlZTP2ee9xvPlPp)B+{&sfol8a& zp)N_nEoDF`lf6f-w?tX>jYe@AOeW+jw8O+d9w4fb=h03C8)2nV0f?RJ+1da`uD*U< zN~_c7Tu@N#%F3FfH{N)|lR<(p3J->nQTp7Ae$bwvg7urm06~UU^d0(gE#Ld0apXJ3 z!WANPkkMd1g1ZEI(M}9eIG1ZV*V~}aE2>s{`5Mjzsrs{YJq0*1{O|=87zjgg-O$Le zkYlE!f5LME1ASg5X#Ki%wrSHQ8I+6iY?IX2*M+E3jNL4U2WRAow79Ve{iJWf2&33g za3r6=9~dT$jCd?$@NqJW89D#@^%~nM4~YG&2ot|YhH{|wiVb(Q%P>t@X?dmHsB83l z61uu_<*F;zd+xc%UV80}wX`+b^c>?qXBEqq32cm8cD6j0-VWc}E3YWmjR^ck2%Dm~ zmCMRCK2w&GkZ#xNE?G~X#vp3eD#{A2dqm27HrjUY-6`*kwRd5$AaXQ1F(MX>L}>^@B3zR0*IkD8PxgW-t2o3y&=bfy6 za=4BVy#ud>Maaw#kpRKe1Nvxoe!|Kt3vA`8rIwzOC;7TzFFbof-VTu*lD1;S$IESL z`BGc8YK>jF*kV_&x3~gk?vS~r%%n8S8I?CGgSda+F59*xDPLZFpi{fU4EE^gz~LKX%mq=^y{E%hG-K+$$j3;eei`8|Vkk z7*BYe@tqot~yH;5EL=JU~WzOpwBrmwq(c>slu@1l_iR&*Y%S7sqfPy!?y10PRHFUh`5K#*%;w9hA=c<=HqB6=ay9z>&$5@ zEX>ny6E?3Ay65gYg+AMjEuY;&O;mwnQ$HiZ_Zmm~9IP~%%FHwbI zB6LiOdum3CedWu4CuX0p=B6gKgLpa$SZ(&+iwD&tlsOrc?B1Ic4}+ta_&xAV#Hd1V z@3H>Z)^x-E@KZZ&wyV)T{nTytkN@(rjZSOq)b`n#1@~~Hqm%4LeZ3H1f^FM%hx*gv zv?q*PQMp1lkFv(*IuVqR%#@4)rGO@7tisNzBg2vn@x!(q+htU{#5*!p;=jy{1Z%xH zYSD8OQU-C>(J>$+KIgPRDUqRd$ALW>4ARBNeg2h-`)urpO!(M}-tPo|yyMLQNQic% zY0MtpQ)*2;?70&r{}c7>ga7Nd?E1A@8=n}o@=|$t`hHUU2(9o~wr?$yF_6;Jy_00P z5>t=~Y6XU^%K@T*K>!>(0MCg|DE{%WNu!peSKbisU>rf@q~5d6Dxl-A{)*=?CX}36 z@e66+U9Gpv@J{JELg4{mV*IE%$vjF}E&`7MWSNwwCZA|25Q=w7#cSw+r{wd_@Pz1s zQJfKyq})wTUb2i&g|*!57I5gcBX6Fwnz|dloFh6hTKy<;j{)8RsLyLWa2v_Cjh-H{ zb>(a1Aw6d^(kt;XbM~NeLmO?M{p@eq8}iPGB9&?^&{N17bsynvUd*#2lZ-F=7Qn|A6^u{m(o&Bk_4af* z&jGkPI@)aa&K+L%DqG{VW$SjU6X*+)E`2DP6HaEE3TR1*HuM;C_M5h?x8s-E!ihSN zHP;b3!ME+u-%Q!0rDxiFYQ7DOr&@L0xE-tRwJX&(bzY_wP%TIL^Cz#i*?&A!<3_J2 zKX}X}WcBt9*(ZPbXNzP1@=IaOCp?W_;c+ofJh=TJUtpETB~Y9YrvYT*vQ4Nw!^`=Y zTu3&&3pJD=76Xrx8Xg>$yPPh?G3JOA28C(n7p5hop%f4XgPlTQ@FbyMlpY>HhLDwX zv7=V9(#XV0&&u?;cStt4wcw2qkc1HHjfE#1MmUcI2GBX_ktiYCAE1`B5DVUV~Z-D_d zVl{1x*3~)S3QK(Bg9j%83=yU{d1mbE5@OXep60!3)heCKen7-@rNHFzp*X9SRq9#s zx?j7mI|6~;wrjUFOChT3>ZcwcT4C%W?e+ncmBMSHe;7EQW70c+Gv5#~C@{7cpwxJC z@IBwTvcJW-k?$}d(2h3q&hrR`;Yp!jLS~G<^9wP8vmVZBYHafD92jE^iB2*q!E+zx z67dS*394zg+-&xEHLLC+8%Z8X@fo^jwEf`w-xvC;_7vofj!su(FgMwA>a^M-a3TYO z5@#7gN^+e2(kDJ{=gtawdtZVl!MqihLANI!f0xx=K4n*qS6kC`hTXW?VUzO2ZhzNf zqIIjMy^7;M_G!Fg;+QJHvV!Imy97GSw*X;fH{vKkp3_C9%Pv--Jo zX}JTo+PZov%a8z5QBi5F?fooAv!|chW@pabu&H^WakaB*MU~ap*2t@xv4N3s@y$i6 zC`gfzM_X4vJAVquX>4Z%c<2jW644CkPl`Nqpk!j?pKaY27bhimLzbGp#M;DLu`!F{ZL_L!CajZfd}Kz*SinuR1JJla6u~K~iU$iU z3*l#-FS(4D;_sj?nP`J-vR;P_Qs!c*!^R?eD)rM|O8+p{RjYnJsiEfDMs-d1)wSq^ z8I3Fa?1f`>KJOSf04@MBvh;&<3k!=pOB3S@FXKHi_Q(dIDm(~e2{4qDDB!E{&6lz) zugtNM(j^*qvlm}(v(qQ8sqJ&}C`T+)I*6@a(j=cL624UFzWCujyJu~MmCE3zi8ciS zPOrW6vK8j!3V5{Ir+(?fcKOm}J9_Gb7yMOCOuOo+?j7-d0efyZ-8=6Ic zE!Q$;$U0scYux~qevbN)EYB$^Io~Sf1r-z)+q2JHu;z|I2Mx&rLsa;Y2GT3aYMc7( z_x?xFLmY(cXqN+D$sEs!`IV2{bI|8Y)ZwnqPTwj5v~}Y~jTCsg3`444%)T|A^}$P@ zQBWX3CbIA>sQRrtssk*zoc7iAzQ#fiA#R$kflEzJb%KLx&=Fq$PE6-Doup`Wsw=m^ zM4%y%lu(L(AoRd`hD^1OXMl=`{rVy}C!#zcwTFHT>UlheFb82h$^`jZ2%R@FEJG-i zF)L-mS^y3hoBoh=0Kz9^cl6i|p~4*7w04E%=CO`}3Dik3Q>aOX7ueG?V4Z_9q`Gd) zrWF<+OL`~FgaS}MKscfnSul8S7>WDtyWiU6st?GRN}uSu?Y3*@9?MJHVI@me3Bj>2 zx5Jumwn@N7+#jg{<%lULV9PRDau*0{EC!b*e4~Oc|6a9RQDg9&n?HxOI+3C|~-FW#}>f%U3#nRF; zpZtgCxJP-}QtRpM_Nop0_iwbC>sRfOhab1|=dam=@4nwQZrJE)zn3r8NSPGa$QU7D zyu`31ffeYqhq5O7@7iiV`r#{jN|;aMoJA>G#sVe49$Hr~U$708QTDZe_?SKWqc`jm zPd{jfE)3bFtCwuc_8kHv6s@Fw-JI?j?hV2F`}%Ecd_rD%h8yZL=T6EH$#Y~G)Zc5S6lD@AWf=MQ6m0Vb5lb`)#Yu5~`TR@W_0<<>lj=m7X*dx@N^EUPRpweqDa zYrd91Y|S>9Ofr&W67vZjK}~?#@X-$ zN?FQN=ruJd!+!5KKV>Jc)M*Tc)&4mf9mm@;+qPwgb+okDvZYCOv#~*XAk`xW@B?5J zMHD9w1R4+tpkElMn~lxJ26M>YnB<5=2#g3`AKSvSTp=?(%h;ZuVx(lJV&Db^R@QI6 zrn~>_IB~RG_n}DZv<>tNpy}zXIYf2{^*QfK_VLcbRxzQTBXcuBzR6BYvm-}Oy3tv= za;5GE$Z67fvAV8MIwQ_$?mR7><1!@rzxh^!wYK%E-)sRs*^j5h#0m+0V&oo?cA|ZJhz}R8Ma^f)RWfMIcOu3V^&$VTskHgTe6_Zsw`eo zV6y`Gl?B=M%*(G?QE`!FrKiit)%(uZ0|PzQ+uiRn{@7!W*ySs=R+JNMy&Vl!m>Om4 zs|p3U&?k};d2g>AzhN=b$1dMG0$?S44+n&I_M{@aGZsLIuFy|_-uNWhgoPO!lpL27 zXV~(RY-{S$*ob~BDg{2IBNjDo9lc}rnco&oZyjI!atOaN2DAh@h-R?qD50vVsw!8~ zy}kXOT|yQHrAHt@k`(d0lnRD~bI4p89~pHNOyglP1|MZjp;K3eLMGxr=E40h zDJk*KC_L&=Q5Bejf~^QUb3C9aCd)?gFr-|02C1YxBM1YfNkYmtdHf#s6MBr*^AmJF zC3>g|?4Fq1S5mwF;Rswq)J01`2{ebe_X~-hBCxqua&VAxlY}aF?IGqS)hv z_VMf?3CuDf-jf%ato7!Qz4rDw8yLM65BB?oLeMW-`vnGWa~p$+Fj z??^14aq)~SLLr1Zz`>uOM9DNPqhg`A}D8+!^kRctl?|=6{?b)CF zP<V)6t?Zd}KQ^HP( zF3g#k>GtH4PujBbrS{vu@tbbE-v9omPNrexaA?VEff zQoIgE6{7}FLVlWWjyoXl>gw?|KHwO1NI|CPAX@LKEVumWID71?2h9o-WGF`L&U@c) zS)Id{Iy_~=0-34F@$yz`#h25rhh&Sr?JlEw#l|IxH3br+TfYAF@7RroW*Nm;fv#ZD>?(s^s(5pLBo^zob(sT{ON~Q)>@oFWA)UKeXJaDSPAP zm+jC?FIq-Qvb8tYOE(V+=!G?(7}z9vYG3`*`_+>eyLh9`ItI+H*Ibj)WY=Z39MAcw z9-%FGXXsIk1bQS|JjZhZ*;L%2Z>*!@w?z+iQ~6}p#wTa&Y+a+x%BXJLyuwP-7HnmH zf|X>1tmk(g(B+-)=(m`6-M!(U*Z30J8J!pt0%Vs}gpW<3^i-XMdq@Zkw~G38C@I2S z!y`IBBhwY|#001}gz{h`~BA&i9ur=$og-9bxq79k^Pg9GJ(5k@(Z(LzWM zZ%j=wwlGeU0nM4~u=dV5yZ@m(bl(t4Li_VfV&oK3B|)RHdCaagb<5qyrH=KWQE?uq`E!rehgFYw7cGgr|@9i0v zu;n_xb#}Jd8?RsWiSUUjDaDB?->#y%y2il{;a&=EHa0bh9y9j+AAZ+zmR4EsWVW>l zrPkIqS+!p5GKxp5R_b4f8lbr7A08K_a@h2ZRNQ7MK-R~Qq~S(d_*zg`2f%1kztS46qg84m*t5D6Fv!FbFIT#oBOP7S>RONQ_c^6DAfACk9_u1bt zyD!=DPu{c}v!m8DF>H@(oUdKHY9jy{={R@>sX-nibV2x>KKhNcfBHt9N{rx$^zVUv zw_AN9H8a_|J;O8ZnBq8h=DcJ#M*S+X@!@`3E<$EFVG8WPgOsB-kgT77u znC>65G3hv_UtW9db&C>^`=@WdD%vvbFl5;>RC{-C6PHI@QOOcJap7hdGxeP@#whaH zH~Ygtp=b0RbME|(?1n@PeO6zSrTxVj4T2RyHFzm}j)dJfU;q>VUK7<~Nr6TZnOyT!Uoiz% z&=tHcLBWBR=tv~=hr)(%7RQ#Qjje-TO>%CV)7{uF`aw+ zRZA6dlQIe{IZMhfHB7J)hsFRBh6&0!$_^c^vxVqTc8JhKU_emyf>lb`1H@of3nWMh`r1@r}ty#CpP8>b&VH&cVD9DP! z1f8(wAuCg~yG|CWk+Xp`IA-jCFR;?q{ z%$gnX*b=bkZV^@zt!HTajy}QX*hjMi$rfcY5K%G5+0PV%}`&M-vNm~ z_Sj?M&q4hzR4>Jf7sez$vVovy$S_+74`n2{tgJ%R_u*50YU zJ}xUq?H-%6!SNisbfL|vFPyLy%jPY=Fh_hiY2~FU*4s5A&o9d+=TdEGu*tz<7W?DG zil?On@MaQ|qiyxNrRrw9#)Aq6Ns_%#ehZqw7kDCsEJ@zLlc3+!vBVgK@OqdBfWgS5 zBgz1u!m}7L=Ge&CqN;vjexa>gbJlq&a$GvM*82M}Fku-0g^WoKVXhdjlj*W@^JILJEVrQ0&YWtn#)f_mi8eL#xxPxu zNKu>0Y(US?(EYPg(ri>M1LN(!^=(&(Hu_6_>H@O$3#E*qAYb$@Z1jAE=^lDF-1Zqk9# z(R1?pq}OL9cWOyh#WKsuVqtW&ofH3$OiGvN2bT1}i{4zdjl2MKc4%Wn7a$*MKl()P ziBXf5xFCMkm!q;JjZOXfJ;pXv<@vR&VSM>ylNB#rW*_~)&k`rdvg3ZxN2F03MFWx= zM$}QlE)+Ng9g_XV{_bSVQ93@#M-=#KZ{Xtq=6~$rC{!+xYxIR z`&Nxpi`o|L?ZsfQ4&}DJyX;1Nofp^a*O-!kLHIUWV>u&!hK9vOB~~Gz)X~u@LzLx9 z6<7?6ceHWiCcA#U+Lbt)GUBBHhM*5i0}yx=)2IMfS8KlHV#G>HO6{@7ciGHDf=!8D zby89yr05x?e#xjv$>inayF7gU&;G)G`}hCe>KYpD{F%!_*n925iPtSDxx}tr>9y9* zUf;SRK4#Jq7RN0+J*YwmR+q`wHojZTVmX?(m>xL-uiy=aO*%287 zPiimYh;gKkU0vN8iySu!gyG-`u;Zx6Ot()y`j90~q}bKZ|E=Y( zJ;wyNU3&VyV~~Oeg$x47 zC0P#Qc@4=RH%k{#B!I+`s+G$uP8W`~c37Vb>UDX#^U;I@V{F^%a_bha?%21}-n`mu zjq=oimhqw?TS_chlJEMG$~fCMt`+ZTyrf4@R}Y9CiJ}Bu9`u-agEmO!0TO)2*m>J^ z@P=0tA2VY~@{BNeghB@fsAAq{sk(PXF^&csXwKWUmPt#J-u~r}bouoh5rgC&qcfTi<)tPM+$pruueksB5y}{;-9{{GtdynI#0^_Cz zv__aG%fmdRWiAsk0ZkU=W(xTv*rEozZ(z#$hX!o@`pr_Tmu+0=&ugCP#h&{bF41(# zGG#oME-kg9g0LM!2s@7Xa}a)9UYOd6r6U-TU;y}CH}uaiI^z%w3*IEzYquCp|3EbK z2b9A%2ovMYwI&76m~qH%_`Rx@uk;o0=^0sWn7OyUmaz;T5#vHAfFoL9gZT90k3Vd0 zzWyq5;Oll!E`>$K;+au5a0qdd6z9fG>pfJ}cs)TLP?k{voHa6+FJG}g`IFDucmM0h zQjQtw2kjTn4NUj~;^Coj@#UoGGir}L`k(+!o9*8iW#511EeT7qjZVkd(;xbXU8}y~ zz@e#$MW|*}L?HAA9~1tiy85>5TRcU-YWXr5ly;*E1ptl&7t+$hBz%u;-m=L78{1^i z_fXqS!mjbi%E|S=*>49ggfLgU=p3W-_a9hi^^G-l_x-y>zYZV0f$mW|ar&Hf_w@^W z1kg1*i^n_b>$oZ^3T$$E#Qx$hzAj}Y1Ux@2us2~1^+#>@np_(moU@+(aa+G-ukJY_ z`cUy?M4(Z;BHA+HOyUn=ay<5+py%bSC;R+u#F!8gD=sPaY8YYNTUdt%PmnFg-vGdY zfnlFBB1}&L0`it9Fo(Pm-UnR5K2(BeZ}0T;wr>CVq=u8ns$~39eGxET5TJ<{*W$$i zbi!IEHr>p)1(cC*YTqT@0z#7K0p^X<^;_UMJU7DkEHcMP0amEn$yy{b2}g$F?6QDK zmiiN$5N8#wlh%_G?b*6y`aOBzPJ8`(-?xdu7R$IYW5fS0&? zlM@<`LFtdmWfIeDVqw~v+Q+3D0(kUFDzp*(pr-@;0U<8?^gvB!p)iqym?-H5y;5{d zLX7l?=-kxMV-r#k`*v)Qz8Q2~F+Lw{4V|;%*BSe*PyKwQ2G>V=QA8Mh9`gtbV9EL=t;X>JGK3P)Ll81jOUd1Ez35Dz&@KC&;I@(C%0gOJ#ZyOYL3TP$ z=YgzHaR|j2N=nb6bFAmV@Ln_9uV*8GG)z7wpWXYu4S-BV?IttJkhnzjKA~o29gbu+=uucBi}|uYsn2WkQ`W zf0xi*M`xR@SYB>vDe^!yn6YA&fQqKizlVz4qE`uh>J6?ygsl!zf=fuiv=Zsw!66$&<&eY-x!M$dGmQbjuTFZ>)g$S-eSDhK!bC z$y9z$rky-`$}+Pv?A;GPV3)2{Ta5ZLH$P+_dc4%0`@v;PO3$>57q7{nndL7Ln3EjL zi~)A0!gxz|qBPFAd8ux&Z`-q7<2UM_5HbbN`SuS2Je<+U?Xj?x?A*Fhn6=IsDiffdmQWcP-e zfOre1&UQ*CO#8Ds+PkbVdRk*9eI$8-56AUgY+j~yj15`bx^fTcPbAIRc-o@Xh-a=3 z3|NKQeDP+Jbpn9(JTD@k2L_WO-K<9P7q3Wkf(8L1kr!uNWDEY~JY+yd$kzpm<}8lJ zSigPvdHe2np0%;Wc^e>c;LC_>BfRprjEDGTP`o zN(P1AD&_$7kSTIBT5Ps>(AAI2ZaZ^*R>+@u9ia6K<*#6mx4M*r~&eeIB#00D8qlY(T-5nO1@^CR&mzVqe9Bt=3JwO*~EG2jg8k;NE-cp-m-Qy<2($U^;)z`1; zc~r6p*@>GrZLpVKdddFbAHHmlKKiKLfB(Hc7qjP%9Gj1tu=0{SbkBHu#y3Ue(jg-t~>Wjz(fPxdqRewV_Y7^1);~^t_~DP` zLHBtQ1lv2{k)y1+KXgQ}MOypd2j6cmJbT$*lXo5yn{NHRR4c)7Ey{}u|NC!# z*S`7nk6C$Hjx8<8u`Sz{>-z<(l))PxWs3rocSxo7U5?dXkBA8U0_d5Km?xcg#R><0 zc%Yz1&@p38KgpzH4j9En`w8K}Tf8&Z8kr~h#RGX=Qe5bs54?Bo+$Dj40qL7j$xMQE zN!bkyjL1tKl%7d9s&r4!$Y6|5`B=m!q-dNH?Vj6rS(y}ga#E&Ej!t``i2%6n5sEWo z?3g3tIg^voq9==xWnd;)(vWCr>ACVc0Ljx9qc&Yv|GINatUf-|4)^!kvl;S2Cg<## zwtj0AKey|C&zw4Ci{;B~7BDCIA{5RdSL6=k#CSw>DD;I^E?45S$O|3Ji}NBnjj_i# zAy;eHY_LE4?4MgfMY%;M6-ZACJY^SJW^RF9Z)&vMb!GP6|M?jk(=|!rU1XeR+`O@9 zk3I6B#wy0X^barTniAW8#{t*B<8lPhfs|%)FI3J4KokEc%uN#=3xHO{@wU^pz$XFZ zM*1@^`5qUKMHvgorz|QZ+h$_Z>}vCXtyo#E>;Jz8_vLyHcqQiXcWph$z|i4_<_0gF z;xR)pSbk2nFM4%m(}i4+B<7?fIvy3S9pO4Mr(EIbA6}Cl;}1b$q4#3s9!E?+3{H7{ zQng9@MR8GOI77tg?UUCbgB5m{mCLH%oM}WP-l+^umfm3hpioYNJU4koHLI$`5FvxHwywn{#eXAXgI2zDnP(ocO5Eq4)Na;us8LQ!G7HIi z!Q%qW)32_c9)I7kVZ9Z|Ljje-_ms>B$?^<-5BCv6=|4V)P+a8iTg-s5Kvn8`kT`mZ52QGWaBpBXk0f-gW+udtVJoP?%^|jaJ=O!80IBOUp(7ULovM^?gwMoNg?Y zm=)cob1yU z7#Sgo!4fuP=?AIRk>n$G_how%_9{|ytmWpQz52>I&%$H>vX0q$dJ!4R zm#)T$v$l<`SEgp7><5RAOMv602PtxZr!yxYF=t1PUXa0=uxL34sR>yEjX6@ui}w1N zn*wy_B&R^XOVvOYy?!?T6{^ceTU_*l#V^Wm&Z*A=PYq37HZ~#8 zN#5y|+AbN%5z8uCVvQ}`-ZthHfA6<`mhS&oUkXcw0LB3%>)Ll6SbY$M1=>P+Fh}GQ zfO-J2N0bazTA46Nh>!6Q8^!l1O35O(&h}0*OtQyxiIMXiiwZGPxPF9R;-mnW$i>oQ z?6CS+S+ZtOa%AYGig5_lF{#H!PcS9OALX2zmFC)m+R+b6n5C849muik4kW&gaUg-V)b2ZDJm*(gp1)Q zU6KvyMavY?Ytl|%IxlZvi|@p@#c=Fola#@Xeeth< z%f9*#-?91|O}a+cX^glxNf&sRN8Wl<9`u4dlDox^^LFXt6<>-jtz>);N%H z7}iKJ?mW9giePMb+#Y-UJ(iaoWyfASWX(NOw!S>k&fOTX2OqlMu3oJc;w-cGJ$9#8 zTX^ZEH^jT?9-3o^UnG&O*XwHP?9}N~QdF!PA_GtT7yU3|WN^XDc;l(*;!k4g@?!I( z`1|_D#Mh>Ai?V{EB2NwgIZli(sBif)Eai6L;#m)mEv;B4g>lq+1b`M}=j?+Ye%Suw z+uw7LP+D4MwY7D&X3ZMWfHF4B-K1OV&6~FW&MKQ86Da8D@+ARah>aSPtJj%3vD%WdNasXf#i|6jW z?_S?aZ0pu7@`#HBpxV@ya$8a;MolM=5v0$) zBV_l*uO#}|BEO;Tr|$jugF6nQoLR}t%5yg8BddmWBja+LX#qtRn_3#JSWFp|8|o{B zb|{DmL2>KxVtg`E*TN{=b6Tz~YXd-9Fz~E8f+67Vc`-p+x)A2@pilf`oUo$AhFja( zoXLGsRQCk6lHN_oBP%n*+rVJK*f1>&h*!sec6Ij3B2P=GgeF7;<~1;Ygz1>epy#ZG zK)JB$ys2L3PeQe<5hIwerljH0k$ri5A~5VQBm5O(y;Sw>EY#iS$|YhB_d>TT1G z93iZD8yHKpp)m?hR#@D^S}R!+Ck7w2Od0C<#8@jSUh1eYCQ4E!qkK&$|Ja!;Qex3| zQ+*}mbm_uH??ZtYpA=6xkwuWq4Zy&-$b1LAC9`_X*l+yWuh_}cXVv}$tGQ9D{>+H! zD&2!+p&~$lHB*G}+z?54BFxVr-5$OZO)xh82jAo9+I{!mZC9^dkfKizs?W04_H*{^3pMgkV(sjqui5*a{*1;m#+7A+7~#c<1`;FN#r6d_K4qSty!T99C_SiZ)SFHru`i;1HD z5=+SxPYjF+G)aL9=*`WEHWGf)!I!OA>PZpoBh%SAY=uSgpa$?HlkCW`lNyE)l|V^C z>-N@GTd{JvFUCH4%KHQ*a^;_doejK-3+NDX*2Q>-f_WgB@hqdX2^ zgpe0tHH0-MUH->pq|!1n#A`8Lm4P*ecnk0{Jj0kG?-)B40PorN^E<}A_1a2Td{K*W z8sh;eD`Zd4)wTUYRKXVNXU2L4~9hPP_&VKjzEfR+ko(}I-B@B@pl-bNB5&n9ZW+Up0ymfuH1(K zA{I^fgnK{;8dxr5m?357gDYczL4jeY7y%OIe704dlToCtd^a*A6ryu@B_|}i=S0>Q znKiVBIV8}B?=PZvk=NdM{g`J9kzGO^JiSSQl4_c0|NPB=v2T9&U#u`oUyeuH$`xxJ zC3ZEp3Y9k4w1%XqdfF;VEA8~@qZ+Ici;HTosKoR3cvYMY^@sM9mu$D_g(6#=&6gsD z3B>?0DHbEfVVwd9goKPmSsCcv*VCnTEP7?=`H2xRz7+3*JQ2|^P7U0#ZHpW9sD)Sw zZkUVv=*K>M%R3seD_0wZk{gZfz1PZ}&&|@k%NilKmmQ{$T)l-d1nk5|H$8w zcj(PnkWq+WF^9-`AW-_jIPiIKk?lfeZC=J5L)R}_T)GnFlkmU)2m5U2gP#z?CfmYv zm;LGQ?zdyd-_UvE)^hW-_=j~D3--z@hwX3w_8)EMj@>>#MbTM!1>WO1(13X}{{ERU zQd>nw5+c}uu6bn9_PzJBwsyxl`{t`HcF(;J2(881u3bBvHhJ=L;-Zu6=;32__^r1s zQDC7&9?t*$$8XrK9oy`)pZhJ{b;`$)10~rZBQP-3kBzjww{P|5*VVL25t@hjC@0j_ z+bm@_WMwi`RjXI2uQBqzO-7)~mE-Ggyy+Qq?|t$~Uo+O)&YY0$A4Q0TkRJhUTCrU&S{&FLRP26x5(YJCIo?}G%ifT1JUnE{l6@81#%8UZ8 z7+Q1ywBvX9j?Wws9tSW`M(hXQ`!BDS129>?e!b5zcC@xw^_8nG8|)}BGu3OK`sELZ z7Z&WhKe*^qBrFmi7T+|q_3EC%5VMdKqY@pJA&n4r?1itH`(>_|b0RN2T5=}(&(GTE z@Qn2hOk0QO6l+UJef#iN57C;UNKKUar;5ajPr1cW8y_X z2+&|ycqs|gL8cq7G}e{I62pYpWYEwM_9em1q_trpZc)Z&$Wu6BM8ai+<0#}dl5g{ou^=4$enDq3;QF>0O3K6orP*8XZ7)s`>2q{vil>`Pl#e}~jWVMs^2+X%o zp9-&sI6i|zrCZKmQl0%&#wKKNEkWlGxDnmCYm2;}Xsd6ivmd|yJ^T7Ue&1er;dwhG zBtJJPFHX?1psdo`Tk7RSwThu*Y{k+Nt8MMFf@Qnx3%L5USgxu4uFk{mG z^mjgFFTZt0s9c6vUegCZ_^|!>N3YoQmoHzk0x8#}6{W^* zlVt7@Uh+_fClEj}j1Hbp#KQ>RAxs<|Vo)1`k>T%ffGUY!vlkZCof8PN%H1Lb7kWSN zz`a&|t=hlCa{^t{w<(SB@uRI`@>Ki$=Ras4_|SXoL%;GRX(O|L|LfnghaUa78_6$! z>Cf%O7he-iD8f1@@0e4f?DpGkm%**EETL0)0p11SLA&^Psx>EAN@9wyK|{%~SJ7-N z+g(K2*c91eUAlXW$M>l$aO(6$%g93E>i5wpR~DD9UK6br#j725T;2+yqJ+34$v`MH z%Kk)S!&3a}4{!Mnz7P}z6UVjzgv_M}LN;4S@#b>~n@Kj+ zUgj4`;Ng3)GN_mASx;}59KtV2NmP50jA?wxt|J6X0u|BrqtJ@3>aB@v=`aJ zQ;NHl$(xXr>=~E|;u%85bka?w8pvK!=g*z<#p#R-&&tVPV$G6y!kBdp0-x&tw7?!l zmOe3VthJ=z^ZE@NeBUmTs+c40?(TBF0Due&WD{y*d<%U^NQYlbvItQs^e_D66;^Z- zW61AR>d4K@u)VkK^4*xPUaynEr^HgXH8#mB@`zHB?b);2i^&cSObG~Ha}R~}i>avz zwt3@5?@w*3JaQRS?oB_qKUsxt0QH%v4i5Atzi}Sz*9YvVKnc6(j(Mn%iWj<41~qu= zBV$Cj#Mc7N)bfj$&iVg@?EXGUch)n+H?m{;Tg`d*y&Dgr2C1HaYYxMB%BLF2rd2Q= zh6g3XdZ3=}5Z+?&i8W&^PzY24?;GsbgT>_V+AYcuXY*ErZDK#DtmCLLj&wY11xoKzq;3j9YQh5~sfjF?RHhTWxKtWoGr;C#A$M zU2eAX7cRNrQP!!pjsmQq2q*vmqypmXlQ7jcwAuaxcUXQwwv1K3PaIFl2z!W52Q>1z zLRe3%6E=9c}B@uk$rZfey2_TS8x1 zvCPu5;%&8z1r;@Ft~ZF5b5>coTzt4h?QByU<@FAX$f)F4VZj>l?S#CJBwv#=Bafh{ zpunR5D9gy!#Yw4-K${5j zYv^_VI4RdL@e|(Ausn`Y&$tY-KI6Ov7@Cp?gM2dAm@e<7Z(zU<-1YONgI<22#CeIu z)fht(s+dRfbvWt+Jewvk3eUl==pXnN{lhzkm3Qi`F;y_3HqOY4419uZCJbs`@{G(f z&&ZT4XXT^_R3zDw0wM`y{jzvoo`F0p3>nHAnP5!%#nbE_3z+oncqC`g1D@ddTuX=& zkj`R$=ocSPwR?fup%A*HstH>}prlu=U1i;!U7{6BYcib=hz6i@*|Oe^p?XMB0zf&N zcs}vohwU?;`+f1l_r%BI=a^CZ*oS{b27KC=g%GABWJjAZc(jougbf=v*rpAuZ9#3q z@U%*&1eGIQ!CkY-xF^5VaUX z!o$K+1T6}vf>`M}aS|X95=Hu$ykl?}EW&;h5}XM#cQiPpkyF%<^U31EvrA4+^bOm% z7a4gS?cExfX74DAB)yE0`o@7bF&{N+xtXbU&)s_^^i+C?wf8;s9zAbX_Z1OiGwd7R zI&4`LyDTX`*Lu1-#GGPMDc_u|TsJg_UVBA^j`g<;fJ3*u|?2_WqB5+P-(V z*|u(4W~uSSb@AAw9A-s+4KQtr47^X|=-@LMEbu@@*-~dpo;AHN<5`I}y9d;>7544# z9F=m5(K(e8#RZ}K^R{v0W(frJEw;+#6;cj^_T-cAwMmT^NbmUx=RvM=V;`U9Wz|{^&RVLOeNdAOFPN_QUW0 zmF~YN{uFADVK-F5g60$bR33J^>D73%*{)u0u;}?jo1Jd8rkhjt-S0hbm3c{)o|I-a z)z_TfH#7Vo^*l(}D{+b;*dRBcBvQ3P)mX3D2c=?oY34P%e@j#=s zHm68NQ;kBL$SSM_Vs*nJg3kyra1`XGX&mKwOJ>Nh!+RkChHVQlDp{F1J_k$)2c-lb zAXk7%yhDuhq~y>Qo?h?}nWXtLtUoQog>u$!@B<)*`j|{$1D1N^@xe>Kc;Fq;ZgvJh z#{k-hq+pP9vNL4p*p}pHRac-7|^R z023w}LBDq%zBWtp;nY-4)B<`~bb@18Fk~Tb!S< z+$<)s+`G1oi8MdfaG=nDm9gpbx}`L#1*oZ-~qH%3~re7taY4ch`aKwtZ)% z+DS&8(2|saNvVI}BYP|*FWaUP(rqR-(W)D&?Pgt*Xp!cjEatV!rI7Ph?e%-ZVE^Z9 zU-bpB70are!2kBI-?8bjDBHGmx7^nuyW{q4^6*4(>22nRV#P2ZhF~56Awmf)f_#|zRy31LWhLF-_^35PL3J?Gb9{4ZX-=xuXB zv@oZK@`U!#hjD=i{E!lbM!aY%ed9OKCl#Ivt^Dx$KD9B)e*cg5+paC|vjs8q#B`_K zw_~~e@<)Ex(vlYCwY;n`U9g_c9z8q8Cv-X7m(cw{cWe$wrR(Zzmu-5Y-9GVwCvA9q z%y#YCV_E6q7hSjSw(T|}fO7YNeHNwu9zOc7HaapS1Ka0_A20jO*N)h#sD z^3KBnnC^kMMR_Fn3!dW|o<%61J%cze$OQl@C|_UeqxMN0L&(c#8e(KPXWbw$XT_YH z)P%pJ@~mIp+{gr3W&+FVks-u9XyO^j`RQvl((O zqkTQ3opX+9hbB3^n zj-Xd=S+iRQ&%`(e+5{R#hnt!efq=x2W257`(Y!azm909*IqX_Vq5#CFR7r*q3rP(m z2%!8~xsG9>ZcK}6H(}d&riuZ2jdtrfYLJ(ZPS*Owq{+0mxa&C3dV$M zm|O7Bp6**(R-r)*OoQ@;DWb%nA9#4Z#rIsal!+!AX>An3HecAADr23Wn<=E1XHE5u z5`(nPd;re}t4aQ_^Pd-o5UvlF@@Edf|na?d2B^`MQs5SL^H} zAAelq6m19Y-0yVi>m9NmKl_~h*Z05SW58ZdSu*^r-C(S_FGrX^41YrxCa2#zd{js( zWLL301~m0+=)*0BqfPXI7wC(3`oQ&J>x+Q?QEVtd!ceZT^o%e4!zEh~GnbX5*p-XV z+F$i`PKJ}u-&dUf(uy^mdT^0?3m49Le?a_vW%qU7Z4&kN5!cnfHWx>mlY{f#w)*|$mdbm%~yltk%zX<4tdur zq}&EIF0(#1jBkv*NjFAf1@3`C0}P?~@R(7~Y{Z6qlW_>|PS47F7JqOKi{(M!g+;ki zP`4J+%ZvNP1I0^r9rQ(6(@*%B_VWTX!LNt~=h6?6#3KsS9i(j@anQlyUBZ0KYjYh- zBqr6C5g~cFnzc9c8W-OhUe{oZFv3)gp??^5z6a>icIHCe7~Rq#L}2jd5+vh%M_bWV zvh6huQiiZ9%toxb}*ete= zj(Y3tXm*~+E-1Az>FXA+gIVDE1MtZEo^4AkKO;eabKV|*|6{&5zpJ}j;3?5PYj`!# zHF!ik3-;x24!(Dq>YYBrqyC-71bu+)VKfQX0sLJiW@2o5Zc;ai^EH-K=wXcTBqMs| zod@^ifWGLEXm+{`sAXP_B(xw!B&C6S&%?M0Ohtwhh>*|3GSxB{HmV|s#GYx#`K%2h zbVnGA`5~eN6XPJ(I*%ih(o1X^7Z2uQ4kSrJ;tf~lLEj86Yb|hR-3Y0%DDW^h5-Nu? z__PaSNSqb#iMC;!#6Dsc*5S}Mgo3pi9k-Y{5TAPxW2daW?}R93Ek(>IrJnCaADN(yx4Ij(wrSHg`|B@$#lsQOPyWKNd3ve-rcHP;SFT*L z6)RTRS$QWJ=}~suj!l**CF+~*p*++dLYuTLf&dw47=bqN8HPnk6crWAuxI)NHcWZu zl+e1atF67^yGK%>l+3G|nr401puP{<)AE##AA7?-{cE3)@~mT0e-u<7lo}n50`!D>atzMC=n}jsc(xM7^J9#cl z@BuU^ELdf~AM?Te;_pWqLPV0flp zacW4KN%l13Jd6mF?irb>mY1I?qnBeWR-cv8;=8026lI)MlnZD|XZ+#;ZBhkF(G3`3 zo{xc|t%Mel*^rDA&gFM#3hxs-iX1`7hfpPbW&Y85On<{~le!1nY0n9GCMUD4hCBgz zgUn64l9fK74e)D_Jwn96pktf~L-7m@QJM@Fbjuc^VNo${aw9Lkbc1^9;98Wp%gC*R zG2$T1$oq zLl54kdo9{2=>f)GlDGu7=&%)N!Cc!eb~%2*#Up7_=EMD+#_=B|KGv2k>8>T zGv(&V6_uqY3@|LAix;x;T5)oByZYf3GvfPx7Nu?oDkrPEO}%Bj1fTgu{Ap*;zCKI39z*k~8KjY7!@87|ySerJbE;_xsIVM1Scr~?Lp*-Y-IE(rG& z+5@o=!zLT>r9(d!!_V55Z7bc-alpU~1eLEtxe)2Y%yCDjh|IAQCp}jG;io@hM~=L1 zci*wWDwh^&unFO@)w2d$ZAXykFUA5o@R>e=ObD5BFo=A=VZ#Q0p3jZwRiM76r6$@V z@3~)e!zj+#>#rQOZ++`~wpuRySHAL9rx{)(!n$qSHXnD+g9dmHj2)pDYEsRD=+t(Q z<6(J6&;UgQ6Z6adJNFr-q2h#$<6`IJ*%V4KF`uM1iUiK|8e@HOq4qEkh8O^#cT&}7 z^bC{*^GOJ@M%AYD?BOY)@x*Am)^yYEeeCaSc52ctUU|zt@{uRxB~ro$tZGG>edI&Gru#(M zL+^RqHf$=k|NQn>ZF;!Frp9J{%y#d-P3=k&@1$AjQWkKUEm>0HY45BpvY2Gge0%z- z$L*It_MnXq_gK6Pr0lU;Goxk&p@hdXGUDvOUHfePhD~<#$Z@;pzI$Ydhed;F+rMv< zk2Pz^pjmBgt=)6~T~<_DYUj>hv6zHpyLk1QU9Gw9bc;_&v;4ebSK1kw$>Oz;Az4(A ztIsL&T0~3HO=NPp!i)3lPSFOsRaUH!Q3=Z~GScMj3SeSn0G))&;cePOcnXh_%q^7A z$oPnOf%)5Dj2MRmd4J6Hg3wX?)6=9oC%GZ<-}QX-1Ynme&|f@eX9>k;T4q+VJd`-! z&Ym%ZKk>paM(`>3a`}{*WX#YvR9s=J9CQOT=9-`^$DKB`5kT$b#>6*N&Sud%MQ$;+ z&;s6MZ4=kAn>FF4h#Yf7^iyb)0D)+Y@j)g+o07d=9EscClknmi=w=x2WPUv~b~5lc=?v5$QG zBOX3Q=C}_Lo2I5dtG&@>ELSNgDRFwS4ZqMz=#zR6?IOHM5(ARWXAXce0G+mjiZDzu z^6r^iLhmvL9ME=rlwE!W1msmV>e(sj@+JusZ~~chbol@0h^4T$37^MYRRB3u01+2E#_C59#EDCn!V0rhyQTJyKlIMJO1MoPMX5h)8=e zdbsH@Dxn9MlEEch$cs!C$V4`wGl~cS`MuJF+T;_Hy2rc>)UNFnwsY$?JM{9KzEV0l zDUF2yGR^}MfCM{w?1Xs?Pd=JJd}e?GMh0VWz< z@dTk~05tD0YBS%nc%K@TWb?r6^aFHcjxsqd+j@I?jH1P~M0e3UeOmM+F#awpTjFaquT)oC^sJgeRSPu$ zJ<75J+UZ+R=v)jDUO5$F62wpX%3?L0CPK=F<_ryPGa!&MYfJ6Vl zoF!*vdO{CHZvD^=- zKvis9>X0(b0@z_O33E;`5();FoB@lW6Cx&aBit86!~mnzNK>YSKIp5yzQGY1TTP%4 zNt__YoRyX1lauVd&km3bB*qTG;Q7?9qpdJ32ZI~3RamnWlrzlFK;qF*>kOj9L&394 z)-yusA_S$SwN+kJzB4q8Ly`cC`Y@s~aq@(=EVsO(LaVK5w3BCqzBM4f`spVn)GK_Q z2-{0gEtphpKW;H-s93S+%n$KrWEe8i66CEcvooj9x`Mp-uDh+iq1HBUS!XTH9Zp*W z0KL!NR4_H^?ksv`O#*cC5T*W3k}+hW8G!_aCyTbM6-!N~GH{)wXxN_l&L7*i{^KPn zOL;ar|BgHEv=b*zz;?D{*DmYp?X*W8d&pL-thAP!oi6aCzf!$d1qIbGv`lb z(0PolpPM~~UtfE}Mivrmf>c;3dBzK2asfm^NfDz=y-XF~={Ms0&>Mq7{V=4%Q*Pl* zoeL?sCQL}1J^$(z8=8^uz~Fvw+JN{3W6I&hdZi5bjtP4&U8S+Qdi^!~5Az zt;HyKO3#$Cna5b@{o;jYFA{wG*aiFZKmP-J;^~iDR(i56Da^6s$6vN@eB&p+>b|g~ zScY1jApAc%YGq3+JW=NM{rfF1zsO#C>2)_Eo}{p2yRBVQF2i)eJ;`^!`(8Wz#v2m; zP`w%y&f-?*?K#3>O^&ob_dkEtzW-k@hyKq;+b)e$YkQ|Fx)8P!AHXO2i!~^OVX`u^ z#nU8SNQtKgZxs3;digaEg_Aa&EMtQV<>h8eUP%Jd9dr)c!^1a}k3y@YV$dYRD4noV zZL%YOKpt|NEn^erilfB;AxVg5k!-{yHzkqK14J!&Q8blWl|i?lBVy!Zhxr+cfUVO&rLnnU6$niTV z-82Tp4L!Ktfu{PyTpsO-^jX8|wB*HoC_P0zL(nEp6knN)W~i6J&8hKh%qZP|R(FwLuV? z;(fd`P#h40qL-A_fGNnlpwR$e%7&1Ziu)O!H!0*kErdlXX=pTy?g(E{EOcDTP!E(4 z$vqdNQMXOE)Mue1(F9~cmEK?YrPc6RzbJ3D&xoEc*?F_@kHQ0}N#0~%R9 z&3By33y*3*0_JXZRGi&xYWI6I-H<0CMsMnz@|EAQv8ndPn@4R<^koqrVLfQ~)5T=E zmk*$nECbBJV6nFg8?E^SxQH?_IcsOnUa`G<_qcLrk=wG$_>JKs=j1d`+qw>n$JYH*=sgt&L{TjR3+-z5_)meNrd+Kbo zQ>Wkb%@9|uSZ{|8y=uGn?6Ss&Mjv~&AAwOE5jx6IgXo0|eymsU9TXi8+_BFNlqcGS z>n%3Hem@{e7}lAL;2!8OAk*MQ2C&0#cwyWZ#)V-~5TJTpDuD=!B#QZx#UvqlenS}4 zM+}?JrBn?`He8D$iFiq0V_Ji;LP{z5#O3N|@nxZ^hheyrcOhrY-*51)6?{rw6 z#i$f-pWS!wLvEZ7z4o@1mla#|T#PH^#@brjy<@ACe7Af0ELNPF2#Gn}J)PoVyxk~! z<>jN6F2J<3e7Uu@b$jhR$-gTzfFE)LZxBX9NfSPy=<$SPq@W;2^2s99IE|wawLlbd z;bC|gQ4eovIP-EdWK8-z!qC;#;l_vZT&#H^k}x?wYBTe*P6KxNCA^g^LxB3``6M>1 zs#+#PMJ8^dKOgW3?-BAPk%RCbp?=mkO$gyf<`-z!#?3!(kgi_d?y1h`8y3@|E9Mtu zsKpFqGcGR7dXywJB~d&eZzooaD86xiSG!4%W_^vXp_H+~OVh6|muPw954jA+AgrYX z5U>fRI(3UT!@5Oy6&)BOgTVcu9g3E=pfBNH622T{%G)9vQD3S}_~HSaJL(rdl88bZ z3GGoyoBiQ<2Hq5F0^wF3NJtxb#wc(P?oFGjuV1&5Cr{c9dCs0ytG=Nolq9PbI-`(F>Il$=?NBL5{452 zU8$(EQuJh$-Y5Tx{rH)5)7Cjv^#hilu=6f2!rQIX%!dHole&PZ5Rzx)Q`_@3Hk32VWP>}*!EK11| zg3zthgav!y`B&|!r=D=b2D&BH7?&A3^X_z(q9B8fC!r8P^{^1nVPJ{>^P6W>>5)7Y zl-$!hY!ma@)^xMS?zrndUAJIq*_l??P%ULHq@I)}Iwi?d>i2o8_q^vJ58+@m{l2nKS6JltD`2}n}c^0of?M< z(Rmmueb2@EW?@kZLHF9ff1i6?%!6<)fCA(mp>gDX9Eq`b-7ylxAIn3Fk*B{P5OGoh z6?odmj5#OWhgxMkUtLz;W<-}H+qZwOojQ3`--mgmveF`3v3$9YE%#+7WcH!)a0hc^ z>YJnDn0QY&9vmiPRRBs#yk4G9Ma44v@JHWg)iw2w)=?s(RR2~dnY>R*7Rb=ET3UOp zt8Yj$H1A$9w1|q+q$FGyY7iUsLk^fBFUh!oA@i&cl<%tAt>~ zUgdN}ClE>{xr^s{Thyl+DvwJK>r#2>mu*_(?3shQr!cWcwgBK0qSK_>G@|=c(SdM1 zo8>XriUYkMgTuN^jFjs$#MHHm+9Au+l32-Sd; zsqZeULF{O4_a?iCB}M{u>3M1##*MAK!+tv$5*CX&iqSz@HQPF4Fqjv}&C6C}7aRfN z?vp(S6XC7&cK5i^p~ECf;5E<(b=B{MP~^zClHrq?mEr5HNZJ5>P(T)gM7YkqArZ!_ zPB?J_!4-qM(P!{^*3HAG>nUn5)!(i@6F!#ry%Cb}USyS67HI4nMlJx9qTeEtl zz4_*w)==NzWly^FYNay0MvlTbbrytJt%2a3Tpz^CZ{zfi{c@*g?Tj2g_AK~>_o{N8QU>? zMin&CeP-swU(!UaP3Ps&WZBoh{tf%g@BXRoa|`I`yx^&YXVG5nhnL3Mo7OhUWM$jx z^-Jx_)dulHww=E^p!?1V{df5s&XOg?qRqTl$RG@zl9Db@r(a&v5<7A7l((luo@?99 zUdhLZcv{zM+-|#VuidC^u@$S<`1}q}_I^v?+3b!j>L;g!K*ggV@x1&T8ovA^-8%c)*S_xkgI2o2JsA+cC@a30wtRuC^ z9Vc&G-qW=7YLe*3+#=6qeHPOXNFMWZL-O+D)%S!%K_AhLGEPmc{We3y;g*pJ&kKG2 z+;9KPL;8y^g(w?`^QhV#^Voyi50b*#)7xzs>8!G*juKlLhsjKs9U_8y8EhumQJRDr zaO0@8L@0?yf@TP(_4W37xS?G}DI-e;L)X)3Pz8eqGw_)KOQn*xI?KSuG4JGxZ{A&e zFI(Y_iB2vUjSx)qMDRzNG*an4|30q+>edkLLQ?MvB*+xc39ErpTonqJ^ z0PZi9aye)h0uJ@)3(q8h10$N5n<+0N-OgRPq5i~r&9mSB?ccFua;1HMB$UuSM!#*} zxzodHC@PdA1L1LL^^*f8XZ-`uL+DUI3ky>+zJ(ft414bRL%J@`9(v$GyH<0_%F31* z8?3RienQ{@riYHf0E&OrUW9-&cfuXel{Q8W1P9d1yb~1~CMV~Gz+2P~w$x?~1lto# z$)I%D`{bpqUc1WPqSoFVjIA4}{k|WP?n}rF`XkH;I|dCY?riU~MV-TBEd~pH2mN?q zY!Fz40mgGwuQ37$p#&HY6c}_yS%T)_txy|L^fUI>Aq#6kKt#e0zr+#$$4Ge>eclLx zq3_`UEyq|+2C2{ScIIrg{oA*GsN2bFa=0AY#=$%N4C|RtN-_>=&w~0I6%}v&{bor5 z7>m)FHaZ#B!Gvgmc{mDwVVej5&816MJVT2R9{1U}af7{mttv+3TT^>s~IZ%?<3 zR)^j9z`gd?TSsm6s`Pl_FG&U$C zthUQrASHQvTArEYqo%ed@TO?$6Ya6A?Ll$G$m2+`g8WQh5YGCRlHy{Y3xe+nZL^)s z$cWl2LrXi5F*dy$7#J4Mh8mNci$x-1GA%t#-ky|GVzNF%LyelwqY6A>QxYdA3X1+f z7AZk=+rFRQF}9=a9`Us(ul4}|*d|yI9_N&I7Y+v{kTA`2N zkx2M%e3CUrBn@C}ps&so4>E4L#1D)W2V+YV2gQz2jwnoIm9G39xarbLXH4pY>rA54i-+wC#BjD zpVjR#fg{R}jk6gd#$Cp%>1MM#8+c{O$xK(!?^x>}k|!oP#~^u=Zqb9}hR^*rk*#oi z@yp3hw;)gSPrBfvPaZhPfMrU#!_35iQMP2)_ySpVf(b~B2@3%!AA$k{AvFm}gY!o0!9%03;gy0-(WsG+~Mh_cTO^#3NUQ<4RWC>FP4WiRd%BjOd zj2h)C2-mcD`;SFGmx4fQWYUJY4AG8O7C9+Cdj5iaoTrnyOb^wHh6a%G8CSKn}kqrbkliG&41 z88}hb>fM#Nexr>j9#-&!{(}$$0E9?g;KZ0x2zZ0=G;=HfkK%&mcK7Y_R)qc+qi!ia z?k~d=yg`IO|JT?scNeudCElaHVus}xlkG@q5u3@ONI;*2*mRkDNAL=~$r$Qgp9#&O zY+08@b!Rr$!yAJ~X(NUgP(TJHnR0pZQVS&f%xiUZwp*6GkIalLYwzj~Lac{Tm3eYf z{64i{mo8U}=1Dp?%y&X({h{Xuu!RT8AyV0n9Xsvp*$bjmj2i=h6-g%J;{%o}PkvTP zgl$HKsBoe-G3n3Wr2E3lfFs}5Tgrn?^C;I96*Z-CmK?}H<0Yl*KFmiEvLSp=_!XC4|}V9tsN0Nye4BY`&){5~5t{`?%$ znbWJ)_C@QHw-zV5vj-E;Zj*7xfMp6WLt7#eRC6GT!UAmvXSz4G2IbB?5S1^G584V% z880$i(Npkd;JLtygvR*Y$3VXYv>w8K=n!6RaP&Q1K*UIKKD6at{ElbEwPf?*$#X6q zK8l|CJOB@d2zo`H$NA`+h_2#Z-Znn#g|VfN=q6+UppLOczM(HKu8SBd{p1G#kAC*` z4_ZM%k<%Nw@HruYaPGrS4BjuD9htJgv%;$*Nvo}+-Fc1BFbl5H`xvr>#8exVu>%}q zcf%@`Vr}q9zlRVnW?eN>gbga(lEW#EcgSYw$>J}ixW0s#+=_$C8A8r z!2L#2PY!zO&_G~rFiqS5va-bBiO8T&Xq+6I0l=y%sw^Wj%O^2j+A!}hG~5DgXhrj2 z;&6X)z!*s|OG`^UEfUO}@iC!HGK;uz8XvpPF=GWK5p1;mEy2?Iyf3r=VJXQ>CNOiD*F<@T#1%3Uz57D4f5(+L(huF(D@E*o?!4W0?Ac~rtzrUtjyh;JLgQ!4 zLuE~caG}cQqp+~Z8OWCH+nurF66d7i^2!P^?G8Kdyz@OFE=O>)ts!7>0aF3qSVn%5 z=Ljq~FcOUy+5|3GLqtJZZ@o<}F0{<_IIFHI*VSR+Se7agrn1H)dU1xJ>sX>FguY!v z_?@GEWyta;cp(V477x(ekr{>KVmL+txPU`=FYsoN_YRuI3V~h_n)~p=@Ga>xd^!xKLIFug>_~MKkTozvQ863yjfmfg?qAdC#NJw4i_Cr{H(Y(pFbMuE1sFn8lb561H+6pNT1Ho88F3qze5O}IT)`Lhy`_ZO7Hatj9 zLUNm#H09AQ%=-m5zO&#T5HbiF2n2o7^9USp4Y!iCOU)?oBov!_uvB_vO@xKVT6R{B zkLB>uZaZ|m$_fe+?MRj2#R@G?3Qybu({paUX*@5#_$T}GAO2w#6)hGUpQ`rJ$O1g( zJ{LfUf|34&`o-^b1BQaDRh3J#kFd91-y>p;v@5SZ*EVn2Ej*60&wu^`YiX?(e)sAz zDMK137DiQ7trdac{#HqA4G3Mg$m$-OpDo;Oaoi#yXPf%L-VjdnbeEAv5-=yro<#*CFkA4tOmgJnZM zxJgtmC-7#7#)#~~@@8gXw!U5lKa;6V-%)C@WPruTbFDu4T&r^kFIS$0n}GlitRC(| zIMI&~?L%L|IwnBntOMeDUIycd(v;r9wt& zv~>`XMMMBai#&w2(Ic%5#Db7oo12Z}a!DuR#~SMyEGGm8_wx=j2LpR?8A;m$VC-*T zVH4qk5MW&cZLT0UOC1iZ3L?D#8m2ic0u76lL+rs|L7QL;FbIHf03R+!faNZ$5vz)q zGd%U5!^T(uBy!GOhZ0h30K>{ai$Vj003BHbXk36Ts*`CEYS=rQ4%mSsEsh`vR|@r0 zg0&jK35?e3!;dyOLVoL8-?X>iUgtudP!(WKY1|@A#?XX*% z#b_dFNUcNYJHZPCh~S2GLeu>84fWZ~srivV#0EzCR1SfH|^Z zTv-9a23p>*wYREY7VV+IEsbpw5bUv#W!57GsQJRA2T2*9XJ-(sMnD%Js^%8BqB8Ry z5Pv{aoTbv&C!!P@wRhIr#VgWm;-oy=d$h?8l=Rt2OBVSt7>@W5u%5xUPq=<`WRE@Z zpXY4y)Nz_iw0c=ms@I8BFRX5L-(U1cy$JfHIpi~aU=I-Z)ZZ6o*I$3L+A8<01*K{~ zQC1flkPjZ-F9jgV7M(O*R`+o!Ex}lTSDmjfnTLC0Vc}N7`p7TH_O)6VzbkIS-AD;H z28!ztMFsfczC=(@pE1)8A3Eqk4TRW&JH_M1S-bFh`jiCCdynue+_P2W<&O^LH%iKy z=!6hm;LHfwQwN>!&RF%)Bg_c;;S3=HaS*1l?E90DWS<@x8&KGoOU`7XUkIbJc~)ko#vfP%C8bqj?!6xT!5Sy~*xpyAL%M1RZ>Uh)edfp$w1?h;VGSoWzP*qp(7vT;nVw ztamhi$2a}J-k|{hKmza*93R066<`i;r0@u9d?ac{kc4<1{SD|Mpb^K*kqUT6I8g`Q z6|6pl4z7HbLJ+=G3{T?`?hn<)XXX$g;`O1psBZ{N+-q!T#chnD&E{v_>|P=GOB6Vu zXWSCfqk)^7K4Fc4>p@#wLL_nKmtRzIyb=DRkc<+};?8Yq4pOuc_3S4Ld!K^2@#AxJ z9sU+7Ubm_N7Toi_TR-{j*Y~kue55g_IgKkgZzEtD_-P#p^e~dwnWYMi!mQDH_>FZW zeBt=5$vs8dei*w)*NDP-5u!;tb2@~N7DAvt)^j)y1wyAJB_~);R)!Edh^UFvAlZDC zm60a1JxEPL$S=p8v(`g85(tDov7k)m2Q&@p2O*hoCY8KW0pLx9lju=s^pCY8_A_C& za%K$ohsMqrsEaZ3-i6-{&s{+;>|X2QS7743lJivREAmrT_^E>cG+?GxMRtM}1ukB*cY8SnTZCv+cg$|K6rdp5cPN zcwB}R7N$Z*CRF$Kc^xZ1F4zxQeqo_3i=&o|RVWJ-h5;H77D_43l{FR9YZ-A-R^QZR zl?^TS%Bve}!}|ABk7*2-**9*!NMZ*?0+gruwKiQN0|r(SK@9lM3kr*LHOkh0bV&dA+Ic6F5I52` zuU~5k5uJAFWfuhQOVz`ipfz*WP(tKMbMEo#cNn|Do&^Suoh&wY0dok0c~_4e&M9B#oP zak#sx$YYpUly94NHd{}Bmra!agG%XGvj?6|db9NGN!1`=# zYIAoLNB74|yT!sl%RPMLnC3BXDFIWMFMYzgpEYZi&pBrbk@m|^Tb1yHCYb{QD45fs zfgYPVbB5q0JO~RE&;-$5eM2CuPb}nv4CQTkISg~~@ zVAk*h4%`d{K;-3R3&)czJ0ro}&pqAko&h}&#_$)$PS8LQM8Gv*22P_m!9f`__k@H( zXioXe?;%SEmmAOXJBlnc&TrI9VGd4wmPk#vid6>%w=TSowrMM%f94rp4Mhh$0r$2m z1|w^N{06_{8j4qUP< z-pV`D3e_zak%mywdO@i7()Gjbry zO7RFDb}tiV9BnnV)k3s5_Z6eP_$D_YEN(Z3h&4qak_Q2Us{{83`z0VqTwol4g=;Fv z`Np7#CJT$ZE9QGJ7^~`!VAHDJE6X{EF4Cq=%#?5!BNZbmDLHPPZ9TG{3I*#J zcc-}EA%&8dmQc_I1)3=RacxyE%=@VDcriXHKcvqIYq9xr##lvdm>oXeZ3jx5?BdT| zXruCCZ9=~0K6Z>9Jz6g23v#PIjk&FTP|wC$Lb`}wLWQakIJj__D>bG&=_iaJa3_9Z zp}`0cN}3Y{*l@ZPfx!X$`DN4O8kLd~%Wj^G*Xr9fRIoVml zdC@Pkrv8(VnbbGHELU*Ctm5>~$(r2L26azq?rHPbJbY@#lP;zkG8 zPAK}t$45DFW30RE7^@x($(V2?CzTwR=H`2dgiM^t&)N@23Bc$y%p7Yflql6TVQLWJ zpjCx53_8m*qzU3X07U~}@~}c!YeBM;Re(;Skf2TJF+CR`2ExcERm_lQL1aD?>N#q3 zfrLwtG?Dgm#RT{rmmCF+4y^$}#0x7Z@XZII=bSM_KdP&1g_JSA$rVJPf3!)RJWqsS zd`hN>F;ki+Yat_=gZfLqxi1($coPT{UZL?*ct?mm_~1i!wB)c|a>=Fca-lE$#^zl% z@5PTq3h^xP@*UnIC1g1wKs!6S{d8T-d!nCwO-4fCH^2I`kSE@zPoJ(ijIb$F#;HDO zld6W)AE9|+u0oquX@}A;V&A%ZpB3hek~W%Xh54hcQ840kQd~cCXO6QgPn~V6=M`H_ zWSni^wK*8?5H6-byP`zJB)As-r-y#+NRMVVea>j1;-EFO)O#2&eagxdgHm6>4_*|y zhHyX&ckRs>AFi8dw`}vW5-l&b%Pv@@`uf;_7h|uz_KNRZfANC`d;a;C1L7C{z${oV z5ajh9Zyjy1VuBJd>Mwh@AS_X55L6<@&(RmYW+jUW%LoC<3&Dg-g;e^*lXI=I@t9Rs z59;$M8&h0h*M8|b%PB~;9orAO^0j8oB8wA&B9z5O)DJXotnf_R^|i9$@9R?@vdjsmdqV*3#Uua zcXn85Nx3tA&W8dwVq(&aBSr$HLF3eTnf(xsfe%<*1kq5R!(aD+6C7g#e#3-u&7lC& zN6rI+c|a%B!3om{De8hxQ2f+ewF#e~4sxjR&NZhWwS^Tisd$3p{$~sKkToKR@XK@> zWp5EV191_tHbr~zniMIewG#5s2N8GdOGKfBVY2a^v2ia70iK+cglLP8mVgn8B*X;k z#*95s_=3W?5Td|=m(W1it2vWZg2kGUEIQS9ihG0vi%?;lp~5&QAsT~sxpkomX^-DS z_tOV{4}A~KGjq;$AA{=QdI0;NpS}^B{)^~%7P#`A=Yly61R>vf54A~si~(yh1OqQJ z2D}fV0dRjE|6&lY|Uy}~2KJJo)64(l}!58@GPd?;(vp>vv>l zpwQ2G^XG_JjrY?~dESu*^H@R*IM>%Ts=sXx|A6TZTY5&StysCpUVr6PkH*{l!3Q>e zY=JbPXlZ&QNTDiNNGF)1xOki&Kg@xIbET~xukN*fJ-x*?Y^k%g?^f8v36pJN@l?C? zk_)Y|snmvg+bt!!-(G)hz2#+0_ubnO;r({`<>#v25yeFP z%YVE4^2^i%LV$zJ(GZhoQ5HZE{5_g`fyn7K&Qh9rz&>NT}hR#h`#FMm{RUDAjn zBL;2C)Co3eYMxD;SZJU7+yy>|2%^B13iF0Agn#<$A0R!G6r=%|GA?K$gD?}Kq&0J& zKI@tAu;`Jt3G9jUYdyWg!qYH2dN9n+IqgEb<<>Q_%ImD5u|?KGw;emuX~#;M#jK0% z!H3_p?RzUVCt@DLhhgwVb&nlWth$5#@y7_sp+~eB5pzqIF7<$bmtJ~8^N2;*xwZD5l4IA!Dq`rUyr-_v$JwtaYMj(@R9B(QwEt@c#ddH=owfLaKzpu z7(RW#I!2M;cZ5}QV}q|(4e7q|MTIs=7H3v^l8+nOIo?iB5BwB1- zFcG*B(Ua*TfeZi>%?W^Ffw5pqXgNrqk)9=B1cHmcvYD^7z1uczt~W9aP(0#~&Z}QA z_XvFs+&e)5)PPmJQvU+PWC{?NuCLQ)-EiA?zNVWRq^$>?O0u(?cTFTJ&h70 zoo{cy`J8?3{IhNH)M>VF&tbYLxTtXv^E>M|dq4UHd!=9yIjMTFUZuo9pmXQV_8fu0 zP-La~WiCbZ8gF~I2stv|GSd@n{_JF1zOdN#?60sxm4h}A&0e`yn>J&f?buaoA8y_5 z&*Co$+=nm{AvaSc;+;Nyo)nKZ%S_AnO@ACOKqQ==aJb^bLJ*1+^qK4QM}(qD8IUkr zIPYYut1q+T<#qP#bFaA7wqnJ}?kZ~OJYwgbdxjl4TxaL3IotN_+pi~KGB6|IiL{>j zk(`7d2MFm6rperb(=z#_wMW^mUHhz6On2t&`BvA!QT1p#9HvNybzCT_Pk&ef!$Un5 zHXsE{eJL7~XQgG$R$f&mdQA}{$2BF~8AcPArY#1DMDk!6nT5F9$y~rZu`ZjPkz!=* z2g|y9RcDlNOx6$gs3Fl!uol8zLekYZ4g2_XQCc;d>ER3DBueSwBS$PbB}sFsF{;l* zaWd9KtSF72dI*kyMki1C(CZGBveH$aeLPW?2*E$Nc#~8Y0XPUU#=~=DU?BK{$Uip9 zQ>YVMPEH~fS(M%r9bPQz^WC!s=sRSLD;0cn7ntAzp5WecS{FXTAYrV5;H1rf**eeB zSbS5fK4Z&cQF-*u0fQi-UV`Ajd+t9mr~#K9v!WZl4HU_6v>Lu3xe2SJ6Rgh= zTmZ8Ubp}@tX(KSD(PFS#uzdKvN!AmSf{?-ASXemP!?v-6$Y+(Y1t zv;$ZW4FR3vOb|26*S+{G&Iu^XK&4Cyb9`K)?LiZ#0ew^_8QztL(-bZjeQZ zW+M|nGDiIi`b+`ofG>zgXl|%4!9)j)yyS4Bl^w6P>gr|*vJN#uG*q(v{gFp(NE+v= zlUGPXYPJPp>fL0zRy)-5&iaj(k(pwbUVfoP#-PcOUZ_F!4%y-*D?JAm8tLIZN31X} z)w1(P*@}~wiveYbW`}IsN9%3=lu5RK$4BZ%1leQ z1#{bw9a%ClP^+$+K0{0QEl&+wiQZ3YTf5Fmv$!FYfp^BCZ4U=>l|hxC!}y!h-q zMa9LQo?#w%j_c48b-AjmcPu@A<2u*)tjqpfyObQ-<~e^x_W*z95}x#NJL)%Wh5AUL z|8O!atWb~){0&|o32H51O!L8xEIxh#WgI=^VPQyVxI^k50`|f zHH*~AVPb5;L<(;$* z1DbfOQn4_fWNMi`Yo^1W47*rJUT4s#M!GCM z6moM3XsEU2E0#)#9g|fvS?zY%`gQNwsKQ*EF>ShQjvT$7pR?T3Gm@;asmkhW_S@3= zo3otqBt+$$B~BVbj}p?h;P0ZqjRW=Pr^q-K6Sq zW1)${L~u7iBupP}z8>4YxycezQ`BaO?b%anSy`iP=g!TxX3fd=?zc%S0F`;Jz|97OVMF15BDZkb4WQNtx#iQhhUIlm9iFLVzcJX zwD&*!(AR~0lddexiIXN7IpIiD3T$A2odeOw381t&mJAc3`C(Jj9 z^y-sULk_=sYZ6`Io+F5&6QLDN6koIY)u?ey@ZTeermL;7`SbUH!XKAyTW1B9Jd90s z<1%6^1CevMfpctKEFtPRk(L&Zt<49-*+|tr) zm6a80C(g%2BnCX|--0siuE3nUWc$u-UkR?L zF7D$6;74%dQ`dMG8afmB(f7Y(H{H0#_l+!GP-JswkFu|R^=!NExA$6ZW|p-4<&MO_ zH}pKGhLX{D{``fm)qp@=uRb%GogE0;Kx1KkNW|Ju$C}m4QY;ch-0}AQ#vOLi1sD5y zI}<16YM$#|<6ORUso>LR>o&aOb92twYa|Rr2(qd+Z{J~iN;>VofA_Ba{$Cp`A#1ul z_VilINEo&h&OV7swdXdJ3EpXT^l*i1veRc4*{sW* z^F`P&x^&f-r21HwWUe?hHOjtv%Qpg-uC(yN;u(?w2{w1ZbP4->YpAahy~NmsmoKs* zF_g!jc|pcUq@8>I=WXW9*%qHR-opEaZHz3KRB7Rz9Vl-ZE~G;R;ggom`CBA54YyHa zCi$U*`wktn)6Y0tj4D{;;gu#UfFObixkt)Bq<{reAk`!&_I61X{5Q&;Oj2+%9I$+LU+?sfMv zCmSOe(DKPm$U8iQ`*6|LR#l5G!!0c{O?3yB56n7r^6`lUS`5{Hw6x4MdDhvmh8QD4 zh|J`KkfVG;A24jzjyZ@CKH>)rrY4I21QsLFXjoBf7m15!y@w+oB8{W>ha~Ki5`%G5 zKd_+v5WUk^r$MJfzx44t9wT0S_e9Wxtb+n|l;8xf%jw>keX!rq*Sf^`Nr;NY%x|*P?T(-P+rItnZx|ulue|h<80tATrf8JlMO2j9C$v)neC_&mnlsg{ zzOWGyMu65qo4nj*po#4ggZEwRn!8RBB32Zhgcnpd>qlpueX3R0l-cy@#kOtx7E4XT zzmFTO)gF8NpH?`g-}WEgEC##Anmc-|UGq-h!G?9~Ra1;LOGy}2kZcpi6G{#C|fE{*V9r7w#Ggj~LW^^a$1&j*bTp z9k&)~>Fl|HA+r8Rc8tab&Vq^%3l?$cb=nzgbWc3$iC`3AufFlB4G89Sow06#Kk@i; zHhX@7J^$QuwqX7|Ym|1+k_e0M%U3K>-K=e-8>fUXn72~GH&)twmd2JRg@(kTJ$ChifxZ8Jjm?|4T(B;%#Y>l4sVw)XxD4A~ zHrgsW$68%;rx;(qB}60mx@9e4Rm-ZAIO!FUt`$7HdfIK;tQofdz#&7Y=;#=*H(p=s zbIHMkDBeV-;D7&_8GyxWNN?(YJtchiE=Qgz!j^Tm|qQ;&(uMIFL+Rd3-Vyzd5-Nh2x-R6F*K=( z5tf}9e**6cJUPH1BuG4owvY{KjedV1O;}1$;g&}-SETlPu&K+jz z3j#jk+|y>=LFhEDu4*AZ8`3}ob`ay|Cydn7gYJw}+d zoDNDF43489i*T2i55kL+XK}ZXbsLS$b81OzAmt5FR|pFB&j1Y4-5|(F4oMrNjbY$O zXV!oSNk&-W7al*pSc73Px6O$I!tw;A8fS2r7AfM%lz;^$TSXO-^cB||#DnV)R{$Ap ze69dz*wXXdNN5cG&jq*=4u?=v(&7pZ`{9C*%?HT(o48CO=G!qmd@X zbVm?V?+BIrynMU)>u1}~@A$KA{qV5e_PuNEWHIXQzD7Iy%$2re*(_=0y|#V(5&Pyh zzbt~Pw@n{zw{L&vYqD&%xSJ8zKxknc*X0?fpC$&;DaI7cI-h%ly zYvwFFT2kgn&I!Kt$M@R!31h6GNn#>8NW@z_ImgCk#~DY{l$AEv#!UyTy0XQIBR?DT5h+jsCL_1QDmd@Q*6a)^KI|0JvL+NcuP)8 z7VI1BtaHxvOe6(`g|=t!0ajc*Ri*eV#3XuxvDL=owczr`4j+l3bX*Oso;J@Xa&O9yki^z+Z6l(>>CRfkSiV zeL8i}#*GubA4Ku7v6;3x!-=Cb3JMBcNXEo)z+abpK(N~2DF{K_bp$8TAA~4tY48o` zhJY+m=HRkNAhxyBr(o@;zFtCH?cg~<5Rd>mz%~6ZdSI;3U9|civMvz@&;e`DfG4;P z%n>TMS3;l6VNMHp5i1j7#Ja{2UiR_~-qUTURFbWVl^ntMea|(S3EEO!q zAP|FV^aWV6FNyt0Y**>)2Cr0~hz)phKdGe%YDtKVw25QVthJ@tI=V-KY#b@zn~7dO z?NT@M2T&LnVfMPHuTW}G7!&m~7X7GK@C&vTZbFO^%(&Z52x_?dcX7H4+Y9Rpg-QLt z{)?yHLBoC0s_Pm#J#v&+4iMNRN7Nbug3jVUML>a^$E1yL#t=dZ>DeyC@PvAL;ZMf@ zjEDyrh+aVu0E`To{Y24(fJ1^lPui#YIiw7qC0V9vo8998q?65Z5?lz&mZm24P1>Qf z6zQPHX;aKm^_(7tD@C!-P_V4KzEBlqOHNyAdb2#Ir`Wt1qipqQOYE*+{lyk6oaq)~oGgd$eDe>sYg@VC*lVj+PqhdC_J+GIS1z0B zInx#_Txew+6)u6zniXlC4v9ICnVswl2;vZ82bxCEGUIbWDm)`)(9y#+o>m?q+tJZ$ z?`-(crcEnWKiE&wX$uz3k|3$@u>HcK(Gu<*vM?4nd_LH;MKy-o=Rbd;81p7;XpOPs zwOuw8KgtS6O_mU>v}u#ltw~Bvm~iCav0>{ItjGvX`jPPy3hlfLR@+utWH1F^C>?}~ zMd<3|HP>Hg@4b6aEHTi~$fQ7?Lc;F(!!q)F!`U~)b0`U#8(GW|QOWk+do7ld9xvv8 z#Oi80#C(Qj&6G;vNEdTWvy!s?*4-0f)22_cEn9a8r}}K{_;FTUd(5WJm?n#{#d3>^ zt*PO-+U{}p(%7*R>{wZuGy2-9dRxAHiEZDu!^Vu7A|LsnN`X?eMsmYJArMTNM)`z%(%g(IhP^9yY!M@~z-C4U=CGRP?=;*1D1 z#sv~~q#hD2%c={r`BTCr#Kzcx+8(Q~u5oucaHy@Wb((=mg40+>0RzLG6s!q(5?#>^ zntp6FLXXHPjyq>Phz;u!8k+0$jKI1vjnx+;RObgkauSHh4%-obi0n7ldN0EWE%X5yRHp62S-4CPM~+U1U3F zjTU8&d)PRNGVG@@t1hP_VIdhom@n19nis^x zu;veUCAgKI6lW8P(>-Z)lb^K}2x5o7Xjs+ycapE-tzZp_agcGvg%SPpu>~~Cz4R9N zxB&9sbb)*Mtg)Q9G4`%|N22UhkU_K`_QYRq9wrq(p~g&LrmQ6v6nh6`h8hRwsYbO7 zBH)h~$FRdCN8LKY?S+NG@q;C0B{pWv7)f3_M+zV;3z`bEPS@gu7@e)+O%e)8!KSP< z2{e$4$;2Ii1w+c90U-^fq58zwyR1HEv0A39+_T z^)n;O{`}`>tfej33*>3!rOlG!9A9maKuTeei_S@gTVoiNbrx2ozwBh)N=& zPu#<%Xapf&q?@h@RL(FzVm@BhXFf(%{lh$GcP%U zg-4`WZ{e4%II6_L``6j|OQ*WVy{oFjw(PFaM+qe%+UaL3x61MZYB|!T&7NtgDcSai zKR++FD@F)PO3?VC>L5e_GNTrMBwix%S?Mb=KJ~!jx7=B;k-H*^1?J?dkmQI=uc*`Tt%XN#`;(lDOs?MSlf_*}jD%w8j99r4Udc1Z0gkEhW{3BKULO`M>ph zLgleXZ*<%SSHWHQ0MT_xiD~LXjSE}6CcIF>YHKw2vg9}d1H2&LC4nQXA#>Oqcuzn0 zt&^-XdY<*-9x1=QJ+c;Ec!&Ag7gkBNgen`n^_a+ZBpOb1Oh4!s?+8B9^1ufI1r)`4 z(7!{AL>}Vp0X770^++HG!b(-DU%-QU7(dTYXa|0V1xtV3B8k;~>MP8Hd*MYCese*i z7Xc1EqGNaO_7ZH+>w+5B9{r>p+6Ixh6p6(E<$auuhV6wpSqFM*1KRmmK!W zm;`EO;$hacGLy*)P7g^Vgc(RX+)E)K0Vc^}IN88Cc#Gcv2H~0Hu|gmQ8k|Xs4USkq zBSL#GJ5JVzb{7If<8d5178&83$4ZaeoH=B-)}RGw!p4ssD;I!+3;|3MoIXkpI5>9+5B#2#kU- z@^?)T%oeSQJpn)b(IXOQ(RSBe_uD`Ofd_-O?Bsbu*iNx&P5kgN-CJwVJpNDn&i5|2 zsJL+Zl4y^>${iQ=+T*;aRRFr!A{$8Lf~oBCfWXj2fS6r zM**I~SL+v%fLvWt06I_tB*bUWon*^aF0{OYe0%<-wf39e{Z%lVYh@*eEL{SxzNT7j zblJ-{E!XD`Z!ao3+)h4ai4_(v716W{SJsO058K=CZ?-|r{mvc7Y>_N0U-KF0 zm9VI=nR%%;ZB(W-`8KPO1(TJUBfJn{YHnDsiwF-ET`3?qedW93wQ$Pw%Y{i`$#NP9 zi_&;BzKD1sd9+nkH%LkF8*Tf}z1Ak{FFSXlH8m&L>a$L@OTYLz;ZKUyU;5bp5k~(n z%XKa5?0eCB-4fz}L81j=Sglg%8XIb5p{Gc3;PAN!OH7dUs%aoeGp@ZB-Glp_&EKTy zVZ%2x7a7U=bjL^wpA?urbB;nxMsH`j!VNqi8Bz*y^TWJh>Ucv!f`a>4|0I(`ZC#UI zby7NmAP5SKpWj)6;CU<}w_s>TG)3+L)=AjU2rr6`lqI8Qu^3}yNhZpY2n!#O^%ZHU zY3y&}lxOj&NLieE0N%#EjGHwYU_{$&U4YS2phwoJ;18_*k*|~D5INmipkyo>P?1YL|Ca>ji69A`Zr2S?O`s(Acg1 z2DHZV0yG5w2et%Ngo+DXT#AvRKfx`;!{KqrN`z48<^9F78?o*}_l9G(f2Mff^l~~! zdB14Qf;-S2uuyQh(J*c}!k<&65iv;O{Fy9VW*%rDQAh#Fy2rxlBU+w9Jt zJ#0Vz(YNjX`~G7qR-9yyJ^rRf9cHJTIaSun8@7De>7Le~^{^qmK=jJ0Dre-F^N!r= z5`A!YDIumor-HueRZ&qb7-ieeorm>3R#sD=>MYlMH`}SFoopL7zHJjHOpun^V-@A) zHfhonkCG$9L}hieB}f=#W+&T}@xqa+dTZ#2w4)VRkkM9hw8DlX2JD=3R#;|MqO~

?jP+4kqKFW7fyd^&I1|0)0P%AB5Kwj*w= z1Unnr>oljFT?V%uwgk~Kn_^K^2}cgXuVv**IboA5T033|k}!jpxIm%nDN{b}vMVV$ z+5FrdF=93^<9dfN!W%*xF=^A8qZBHVsIoRp&=Nrs9i73^2)$C8J>8J{kRT=4w+J}z z3Qh#*V0#1>j|d;P9W>#Y;zc_scDT7<&IzJ_Q2>`fXuq%%3+{3@sxoAFBZ-0KUxzV%&s0!!_E(ZHeGP@uzKP z-l`V<2>*o7xOL@q1d@;SBVRZ3zDb}UnA9F?Q`FntDWR;-WP+bFtH2LGtZfRy$U}wv zb$mz1^Bkipr1c6ZxzroXJ>3joM{z-*F6Dmr})_PVw584 zqngCvynH5(<08mwS5aB%F13`Dv|vx23VvF4W>b*l{61~+6ld~OhtA-=mv6Rr)@_qrT`Mg^$TYx);G_1#@0@L4z2P#8z&)pSa3i6H z&?Phw#ty>pPLZAD$g+D+mA&}l4(oK^Zj!zK{thwD0qgER)C0f_=%a!4p< zXJ=ZbG&PuprojupktCKBIv;$nRRlOJMj-81x)s!so}OTvKm15_4%pJA^DH@`&lb#{ zX?u3>wD;b9-^Ldg*{J+%E1s5MGm9Fnf6NelWpss z20Q)qB@*;OROEZ>UbI)9d%=#E9 zYHjPXb1(e7^$tW@Q)`b!#>LoaXPjk~$IC68qbt;(2Zk>g8TJ1u|NoZj+cx?}+JaGJ z2MJCPW!)-Tj28S$NRGGogg7gZpzwew2`SbFaE~87d{j&>@E*V)5L%xtM@vc^H%TLg z#l>fLv5CioBHW-Sp2sx}-vBpY73)en-` z#tWDEZJ<}YEoMl+i3a-Ek0+i0Gv{5rf&QY*!?Rd_Ba4mio76RUF1#0pPhU~=87o#2 zd>B`myUtXgZFpkn>?E@7<4z+$jFd{A=m37H-$DX}-~H$aPU01JQj@w*f=7K}%K>n9 zOG>mwUr5K~e0PL*@jGy1Ie~Wy^;75%Jj1;~5`J*M;GKe3Ltjr2QJ*eB9kklVP@B*ZWv%`lEyA_p^p5Z`C5Tf?#{))14 z%gM=hGaJ_(>pQ`rUDB4@+Pp518pjrX>CE(G>lMOsdSzxts%y}KgXhm8A_Z4btTgP7 z&Njd16Q+Cc19MOvdnt1B$Os%`LU-oEk8FW6xCkUjj@Cv3@z#Ws0Tj=)S{ zM1uY2kqvgNs=>{EBzI`Bl8Fo~M!h67+`L7QG7G~J#6o@q*d5=yP}W0?-TUij?8dL1 zW_SJcdAt3GU)J4?*3&&`&piE(iT6r4o$luT-yV6z#ueqtTAFJQ{^?CS{|l?^x4(SB z8TKb7+}F`?DX?L)le6$0sf93JAa42>APa6YcQ;C~%$uKQHI?1ICWEgY#&g59SIFvE zZ!_keB>ao9C!c!J8P2#dV{P=9EE`pnX-_@2LF1B1Kg1$>tet+wDRyM{M|R;kldQcZ z#vXWfi)SiNNX)c}6LW3xOeq(`v9_k!@?LT;2LM=?pM4D=NoNodoz=6%m zSgPzhLUAdQwVPXzZ(FzRcKjG!P~^GYn9oTQ$J>mV)9mkmf5L{Zd&I~0*)ntEH?5*R z-saAmZm~%j_7w0fzixjpv_ip?sVTZTz+46_jU_TsNF9^S8 zZP{VQ)(5qKd%sH-09HABnBWs_P0fA`3L)6I?h!KAUiY`4!jbZ~zg_3ip;!fkp0iI5 z4+O6G5T3%sq2B>18!%DE&HNLH!#08vS68e8(O4% z2Ff*c!DB*>8y{@k=f&7r6CZ-f^Si!t<{ZjDUY(EeQs5w=0emoUYa%o> z*HQp*U&AAr?7*6oH5VCSRn=13HAl?<+{xKC94n=8Q-$^ShWq-CM03E~^^Va1Y0`;H0q%mAtV3xYK7a1QJ1~tg zL-B=j#4s)%kE9X9IaYd10GH+xggJULe zxApspvP9R>XTEncsnQB?XVul$={`2R4*2F=PO7bA(G?KL+->iOwvVWh+maE^|1>K9mJ<+xIWGfBoY*d-IJg_SLU`-8a+y_O3^4$+Cq4XrIlQpKoWL zw#?po^FvK4lc0exKfD}&^nnS3c}bvP?Qo40Cb$jWdGCOY5_3J}%<0Z>-`lv)K6r13 zn9@ukVvMa-yZHrKHgiUS{rb00*=3iWZj&_LKi&J7UG~LO{qVE5-~Q0fIQrMXALinr>jE)fREh32Jt#5|82EfbL@3Nd?q z@5j_B)2y+%%_GM-}GV=%NBCWzFjEayxjaRQJkioK$El7v|f%(cxB{KgQO-yGepL z$}+Q3?BIbiS8mo^c&@#??x-DYlpvFJUMAQFnHHjDd5~>{zK$d@RhP+o$9HI#Y$*sO zyb=ge7>7sGVGT$C;cnvTc&W?aVQ(*4SK@@9L6q3O)QR>{_$XU2puU_?77kR}!SpG@ zkuKw0ruc*y4^1b!4UM18wJ@&)2~qJzSu3&#q_iRg2)*{hKlS^JnVUyy49ea;^F@Dg z>Kh^KL8_eQ)@I`rTh1_phB%&rqdeeQFf-g`8iGJ2#;bW^KNNFCG$3A^?yfG+y3y9g ziP2GdZ2J%5c=>$ltwk}iZdJSBQ;;R@Nn}1K!O)= zaeCGWhm;w|eNX}`Nb{=STv(|+-ibhFd@iu{P6Q#*b#C2h3{xkL7LHYm*D_xyEz}b5 zL~?mLt5ESeFl^Ni`i{^;czC~PPuIL%FQ_{~p)RK%eG2$pKvUoQ%!#o~9{kd`wWzI# z%Pu_0!@k{jE8<5Zb*o4SL1Y|zTypdC96{*}f{O}R*y1oPjx2|fVdXTD9908h@;Hr^ zer9I{$DAj`arj+uPChO__Rnww0S1J2(sd>=@V81+6R|J={#qrN=rBHM%y1Yvf(O%- z{*uQ|IN=jEB=a5ZhP_1uIFOwja3CO)6VrTc2?144L{L$>{q*j~eQd|etL>cAS7@T8 zv50U0w8XM4S~O8(>Jx*UWV`lO*{qovcJI9p**96Edw` z%EW_zeaWuB=?t5@{bouI-wGE@?d*Z1(IW_QH#A+8L)6+u~*Od=qDX?~pXrIL)C1 zQ$?8#M-17DWeaR#c7_$@rP!9za(nM1*0M5P+azCNYh$}@dhb2E>6&w8)h1c#@m9eS zO%}HT$_d((h(^eE_)xhWJyK;07R|N~H|?_4)*e{{LpF2fRJ$_uWj*sriGA!g(M*i( z-FHOHK+umGviS>_T4`B@^$kYa)LE0Pyu4Ak1(9Guf|U?_=v^2=D6equ=#f%iQ!B5i zwgn4MlJ;3CYza16l3aDpoP4XTZt!gZyLTKEjE)Ny!*=lSF|U_3ilMG{%Z%-|wHqq! z=z&9a!I~MiucTF4bDu3(FxKA>NVsBEoO#YN%Sg?VlGbd6`T3f6+~vVpM!3ybAHgE= zwF1Ef<_bgegR9k}P&-dV{4^RNgD@rSh>9lE|POiFh$6TwZ ztgwvC3<*&w#~NdLTDtlbCF}E;6^6qwziN$!_|6qDjVjwgTdJqHA#Rzlu<)vq)NdeY?MWc9rP^M$0J>})(`1?R#ue-iI zQ-t8kp?_>?V9%5bebG4Z_ON#eQ0gU7G{TDOtWCjNNDj-A1oPw@#x;lVT(LMG2kF1y zgD`Rway(RQy+}+*)NkxTB7(15f=2i0Sv(T(0s6~$sgFPsw;)yTyjc-~5jpcZgu%m> znUP{+M+q;b7`1o%3Y7YS#hjpV#HdZy>4ojWA$S(`!2{T1EI$<4Vi5eG+0z#ws>b%cb_Nmz%Bj6V%7xKr!mN24-TjM4>|3{e!5;a?O9EoQ-S)jJt+u{Z2(13ds-cX^7aKZy+(`D`pay19t;r80AZ#cty4T6^upbrd( z(f%X=qT)PcfAiLZcILUKYL4oyrn=5vFA7pSomf^ao}vj6qt&w!k#SNk=G&&NJ8bIo zIhLB9U~j+rq2nJ`>WWo!bzk7d1SbB{=TlCdV{_*(w9MRmd;PVwZXLb*-Uou0=t6kp z_iGMVOC`!`;*?3&r#7>NZ#`ldfzqXVRxYvCCrz|*oZc&IHa<1YUV3Gptyq1!#Y#|a z-F(>TW7X>Aj@Pd|ztOgA-Y!dD!lR?pagi_;*I-32wCNhA=#TLMbLQ6Zs$a@nOc0F* zGv&B)p|mq;t~uSRXFalJQILp8BY=o?SL%TAf3{p&^{SNETFpP!H7sh#<}I9Wd3gn@ zJKS?cmdScz47?Ch1Sa63g0T^h^w~n%pW@@}V2l)=nKEgzyOX9Z8MMXI3*BAF zcso0KY~tcWwsgsQJM+vEo0^xWF$tG*4v2wox1yp7D^3s2@!@`8A9D2(6_}C5sjA_& z?EE;JG%?+>GSaQKu3DCVig-kftk~n4TeNxsS@W2conliamPrYgRjTI_V-m!62Q5m% zd&$B^TfC^&=FhFN;&GxISy392#gD1Alb1Hw>8o08jOocu9*WLEagM00{zwgm& zuf6oIL-xYI_S;PGLUYTQfAQz-9+*Z@l%~p35=ghyWIg8$S^a|}u4v&*=yX`;OS<;J zb5*wf`D)wva=p!xz+jsRzs&PWjwU^Cu8398RvLT>|cmuf+QoYi? zh-Tr~XOe%iI1MwT4cFL5fQ1&pGi}W+*4@!1i;VRwBr#cO`+NeBWH4Pae0O*Ct4|5; zcSVqtmL2y#a?S?=f_hmS0`b~9)V44J|9*TtKKmY72HCb}-#%%&eRlWn9(8RAkez<| z=^o1Z#=4z$`%j;>?%sIsXTKO!2=L$f<|TITZ~tN6xb-R<=#8|q&pFxp#I!HC=p@w< zV}HKyCF|*z_1HULH{LMMe)#RnMASsih;`_BUa)>%6uwiapA#7I{q_$_$PdTc_ilgM ze)8jg*-wA|Khkzcof9hr3&NxO23qZJe|=0a54WHH_yK8d-C{DGcI|bi3*n+{*UqhW z^2!C0TTQl7@4x~#3}rx8?!iN{2nGnV81~R{3X8_ftCX&f`|4{Gx<@*f{owXLT1~@A z*4`zCHV|Q7zTsLsdaT-oNq5^g&2h4b4_t)BsZy&O0b7%Xe;Fh*lSr8SLAOV~g8*UvP(UzJtTZEY4 z`1R!*E|AcNtXNA@M`bM$k;j33VMVFSUAxZj*qsBPARh2CFCg+CXI5Tl9ipNzM+|mVZmZF{$Q88+7{hF z)U26MfEmn}s5R)6xpl#gbtd}cFvmb)3FsWp0>X$j9t1FSM8p^e<^9&?c%3H}4%rL| z4HT0@hYorA9*)R|uF2$&2L%^5dj_j3t2{!FLjZBvf3^TU`cJB&B+)lpHlSyC0C@$m zenw4w$)*=d>14TfxW%r#@=vxPE!;{=i-a$2ws2;a)9vWluiL756*g83e)h?a+Lylc zSDP#z0&j!w@Pd~SG(g`Moc^lK&j9~r#U;f{QH{2gqB^_e;sb&o%2|hJ8)>LNZ0DZ$ zwq1Aa4vUPE7#6*Db+%i2>abn>`L#A=zAdR?4vcJVJ> zvF9Io(cXUI4SV^K=j`?0m05gckzM{@v+avdCfI1pu`9kZ&#wCFTs!v*-$Ysda%*ndZWS~e`2p4zf*#Cb(b~BDsMd2Vu!aKv6Af#&MV+a}s{C zGYTz3+7HCi8xgSj``YbW-}#)7w$*<9tHEzpjA0yI~=&@2#$&P-fD;qwU) zf}@mwe8)ZZ{3~zSjbA@Kl`7{^yHwlzBDVy zFOm{5WLIB#p3R(*qxw4Shd;hq+I6STLud~O^F_NL(3r6Wp1_s}DvFx-K0vr=)T8x# zLfRhVoSIfyj=#O{Z$k7)OG+-3Qm{(|+b3qa(Os#mB~?{++F=Q$C!cuB?)}|^He>oE zd+e#ZY~TJ((m-3Rx-s0g9g$ek9PHV%&o#2kFFf1MnqO?a8vh3kgR+{M>~DX2Ku9`h zbLUR8|2+JxUH_%!cGcylSfqqA%zao^zIIsqD|SvYH;dVC4*3 zvtWW5By#rQS(PWEPER{?VqnGxXQX9g%`hKPi@b!_=PG7LzR8v)D58krEZu)n${rdW|?2^BZvZ|L? z+c}plwhy;Xw$Fe0e7opN=h+$G$aXhkOl*X`^UZ2I^IJtyK-*=}Y;#!kLBJXm0vC{x zw~q4OU6bsF>*w2yIr*0PUE$8!c*`7@Vg*yOZNl7Kzej`hd7>b!`4kbAWD8G8wguma zvqh_u{Po(O;{AQ$b;89F?|?px;GnLlfQv4xM4Ry96AJ?9lV_x3sG)F>iiZ(QWa(wi zAeH?)GS?9xWcl17jP13 zqW$RS58Iu;c|nj$)w7zMehCoOd)sa2+F7SB5^S2q7CCpM&*m*$Ak8YpzVh|U?S*GH zTEB>9I2;SJ+J5oh7u)=KvLt{7{t}fy0I6#Ke`NC1AGFiJVv=6cJ80}J{@E{|vPBD5 z2{}lULKGW${^ISvd;V%)zx7&Mw|1?ywKvGJWs`82tW7du$ZGrJ-()qV+0XyykCu~_ zW;fpSCGahPlaK`Xp^0+BYI742jDxx#W*4~ch*F~L*|W#mI|vOQuy20*CJ}Us#wR7D zTm6n={|EPpWyrcaUfL`szQ~RoK5kQ{O|)m9-Do?v)!P@Z_^M5uSYXp;r>c*E3n{-~ zl;aUXy>#zB8|ZAdw3ImOtdFsC)|~G13@#kl@}B+o4f8FmwZmR~<^{XymSs{(V8j7K zhA|HTN#PmiAAPnAg~eJ=RI>f;okm-?yTnGtgxTbrC_C@uMYd5(uy^0vV-u!M*84UIl}R=_Kf@L-m@RxqI3-$7Yrp0)S#m*^z8D?B0?-A8HLh^I zj#sqX@nf6_EQUH1C50-&-g|eO?caCQ=FOPpX^e(st<5`Wp}j0?C|rF!d-)6vsoAc$ z@KhTLPd83#CIdiDh8SNLk)1FM6fGiDp~t`lMd2N{x+@Z*0jwlGm1w!hWv= z3Dyv6$817}hOkaLTG`VV?peRnGBPYdiVtf=pDkD|Z4%6h2}#ZuO3RKpE`?m=xcE^h z$q3E@K6{dKBf8oj z0w98o_kIqMn6yVr`g%7@07KT>nWYx$g-gNOO5o`U^YC}2;2l`0M7>3bLE|1wj0-3| zKPS z^J{`&xb;2Ks>!i70;r$=^mp!peEhMeWo`8PG0x9F_oBuSn4&|{x_|o1|Jb%I)tUx9 zBh#g)OV&js0&T<{XB~dP9fWt!ZyvH!PFvy&sH8O+90)T0o_+c>`^g<&l<-DF1>K~1 z`6z+{JgF;KOxAUM$GyVD5#Ii*`yaEre)*hRqulfJU;M#t`R3JjVDDjDzUoxLDc0`4 z_W_$Tf2PI8vpKm-#GCEUV}Z1__Ss+l@;7&_;-*5Q9*{+Y8?>{tSBgZNEUMWOgd!pq zzSV}yCLkf?XU-Auzx>7Ty??aV(IvR{b;{DLw6ENFq2mpCu-;j>%frSwgfSs0MV2Jl zwZrY5^~bEEz26@C*H0}yqfOd#wU}+ZEnl+KvtzH{y3ZOrBkWK~r9Jz~D~`@<&NLMk-yVACBQdOKhh50J46Hq~8?V0vaDJ*_QS`|A?#vbL6fTX51c z+p+V2Z(B&u$hJScwA*GZ%C(1{{Ln@hPmtD3+MFPVSvzw|{TeUxgdn8AJef1ME`;-Z z_TU^6qN%Wm?t&=}_qnV!;TCvAs5jL?mn^!cr6*WcUb-_;lBRMFlQZzH|GjSruS2c) z1$YL08XM}3s53vrPP}8>IN_KpyF;#AqTq5^Cpc1BSvgY6Cxxvc2ngT?k2`!+nwa2- zkigN6W#3FRv#dY*7F~I&?Jdu>Yp#9JPF=X!`#```dRmqxiA0EsM99Jy)-2m2%VwFw zhyG9}@F9DIpAOA!1sC4|zFV{EXu%~BGh?d;PI z*iE-@u<1#fhgFBn>ay(Cuk5tjze7+-r``UI{r0`D?zNv^+o|WJSX8Ilm@7&xKfBqE zSH{_Q*DSWBx2}_+500?eH;8J3&qPacT6)_Nd+FIdcKkr2Ro~rbwz|{Zb!EG&?C`c4 z-5KmH1y?8&=1;J1t~cuw9LJ9xuaTXHE5^0~!bsS+%c5jlUf*{4k;~c?gleQ74AUl${t)<=l-wYZ8#s3^15oa|G zjrO;{zNY>o)rWi??CR?-GEPEGkd|}Zb)WO}_kotDG0SrBgX<(KUs<=!e(}p^Y%mgQ ziRS|#Qevay?4dtDVb^`>Qv2H1ZkA?SCkw1kg0owiXpV1M#a-Fo)nwoP?pgN3+rD6f z-HkpGQJOd=5~N`=DPFH8m=`V<<_>G6Rs9%@5R&}xkE%b(UU=noTXfPq`^UpiSxQ=t zU3b$3mYtn14L;6NWC`B>?9joZcGFjG5bUHyb+vn9TQ)Af`ugiW zcLO5gQH6QFiP#x}D!~%I?FZMpb%u3P&jev`RFdCL%U@O9&ty>+2Cl>Z|MMotGr&Fx6 zpE-@SPT|qN_w-qxgwRVby>CMi3GVVsl2*Td?G}rUPqA$u9C1N4Mz~f|DcCi~SVv!q z@J^N;b0r~_myu%s^Rs*Htrst|-`=`Fy$aI9K!meqO>wJZ%$RH`THRJ%Rc{lrbM1qj zwYF{NChKi)vyJPwI}J>oI^Pwu#VgOYjax)>k=eFy?;-U$!6r_aBHU&n87mt45~}Dw zaDx(Pspi%#^b)11CSnWRhemio*QDjCZ)%hf30zDliOeBMS~=?rL_`5>Y^rs45XZo< z1tW+!{B&Wxj3Z|nMVlE}nO2aS?@_g_vas-Eh!wi#hhG0c_eel}p_QVsnSXj!tE|^l(E5=Mon)i6yV#;cu2^$i5B?P3We?hUPpEnRlVZn$xir8iHt2cD2(D5aRSErNY8S}1JD9qR9r zGT-Ludz$L1-9pOGPPdm{TxLQIi;_i@ba1}i`OgdNu_u?}?jLXW zKAmFyQZl}E`y#vhp2-%wa-S`?c;9cf^sITpKU$LTAa`eApxi#H=UJ5`^3< zhEZA3sOusQX+zW->~SD`lT6`*KFMf(n4}B{Esb?8+=d4Z>~ms7sBnL)G^?zv96M5a z#9m*ItB%cYLw5Bwmy2lBrY}ySNrc;<|MZM~>ALHkcnPC))+#~3J1L9$HHM%4>_7JF zdtTN+BwS@Jk<^hiL*MxJC9>f9B}D5iJ&A?$VOzWQ9V@S{vMtdX0Z~C zVS{b<;~!jNix*DPgawgfsD+`4beEYX>EqXkxeHh3>DgUyik6JckcyV`#Lhkw}> zS6m_ZgxSV-ce#rdLKuojvY+4iclDgf59X4BdxZ$AKmGB~8dJDkb=4OI`woYz_d_^A zn*@>&Rv)-j10i+iU4Kv?qkZn!cu0SPJ`eeZL6W+%zDGa}8x@&@{=P_i?X~x%B@Q~% z!~M5t$pR@s33mBqKes7U^KARB2W`frvCb%KY7g5RZ>^J1O^^mV$zldI&y6)UbMk20 zeWX%p-Wf{J;b>Yr>&`Az*nkH?05QvW|m3HFh#r zL%(c7OcyPs`U$GRJmWH9?;2JWG|jazwHd7Aa`+#i)j{;?iG}Rr-0upDa5tQdn}P{h z$cG0+lM=F=1U;%~ls|vGoU@C9JxS2zXAArW91G}MmY~L%DyxEM&|@W~79T6&2{P+? zT`iV*pGTV!`uy^%x7y!7$gqpPyupRChG5OxKX3Qne}(<|&)3-BpG|OUiSoh=7uqYY zUS`idcb>g-EPz|13^OcX+6RY$aig!bCW@Yde46vq%tkM}$F9HOuXg>HAMm=Ctzr8^ zv`-ZkGy5K&NI8{=daq!kH2N_ zy?RLeT0}TZfY=D57cIt!2zpwNOF8PX*|UahrvDOV3+G1LtZBnGb1DKwil^>ly^-D? z*;-=1Ud4TZfTFtp$g(;*_7jU48f4CRQE*!m$PffGh7mjPgub)J1XCiW z9nFgg02!HZveWkU_gZ$QEF)=X7#&zka5MBIh*3m@M_XM5r^kvZBqZDN z3(vN!G1Fz7CA&7Cmo#WsUA@wd)s@3Hg?WJKN4%F~Qcae@8;D*PegodAGbXGxDsdQ8XY$ z!`I0?P=~D6j9YYwRit-+X`JqEk71sNthbMl=OBE0Nbp7h^=EZoOJkEW9$dJr4>M1# zvR-!o$?^6$CLFvueyl?HLdbNE=5*Ni zB7TDDpA<4<`(czLE;2Ic4~P$SO8I2{iS$45;t^mQo0GYRs6f_&4;?rx-a+y3n0V5WK1V)T3k(cj3*V5)cWi#?Kr5JRpKV~`vx!(07%NSAU_px#tm+>U;(pVh!uoY5+t#E-(Ff5(1-o}5q6j}>2!j{R5}Ec z8x)hlRWNhLcpEz|PnJfIQa(bOAR)d)>#;w96hjChgcS>#8KLe46ZPV^j`{l1*vVIXlS9{=^q;K4dHYzS@w|@OfF^X#IXsxxf@+0>7 zOPATZ>$mH9{Ml>(?z5Gva_z_8`+_qfrYJO7l+aYtYwC4xnY4R|doX;^cI>KG`(mzx zk#^VJui3%F^;X|fBZieE;i;#*FTv(JCwk2#eM5k>wl%v&3j=!TrB|%DIM4p;zpk=w z!Ik;PYsOsnO6cBp+nxSQZce@!owUuc0P!fa5i0E4BGm~(r@5s|gva`x;1w37`5Y1> zAjG@f&N}mSUqgE3)eY9)8*l4g@3y<|d{jg=$6`cG^JY(xqLg6uV(jl89<~n-G|8gK z6gJop0~H^r1BVZowkPs%jhTwP#PY z=U>=nyAPyF;Tg8laxs`lRTLw^A-o+DEexuE?|rz(X3Z_K4@+C@<()nD!lnw%`%>%g z=n<@{Y}0{oE30g@@u>;6Wa(mA66%xYnK^^Gb4&;O_m~54Aw)wk1hTi|M&^4;Vv_#` z9wsIxNVv0(GvF2w_h505It%6tGd_IysN*8=M0+Pq4E1mgZMjYgKre@~eWvtDV?Zf| zk>V2PoHGJ$z=>cpYLf41>+bDzLC_#G1lnP*Bhi1KEv|qGrx0eY)Cgzj2W!SzS!u3R zf@MPzdMLkRSG;9k`trke?wSpD(^p=yZ=7|+wm-R03TdphLc;NEstwk#z;Ehe%#1Tb zLdy5@p!Eo28n+P@x7V(|`T^DVtfw_vlwtPdj+0!eI(hMK+xO%&SL&z(L7bj8)(9Cm zbwadeBq$_g#cGTbo~}J+cG*|At8JA0K#8E_sO{i1T9z6bEF(3=$m$>tBf;Kl6Nw+u zeBFCbmi_Y|GwomhoGLy=*nO7$`A-G*)Z+{7KmVF)V@IdCwUiuRp5%v05v_1WNw7v9NlD+t9qP_HLjD6|H zpN3&mW}XqsJ+Wol9&8Y0#ZfI(+*3%0s@j$;xS1P5Yqaw22vALw>uMI&Qf z0!@k?*0>h9&LSlKX%GfNfhZUQbyL?*?|nt%7lRn?vw3qD*+-k-w{hbqSn=poX-Jh~ zo>kV-h1;>ilbqtZM9ciftzWm_-~UIu@un}^y0_ny=5n@>M}LUlz+ph-C(s6+RUD=zk^D8jx& z{X$sy-WV}#*OJ232i;3MWbhsk{KGhCPxm1tR;)VN-gtYX<>il)rMO6z>)V!}+ik!7 z?GNqt|9-`eSM}PU>K@dbb`Ph5Y8vB6CJgFTO))WpHhac&YpiWi`;m5F$u-`WP?@yt zQS0azLys2I)cE@a;|`+35v-7`6cyZMC=fQ>YGH}dHZ0|WBRUQqIA-0AjrNuAF0()0 zv&Z7olB}nVIhNI))@?;Ok(LqOWdHp2$#&iKkJ^EjEUN@Q8awJw7*gH3ELw2POdOO& z)@dtNjI-@q_V^yJi|1#^dW*96_a3)}v-9oUt-GvQ3SqM7Cs_gouR(akkmK~g5TX0H zWBM(LEC3q3Mk9+>Fc9PG6Z20^N|eUVX5v0eNfK?S_4clIcMr-W@ZUH-0U?zwq1)cl z>ie5IJDN2IIaXG7)Fwh#r1Kfe$$PNMRBP`d_3s7| z$S|AX(Ji(zEXNjK6K@~n7X|z}^nBX8A>sAC);CA3UOp+b_ z)IrouT1uibUBb2nT`_49lc)~>5IWAeO_=W-4u~en(FhYK6}un=S-l@ZDvgtZ(0XUxA^Y&dgFX>*pKhHUCc;GB_?>+&!4yX3ntjImE)XNCAf>B>t2)+l2|TUHrqCT zRIM6F=d;ANY~5y;Tymb3AKqc3i^kg4orAXP*Z~WRDw36ewMhNJ^N}L3?|*f*J@Hbh z;IA5_c^+8wMIU3ROxf|gHTQ>EzXVyI1XiRK_?lL4dx!cCY|&oz(wHnv%shI?(le5+ zp}I!+DCQ&0bM=Y|Rxg^|yjK~!{HjD$jmjV%n4g~kgfGJ6i$ zJ-@!h&i$_!tS>6hN~P$?$Pj)HT6S8TU2&S(f$fK^v?)mzL#>^1%2Ipf4FBAE>;0NqoJ|RL%#{Hrm(LMCW^a0SGYO7I!l=l&3X!*vK8 zQIx@3#!hsq0MTKEZgBXklJjMvx0*K*+=HqWqYgonPPo{_lET( z(e1ai)J%^|MlnBL)oyKFefHjaTkQ|`HUk=K-9OFleWBBimDTw+5sEu zeDEp67x=BI>=Oa{eDi}8bKoace7h-f#W zX$EAXN3#b>!iGkf6oi0(R>wi)1X)y`b4kdVChdg1IBLR5kCpje2=*$~Nr3QwvhX6P=pa_&0=!zyi;OhipP)aJs@;o*v5>@vRQNT z?5wj^+RuLccl+M$U$)=;`e9qJaFX49=i|O%@2qpz2==)A$Y)kyvu76DyYKGx;1M)4 z&$uvR-ZFtqmLr3TpGy*a^lQOo(#Zt(19Ywv?FW2xa5QI`*MpqcHwJ6H<$=P(-R7-svY|MtxAbB}W^r zA1z;kmV}#Vv23;J6pxj8#G@XcK&&DY}cMT2`}7rkphA0 z_cqOnit=smz9z9}31<-nsf2DRe8$HYD!;A0(gv{9A^@2{X1|3Sk=fQ!MH*|m4Z$pW!>lVN z-JX5(h@Cq>%Fa7|mQ^0Dw)FfoYtemivckH`H`%GH3$4Gk!OlBtzPF>8c9XTY`|hWK?lQFvFai@>PRiaUKG|FaH|m^>gb^U$Hd3Tj@9Qd z{Vr$_hOOIO7(p}6q?+q3KR?T#>FR1@kpy)D)CmyB4_i zj;oF!Db0kR7|`1rgf5c0Vc_BG7smkD8C};FbSgCQO3U*=6 z$T|78x2M+%3JctQNIpCl5PJ2fEqBLBxFVc9Ktk;x2(#4}Ka)*Dg{1?c2Ei5j<+Lx@ z!~YOE)ekaPkoXoiEOVJGD~)x&Uh%lb26!%qAZpHfqGf@l z2*)Hu+tcjmkwHC|t3GE~b7P|QOGr%rG8XJU*C{blvle(6Eca>{6R(#j*xRSI`$}_;fzro z?9D(^0cjG2d~Kc4vYyCg7aYUhB<8UH;8E)r6FzCdLTMTsMWpN}=#!wyvo~IQU*ln*X2`yF z%MJFIKmFaUtRxZIj-5O0l~-P|Y11a#y}t{BJeW5K9D-vUxOEB3=Qp%h)-w1!Z4SB5 zxE8F1F<%HRef4WtQ}h-FKtCDN@KCUs^WFD0dzkN}spIr}w4Hy!=d7_K+Fsdk#HP<2 zXFvUcwCLe(9~TJEp@w79BP}5sxQjXHnE_qW~>ajZ>C*kY$lh_yLm6Kz!fJlnIY*G7#`6OJ9SapPibI6B@o zY&v3{gMD_+1xuuqNf->HNwc682>O1_FA<&6>70g`OVUJ9$gxZ(Ej?B2Wmr~Ulq@c3=n^=*;>E}h zA3o@ON?mn4B+?dI2ao+Ax)Waf4$dMdIi`c}Bpp3|To$Kz8$yBu^ne{>p)JP2;e+rk z7sTQ%@Izk{AK8lq-m|$L%Zg`l%~3b65N)9pbBqs)GJRlqBh;tX)@I-LNZ(oC=6Nhr zT#4|wg1jtGK+PNjw|?~*mpIRc=$v-35O?p~;qJ#cDHFKPIW?fSPxnYLU}a7$EOI{B z-X-|BHzc49_+Wej%O7Eul0;~~XgMarVxz(=R|klH?3NYd@Q)?*W-(L@QD(vX=XHoy|*oSU01q!!bW_R~;b@0)Ue z@w?~5pn_-?>e}?dR+~F-rWi+yeeU9mZ0DZc7B6kg&uE*o8# zZOcxYVOL#uiWL^7s858_Mta!ht>65LYZ$<6)yYfk{PWNEzT>v!orz=;?)=qL_M7`( zvX+i^A&ImC1cUn1F2wxyw?Ah;yzLLR=Ipa%32m@zuD;lQeb-;?k}J-&yMOVpjV_uX zmL%sm1^G;VCk&ZC1c?#nSeWozE5LSrLTqQoCxKL1`k1)KID_7cs5Bytm z5N^M@=O@xohiulYS>Df;s}`%mU@sWOnW5@rZvk#am=E>1b)xFRBoHFQM*FzIp-+l9 zh`aSv$it>*RErCuIdjxjc)ai{Q<`PC^>u~Yt1oY~-hnPVcD&j;#k=-w>~ zCrWO`vrHo;B-~mo-hTP7dK;Y=W0zk#%X(XCZQ`6(TYmB>QnLDO{X3$m^a-kxwc98e zYRUG}OIy`Ov@9NJ#!Vf@hF)BTWRW1+k0VjgOtDfaPWYN)+;vzLz%@5JUH9UCB-A&` zg$#M{5=Fmk%uNuj)Hehh)nR~G$GFF-D?caK1p~qjj|fMF!_Y(folY!MrcROqD}f_8 zk%)Np$t$g}7d(|ZcqOOA+o;h6zN`SRU@s@( z{Bhz1L?@S&l(;vEpc5=i>c_#IO2MxHXU4b{>%c zQrlAU65_>=B~bU3a*{Ob$c!MMVPL~LRI)5_!uR`nI-Rd2Xw~F9!!%I1JLjW18mW=esM8H0o%`{k{Dbr-6~qzEAaV&I<0fBZo`%I~s^ID6t>T zB_Y#yzpMhzJ?WOEL7%CY^d;;Z_bd(#^_G>L=N-f?NZ$ZRC}||7G-r`?bauFjj<45K zv1@SjpK*|yKPz{XZTRSj7%WU#0K=42dk{vC?wc`vnr+{{L-oeldFP!g)3{!@fdn$? zB{=cs96DMd=8$UJw(fC4#(ZD7beV1X=p);_ZL`MEBdtP~q%=q}J>XNm@PgB9(v%5y z;J{H4D~v%5d%*0y50BY_eI+()&ID#dCOyJw&{i&=VL!X`KD+HZ-?4js_kf5F-)fj$ za_QN2*DwEQHB}upe*75Qv%Az5EiJGGvx@Bf_qOO3F{KeLIl#350Vs|TCulVzSN%n3 zYUo~4DRs2Bi74Cc;!7^F-~8(LHgo1|d-b)~)&6<**S|a}m`M1GvB4aGA?^~wK!r}K z8@EZkG|54?Xy`{xRRc9MMBNvumbjDqnWq@Nd&?3+LQ)CDvWiw+lVZ|Ol#zfg#v(gV z?ZjDi713;cu3aB1VL?C4$a21OXNfIdKHFx`NVJ-!9xJKQ>v+A5$;-Clq9VH@A=oDp zDtA_0tJa0fV*W|O)!rU@K?fzg#R!?BF%uK5rLN8DYg(1)3EUN;TLKp*oO3CKBNo?S0cr<4jqfIEeG2zJu}`4 za;Mv~&wU^o5X}iPJNF%z&;u_6VvmaGmok?c zTmXdjvEgxm>0{l_ne*ul%)53kkWwogQynd0gzURQ?sAj_UI>o*hcUC3L(l_CCuz<& zA(py%gv)M1>YWxnauqOu`$l$^0ITSuBlG65@Xf1jk*t<3#>91JnCb}hsXF) zPH`(U_tfvaN1p?36Z$WB>{G{2+{+RXH>yrPQ5Oqq!G<)FZnnu9sq)4-RS^Jzq)4~W zV2CKeoDbI$33v1U1l^B1=Dp8ZHQ)%B{oMzjTk;^4PFzn|iR zgphR~0A^8>c6$1{rD-(VoLSS{vO=q(54Z$5g4<7gRbQDvCW}p+Xf4#2kdS0w|Netw z79nj?KmsBv_?J1+^xyw^TGmE_O!QQH?6LpYqD6~jwH3Oy*ihSG4bsv^jh<+`_LfLf zuN6aKr+=>6H`u!y*V(AT(LxxpoRLX#n?!J| zZ0xn4-}#(1HwsxT#;*gf+rE8~-pho%4ff4%eZjVTwAX(AKlj@=zx@>n#Y6Vc-=DPw zix%21e)5#P@z!Sh(e0PnkH7l`8+J`lFbwd+3*-D)2!*VYk%GpB8x|x2Aqn$sZEbgA z+q-wK_obxdh(8}JE(b>sfQHKT$uL+uc9@oa7P+8*S0yX=12RwtUqr8|ucr3=t$rC?B>LUOr}z{QOLt zlig*h=>@j)P>p@G`?%M4V(F4)(JO7Xzi-G|%bV1YE{e&fPfr2$kdJn(OvEBb&E#y8 z$koM2+rDkLD;+VS4WiSS|HcMf)?^G1X!XPbzX&lYTzni8gJO2PqTGrnj`x5JTtoDq z9Cd+f3-?v9zwomK`i3V|S6BMJz=EOLaB+? z_rzE!;)xRUQff%+)Ypsh7|j)=ghJj&;;I<1=-m@@HdqiYRqBXZPNW(0)civrZS6_3!J@?{Uw)I14 zdxFcl^&2cVKgV8q`Av;AQq2$Bwbxu}JGSi<+%$I0;*emudHX@zuwlC`S~y93hjUZn z5Sg}owwfLmIhsbIu#0O^|^<=0j-W?Q)IzByx!bZmgPf&pF%n?Aa?~?y_aeR@g}= zEwUG0cu7{=RD1QMjSefAR8n%9BXST5&vdI!Rgb1i#Ev845D`u6?vFB#AHgaDCVgs? zEC_9~X!-6Bdf(W@sCqQ-2y14EuyP1DOALI+fkyRpP!myku$1sH+ zY8Qj{x&iDR*Y z@p}<*<0WX61)h+eDx4BykhR^^+#=jG598*9+={ABF~&w)d{VMqbXLCA9qqJsjdM^; z66@{IzC+Tw#o${y)kij{cL}bzhH%5+vcrYaqxw3D0`A8xSI`aAGcE|!j>>_Xcqy3z+moBG*Kj3tjGJpruYR5c8$~&l+BxG3Z8^| zcll8dxSi5c)9t{4{T`@L#6C!kmGxrql{1y1#gxHmm}7^&=SlmA|4^!{YK;9x@R~8Q znmzNmU_j6WJdNm1lwblG=w~3@IR&=Mg@Ui2_mXuSey3+Oi72ZqIppOPxG+KyASw?5 z60F4|>?Jr!p{4tx^xUBEf)I0pj_^*TNf4%_;f70*z-5gI>o{QKta0HUW&H=s4#C*k z8bpGUsFp1`jSVfLC0Xd1Io=0g@a6|wtfW+y8)FlWWo0DF0u(Q7l%g)7<@`W2>Oo~v z+VMdka3h6N8B(s}1RJbu_%kOi0IT-SVQUf`AY;dKCQ=3bgQvh}pdspl&%j@SAJ!ee z!Fl+{C;TH^z3tXd)(-EwFJ4!I5(qCD8<(ED=nfDHZ3j05{z@X*I%Uc;v0c&%Ffm~x z^$kt#o(i2%2;)IhLHpojPa;!h1tzt`I^1|{s+KUbq?G7xy5$i;8BlQL@3cF7B8CT#`jAl z=#JU+>0_L*=@Uo5j~<(0ci;Vh-E{MHcK`hk+P81N+_rB$BBm2%ufP7DGxAOEAGK3X zoo|yT7uuPp&9=>(c1k;!_AJEt7aVc*u6` z*)3)r*VkIafw1s0+mM2^C=y)>y*zva;WT-`1Q+K=xBV}$#0O%DarUohzFaePG zzf<@(EL_3W-PeQi5h+V3K}tibb@p_*AT1sjYjus?cD!cTHhom9sp*r#)?smCEIC;z zR!bm-#@pG|O!r zBSy#u>56a@f?s}8t@?om&%6$(KA1X+4GfPJPu6;-&-f^)Xryf2I@^vODRcVZfIOB3 za9O3NW$5{6-(*Wb5p{&z#!gFW6WHS-g)i`(cii|eQg|A<xeBevJ^*+$`aiST0?WcwTXXwgA|0JVBseN3wE(FWUs*kF)X2!A^|VUOv(wj zPZ(2Z>ptA$up;|Muz^@GRwtoh=+|9!f{Y7Os@EU1tjm5Mc=(BE3i|LVf%H4^4L*!? zkNJ$a=-l~taNKTEQgD6{07{hU7-H0=B^)`yM%EmUOhJ2v$&)!5jigkj?x@01UMBzs zK=@?UB_{y!| zbueN=$k~z3M6*$h=Sj9%Q9f+{URP#GS!1ofxlPRLn6jcIi2eTp0A|p~E7c=-6Z-p)@tIs@%*t zTfTak{o&q+#iV8NsGm1~?JE0d+ZHL$68i0(cER}<`cdA5%ZqF^mO%;8A$LEmSTu%t4_92W0UQVzklA|S+`k?EYr?B zdxo97c)Gpw{vL5j!O1lh%x%aia4k?+d<8*(A!(zo{Nk0a4I+>b9_09YW!2Z1IB-!q~=`*C^jkda` zdesr9p8~=T3m2nR8xi4gs#Emqk!?E_S=MUPqM?Fr1AD6Gcc9o^13K!cVEp1$sCFYp5?o+2U-tM+R%PmT> zj>Zl@HF?z77%Sap)_9=Zs`vA>to8IXhGYGm?Us;(B>=ujcu9bQd%}Lp68$un*H~_0 zz9k6nD=Mn2slC@`O`KpYy`54fBHfqYCI*t6%yAFWh6Tr{p)MPj*Dv~r)ibSvAA9hc z1&hE#A$y2rHNj{|3R{!)NU0-ym?IAQ``M=wuJ0+<+T19uxJkesuyNx=6MA-Ns8{Vu zh>1=(Xmdbw4^1T`MOs}=t-FfY!-MsNx1+MUTGkY}-tGdWwysWn40kK*q~T8=W4#0X!Y=RjCdP;B8x z2u12aspU5mPK1y%P(9B(*H{PVtT&i3-WcwQiDfgca3@JjThDTG0QV7M&GH3Q#wJ?o zz-mG$6Es2JaWDEN`Vp%!)GzKOY@G0Z#zA4c9DxD3GKN^qGiS8*s2(=a5;`9x9s&Na zR(Z6f$@U(tun0{?Ok9MeN~vgV8MK;uHi;ulPz(nwEt#aiV)~kgNYzh-Drt_`Fr6sn zB_Uo`UJnO#_FJ?R#e?N-noB8?kS)qP1fUXpR#D&htPcR(EkXKB0Tv;61_OZygxU9Q zJu&PXg(VPKjML`;;7i%im*B)u@dZaBe&ofCEa(APv;Y{0X zE0I}_@02d_ndd>Cq|_Aa7lLwM;O`EQW&GIDPHdeLifAQhGkt0c_Z-KPGborIi=l*x zvjB(dqfb^_fTVFwY!EI-Ccm-cu=R_`50+I}Nma8A$7Wf|C|Mr~DG~%#RxAq$%^qe= zp?|o3+!D}>b&cxkW~-{MmzA`_Eyt5inl0f|E4CEwnyR{F$15si(n_JcrZ%vaS7RhBzV=21Tc7hzp( zjn;Lz-ePkztXCSfM-^%?8oC~jwtnH|@m)tPrC_YpHgsBfm8_D?0?STHwX05xvACwg z*4)_Ur_mC*1#DpWO?Vxm<6P_R?r4^9Y>+U(wW;wVq}6)gz_4IMcZnj46SInS=D&CE zerdLuf*ac$x|~i63P#!3qS3;yKH+hVto>RkVXaQ~ERv5MH%e0p!{L0PG|x1Ewhj}7 z?qQ0!x|lDnvB`Ga*c=;OL?-A@7v_7e?FBT`VQ8TDZfnoTqfi=^5q3(}r~f z3)-wLG5-HuhNXNJcpyb$GFv|SZ0@`{#vy-1`GQMi1IHr61qPlmW^&STmK*)X5dd5r zmxXV*-gpl9u!e=Z4a=Hq{Ek3l9cfVY4YMpD;SG=R^%?ij^obV<5B)gqk#p8W)6`d4 zS>@gt=!kmQF9i(QhC+lg_d@5um$3}=w*;SiRhM`TW5CtPy48f@apG?hIxItYB(j)3 z_^Co9ABA-*=!9rk+UV`;60Qu|hkMJ_hrlY#k+Re-!Q1V*D%Fg}L})kT1?GYZS49Wn zFCtT-Fj>Q-uO6u=L7FUuB_c7!y1JW1H=Iq^&+Q)+eS}4Xa@Wycc!Bc@U2x-X zB*4HQyB6N}nERc%9AXUAH8L&u+>7A0E ztU(W3YkR9}2WZ{6@Q4hdFqi>ZQ#^~c0m9QZMC5*MNh7oge4lNdZI&TmV8y^dNqqw# z@t;?eS4e{-eMX176>6$#CFGKYT!})+7E6(Z*W4a$J1Yk?@dK_sKvZF2QO3R=4pfUz zOSDF5b3|3GIC-`2X+R6r?D#t%83eFm$}ry}gtd#9a`Llm`_{cSpb3~eZ<-&`43qC= zH?UuvC##&HgDbpd-L^oZR7i!ToB+E+p}A$w~!mg!ukA{ zTymLbhVUXJWn=H_>vmd3a)#Q)1rs3Sm@#P*e6ys@+0xJA5MAH2WqY@k+8t9L)Avux%SE?Xi0EzF|g#hCg(+&jh0Yz=ivXGLz&R#K*L|HiX^)-4=bX<+VQr3jxc4b|OIorQ?pSx<4 zl9Sw1v1j)lR~*1o1V+F5J)|*m@-P`pcxF~7=M#NWb|p=)^0HcisM~X&wY9c6?e`6k zK|jXw^YWek2m)YZcU4t|(_}~~U9?DmKB4T`KG*pSOq~7>i1`LM6$CMO&{RaZU2x$$ zHhJ;^n>ca5gyl}X@3T2`%Iu`YwKjFyVgG*Y*lcfi-uzBk#;ul_-fkJ0M65MgVF50- zYVn$OS?QhXcdBTJDAE|a=+d>eYiFVO2a#uucG==;duLa;?#u9NWMzOyg4?Hl;SF21 zpu%R%JYrL(9kI#N4%)QoM{V+y!!~V3iS-?tY;7Y7bm*!761DS}nSl@%E;+Dm=87GSv?+mU?T&!%8O-+T@ zL|T&+vyv(a+~ZZ6KX?*6G8hLhRTBu3;Dg@a1bjt7<(hvPdFP{^6U}4noET;I%iqx+ z^-+IN!r7ok1P#YtyCx%o$1e=vF>S|;Es}W{WZi9+bp+!N*FabRn=*C0GiKa9WLu!K zXaZ;;M7D4oI<7ag1~eo>K+z;XIIfeUj{A|I>014g%By`Ha1@Oe9Z&^-mffbLRC<|+xZP~WnqjDgsORxBxRa8~En_~Cw z{Wg92Y>P`w5TEL{i_TeTS6+Uxee0I5s@@1$Fp**^gz`p98E^L#M)8TbxdexPSxjmZ z=8e1Rsh2j}&wl=bwX_A{_Yh=PQ-{r)J4#w2p_*m(<{R(W^*3H^<+2Q6BAHpq8fUPf z`49I$VOuvJu=#Vx+K+BO-}^dQ!**gFq7lW)N_oIR$=X+_Ry`9187mf8cf!Zx(SsoNC)QW+fi^&XbpnAWT+Pf#u={>`rdhKT3ib;PM%$M6_FI^U?z82i zm#QsnMzZD3mq2N0$9&V(Ve4MS}b*E;(Gfkxm^NV5#R_zqpQwW=>-Xy6S(r>kc`O!TPLR1>P5;{w}- zi@3MwlDC?)Rw9GYrLXSUNVA{|mxW#9b zRPdgy9b}upOVZWVXDMM5?b+wfvnL-v%N~1lsbz!}h(^z_XP-USo_S`C7p{+4y(t=C40( z7hLpvyXH%;+tL;9d5ScI>$^{%W6wTuhQ0dI=j`R@&bJqyI?rBt;R1W^>9cK1W3B3y zRnyyTow0{)*@|~;R+<#c)$iHKC%)H{Aa_SYw*%DU-N!8wMhw*35ozKuNjaqJ0eDpHHG7=&srKgthtSKHt{@N;Tc}8 z7HW=IbLSi1iD0AM2sy<(oSe+WV*6+D6kO%O|p z4Xs#MbMd-_?cY}@Ajf=z^&Ql_l5MRxCR|K{$!?|ttkn=^mD zZP~I*eF)ZlK!^`M+Gp>+f6z`}u|nDo+Jz)8zw2++%E|WTn>&So9oD1%Uwi$z_SZi@ zXV+YJp6%LEYL|ZD9Q)N>e~_g%-Bz!dV~ZCrw2waA?q^?BRvfpRZoEkZS!zd)mUw?} zyy?H}sb^jgiog_ji787HLO~(WMWAuSjK)Q!cRpkJp!#@3p?=5Bqzmdler^c{kX4Kc zWe4zMNwK*T>xL}>%!MXf&oZyl>LP=1VCupGcU-5+NEY7J7AcAJJVL#zbI{(}+Gax$ z!bw8Mv7ET4Kg{l!{vWR=RPJm4zIE@av}r3-ENnrHbu@~>_xG8NYqX*6C`-xIYl>OY z{sa4Y>lj7wwQ^l-F2__~;`I!Mq6uAp9mZKQE_ z*VO7B@V8gW!LTLA5e$NLBP`drGLpc>Fn0|Ryn`mo#&XtGwrtub{YgSlgC3OPM`WE7 zHekeJ(mNV1@WGu#@=OGidf?G~q+rU zh2CI2MM@6&FIk5s8VuSGTw1+0ckZWC2W{ChU3db%5v($7wbk_!L=iMu7_w%9vQf8MH_6QxvYEQ2X_`Bi&t_l`*}AkSR3%N~7G0zPlh<}WO> z(M7VxipwlP?-M39*!%@Yt?i!?R-$neF&QrH_+@W?|P*hY@x+asIg@zMtM9s074dWri5`?2$ ziDLGg6ND=*CMI4;UFSjo*B(|?T6&r$n()DTCm38c)X&0P=`l@kQj*oz$<(Z^w=7BK zYFQW{1x%QJBlytx5fWr_pnXD9qeQ56^>v=IsJsHJAm3{0>Rd2BxxUG|Bv3(G2$W5y z4j}KbgrS%tgy5Sqr9EKzgiA}$%*mI=(`?J#6*zD#a!Pu(n0~!2Su)e6Pf4|>U-*q}-(F$kC+6F6O*V;P z7cHD?AHKiM&*3l38)K0Y`dhbts5-*z+UqX2k2dd6UvVXd+uQGLmv-4?MWZPtcG~KhHg)or?VI2Hs`8MagI3e>nEa!$UK2s1cekDmNIer()rdjSZsq* z2-vgaNm~O6B>^PdAWg~u?dl)yJw6O{*d4Pz9rpdb55FM6mtd8Y;uB(InI^kM!oC>RDcJuLpBN`4YrrbYE8RjuA)Vj%>5Z|w5+}H@fO!(3 z29Mxa5(1-0xXfBJK@+WQtRjgag2t5 zKvXY^C!Q0sanD`4-e!yO&yhfzzu=&VyTWElC`_Ne`QymFk3N{{N-;bLtCDCptca=e zciFbr@-19eIy8>*3m*5>CD@|Lvc_^NZFEYLZQOp6yC8=oq?VogfGn5oc3|!2ZD&)J zUAMf~4%g;cPvrzFJG#>T`B03lUpwA*Zkr=TaJC&ju+SP4w_Et}Io68hqPd%U_A9pa ztwna_%{y%SmIC|Sm2X%|^FrIOJ;|=T?jQEy2a|2V70+AEvumtYv`~EdzpN0r3=3=)CbI{DQ@%CBv1Q1Li^sdc zz!(W7XPt^jO%DBIX(Cg+hn$G+nTk!20uyE3{qc72NQvf)zJsDDz$4xX6<6BzIdJEl zc#Rj+EZpQlehV(BKcZN*@!hX~a zx7i7k-P;+N*?up5rEZ9=v%AB!IM$o+-_joz`8oT=hQ(YYsG4i5EliVh^6FK#?yU{J zpce=x7+^>+1%>J6P_0u=Io;N+TWiy%O}B#w_t`kXuDl`$k3~=t5jA0ak(foikoTaa zCMVk5g|l3!eeeMx);-oGg!#rTH`oIYuy!+Or=79X9)J8byW=N6vHR}1-|Ip!GU1G8 z?(|Fv#FM1OcR530?s2mbetqxnUejDf+SOk=*Z%R)^Y+CnFSft@`9WW=_}VR(1#pz+ z9xK6e>{zco@}C#{Xo+W^e@jfFTUwDAuIAfw1WJo{?OQ_+q7XIzFrdL9ck#KP(r>s% z`HnT&rwhS45ex9~E|3ru2raA|PMalTIK~Mu85|PV#Zu~%P#K7_)=u`a;VP6+WR9Ye zY^ZCDzRGf9pqvgX3(mrX%o?W)CJ8yt%VIq$E;ZSrzZ7oG4a;=>lM?opv~gF?Bz4lI zSP6eKmM2@|0@XV@%Cd@Mt$s_7MYhD+z+u&>DsvW@RaJ?AmZ>lFZ<{QEMe!E7$E@L> zJtA;+-xF;mZB!%|tA2oU1Hv^D<>sYH3vXk;Otobv3$Cg&H$iMd^wK0HCPw{db8>TI zi{>NTcJC|^R>{gT+}NbhQg_9SIU0oZAz_rh_v!P1FcLVyDD>IsUd%Ou^j%^+veNo` zS%>Miq}V~b;tQ*+xv9?bq-eHxG)vKJx6HJ3;SAEBB%sjPupKyXz>l2(M~Dz3Z4mf5 zdBSATdxzc7{x$&oxcs1Dywf~sv{2$gr#B;%2)y7pcHG)y#*OtQ00JM_*a=QG$uj%u z*B>3JDJb{cbBWi}*w86DZ}bi8oJ2qrU?4~d1gW(v&u_DTJUi8CA|bKQE;+N*9(`$+ zRgvbXqr-mk)3@vo_g-o@-}325%l}X19}it^slu=GFFIuZd~S-JcfrG!;u+6!?3I^R z+1YCzm&LQp+Qx6R_GhoOW!L@FpZou%{Qa+2*frO`We-1aid}od2ll`p=3DW^PCK|i zNCJK1*PgToe}AdrWZxBDPP?|j z2E;4k#REA{siUjU>Kb%S=#9Dp-iTpauHYBSyv{l#ygVZ%N%Ik|_jtiL4L^ii+bvR( z^9%C?+s!W2INk>rJRTzUmeE%iG#{71`^0rxrJVSC=yULU@V)t1kcx;B%J%K`YK~Df zBhEQ>)*UR6vUnb)7l{`^3J8njk)#wsI<|T=4jbzt{A5!09kE%pw{J*{x7Rf!tRo`h z5I8Jy;y%MA2Qy<&QLrmH7!>Bb@6}Kp2p=M3^79G=n0{%7!S3lOS!cNBaKEvB6$+Px z@o^7_zp+Q^q38Dq*pbri8$1_NUw6A?+JLMgjVmTf{bj>iq^J2wOk{Iem+GJ&VyuB0 zYQtirDQTG=VY2n3trCs}Zp9IK5-?SV-N*e8F~+jvCB9J0?&h&$#{~%vM;D2Jnr+gg z$u>?JAED1B$Bx>VqS1Ec<)62E{_sOPe5g`d-AvoIb%zy=E6{zDY}fYP;)Fw%o|YwM zP_Ey$sDFh15&@}pH4lVh*VcF1y0!al`KsBvU5rWh_y#>OH;xpz{-z7<-d{gzU;oB6 zvIKi<>Xccs&UVP6n`eK#_X*3-A8jd#sx7SBE;#Q@yXU^At)sJFbw{YL3GNo<2oPWt zSVx3$W7%+&0qD!x5DUXG?rLHqA3-a?1K>G%2;l+nWY$rBTp1Bg6G1T~d?0le z?ij9Pp%Fac_R}E2Ho%L8boTBH+U9NhWUZIksDfNq;#e1om4IL_Q&N-kEQ(%>Wo2io z-7q818nqL(nUbF5Rt+ADNln7f6Ur+sNj7ORNAQRHmI3A(Hz522x>09^Yk5xpWWPoz zu`L9*UZn70_Uuom4k|5OZJFsAc0}6w)M=BftE0!QB&;c1hva!P>##Y~2JNFAMDGbQ zL%lX@a> zhw1S9eopn>nH}u+{rmmBPf2&X=XTw?Ri{p_Q>QMlM<3ayd5PQpCoZ3%C@RBF3aP;{$y2w7V8ar3__dR;9RcGVE>1yk|_-32?`7>?Z`G@S$hxXXL z_nal(l@o7nw7RY(yR>QAKJ{}!SGa-|2<@?+j_%C58$~$Ve%BwX_aXhCPlPmL{N@>a)Y0mfJm=hxAQ&)h@!bZESR8_UOt;6xOq^FW9pz{pLIDnaSn$=9R zPl|mF&$#Lt_SF6ZzO$Z;1F6Mn5pzKd=!7H7%-o_K8ydB*fBmO+^Dl0-C!gGBo7Q(& zu8^@?Zn;~UQMLWa`~JYL|K9hcAc$d!;6Cty_X{EKvv<7nt@iLEk7!E7q{Xmc^7q_# z!0vhQke$DW4T|B2kF(F+VasAd&wMt?QFCJM3)a~&C}b>1Ahy}vcO9@7UVXLNAR{!4 zr)am|Mb15thQV=^LE~xU;=(75g^ZBFB5(w9fdx!e&s~UO4iE&^lYS%0igiWu+!0X1 zZxU~MUradCtQS=ag3^d;B-A94NFZCSIb(kt(O`8FR3+YxFHP2uuMIiCWA=t?+wH~g zzRd2taZ-QlKGdex{n8xYal?Xr5ZGq*El_1l^(H?2-L;Chr5_}Hw~RCQQsazTh)ww{)Hn>jdb^}Cv^ zwntXcEOVm%N6VM!>QjTxYxH&WwtCvSp{{niFLw-1C9( z3Ct&Eqoh~TTqPy&pj&q-O;4#FmK)g#s_oo!AFQ+#3L9fb#RK|9f4CPDgu-Vy;$q6( zi?|x&y`y&aId|HH7d>j{U+{>Xd!G6fKj}wVTy)W17e`|G8QcFNfz`09W25&rOJ&OClX0vPk2`p#$69{yoWccN^9KQ*|yunu~uB*i z@eBXqOr3Xb9Ng>^xGeUP%huY*KYP2~bn79ToSt`!mBnk{53yXfpZ@RxyY4^!!>+h; ztDbMt=&(R1JuS_5uluQlB;lh%HZdQMmXw9!b0|16PwIxxA^o8Q(>nBnLS3{e>Oc(4 zHI@)_nrP7b^clHM`D7gc2IX#G!9BXqSkRD~q`7hI2$n6{dL0pSyo=Y1(IJSh|5eWZ z=|iW4;ksYxNB9f^5<*y83a2vWo{Qy}HK_g0my02Fn2{JKE9Q=_Q=N!eb6ncHn##9n zESuEcljD}ZXU1%Mrxo(Dl15~eWgG4AO|rC#%~t)GS?0)Id-_-FtYM?94qT`SHjay6 zV{KNLNIRX3rE5F|(t$%Kq$o*9SJ&J0tOUEPh7-e+f+KsoIKQVsQ-O=RMuJ?!0;nnx zyjOola{>%;hXOy2C~-G4ii-qPda2;<(|iHEZ?i}CPTF-h+-399@>9i_Ev88#T<`nt zs81L)4yaypkOoT4i2w`GGNUfr+G=Z0+m4-N;Kyh`eNWi<6m>$rCnkk8BzlNjk2Mquz{3Jsl9hqR zhZc!|!~Ab(YH(Kph(l;1`MpRTLiXaJQ^Pho%Q}NJ>*Atac;28T#Ve8t-791*Awplb zVNm=hgwF;8G!%fw>z4~Mbr(c_wMkn>FiIm}oeWLBpuaP+db&1lu>OHfcGJzj@^N(# zu%SNF1|VhqEh+-1{;Reh{qQGt|8E|%d+rUsSk~K~dh$sLqN8@_op<^qef#?Fi&?}Z zgfF&{;W@kW*1PR@e&;gl?C!S12SxnCu54!BU2go1Fm;BbK0M=@7urj&eICmRs+B4t38`GK_8@&DG~gk=c93=gz%kt#(j!QFA`E*%vnIha8XuZ6a*TKih9&%%?X@? zIGAVjDBX7JIeHFZ1U`wLtg%fKt7)yO1*w@{y(c%K8?-NK^EuF`m@bwnGx1wRxHGv= zL@pt@ZmZcTJ=*ouVGlgNSXzGH(33V@Ut<&Eg0+H{(ZM?L{>UOl7-I%hbxfZjO z9+cJ7m~1jzscIShg*9sNutH1owg2HhmY~$-)Zw8rDF|E_B0qVh&$nvOa-hM9f}9x6BVriOavM_2tsC z<#mIlQiK2ze5LAyedC+|Y1jYYR$I4ex6P*VHaeA(5XpIP4#Ef*v?P_RpKuNuq1$T< zIn(?dVpyD2gf&EhV-BY4?C8+rgurJt$9bEYWQ&IIO1AJ*`w!dD(3uf?6cU*ttYBU! zM~tDTr^CH2A!l2y?L2$GER9An_btBPie&=o11s>1XDGnMqj>Acs!iG=jaxWJVecTt z@wG_b6MmZPv(6v46Y(8(+tDY4ceVDSS3h8nJtPaSg2!i_b(WoMzR|ASkh7Ye3486k zzhiHB^VjXXt=0C8uU_JHrtZ4ZuD@}Uz2m*#vnvN?eH@Luj@s6)lwiT4RGZ!O)0f(p zf7WKxb5Gh81D*EZblL8oK46!0&HF*6Y-^ckYk~@aC6DhtF2$k79(?SC>s;XX;FbYj zyPTa_aNL2v1)L~auLPY~ywe%*V0#TPi0F}ZU{2x1NRYJl^(WToY8qPXuDgy(d69vk zxnEsqo4KYqj_G^Uo~SZhmGE;E#TC9NysqGAR6i=*cbBu@r@vB`NmK|E;72ADZHN*O zBUm#aTwKfn20|Tx+}=U>Ci@h`6;)uyzUfsBQwI$MhT?=FM4(;PfGB8BQNaSk%Hj|z zIz)ZKzgbjIN+5w~AR|JJ&1v+7@u4Z-`|y6N6?DGy*$-K7&9Ze!i>j`Y`6=Y1k8|@H zTgCFBFz_&GD}dp9VOhvr+v5IH_LBgQ=r{TfKj@aV$kE*W>()DCr38Sk0DJXyaQ{hr z*|jee6G#JUS(|+#4$`v(fy;9ww8S04-_L&TCHCom{|94#%2Im9ww<-!UiaEpxIiP^ zJggyb@L}E-7v`Lx*+KrC=R8aOm2eOvhKYaiOFy=yLeKPN>3v)Mgh1Epb zuoPYc&!}*3_3xC`LLb=jH!uZ`t6@pO{Y_nxbK*uVm8B6EYwc^__>Rpj<~>jeT->;M zOJ&{(S|K>1;OoGoL0VgQ2YA8y27(k^;2H8&!WYoanP>XTRs-5cxui~8TR66Rb%BAx z7=hd2!-svEo0`~6U7w z(H?>qn&vqof!WHBqCNcUcX+*iT}K2@>QNR&H!W@RHa8oyx4!xgYqnmy`MOuwE%*1k zWk&%f6HlIJ$7Kv{e9n*UEw8!X>c=j!y~j3MdXeyJS*k7%N9}p9zr*GqdAg6~;TzAf z%`dps*XmnIkjNJBjZ-b2JY%gp;yK3J-qGs);BWuUc^WQu z;j5k_n2YnVHgDM^x?&xZ&CG(2tZP5ULa*RiN(4^|_`3Q&mQr|Us1w0AsK2B`mnEt{%%)x7fhC z8vEWizhJ-jhV$)x?|8ZubL@f_0!ve?B7-&|!7?S?g;ANL)xe?Mf`k~y2c(x061;7v ziCfL6LfMvB)Rz$I9oT52GIbdTf&jvy&?a*ozE|3o*#g!hYJv$^2l} zEYz25GTV|AUS>p;5{ke9VZ{0mgHtEl|FGBY|JRHq`^DD8+>0~I&bU&!IvWua#sW|4 zA^?PaO!f6G?z+7HzQ-H}z}fe52=~JF2j&f=in#(5F+~b>L;WyE;3@FOwkK((n(zW# zBf&;{?z$7hnVU^n4#p$+=8H-D!GGOpH{SN3E#zx#A(a;Mn|3b-!k~Y{fSB~GwYGPM zMpu~^;vtxt3R)nb_kASUXTSYtFM%N!d~^d z&)eJo;Cds==BRL!=rL#3f)^p}?HBym?*8J7od@Qd_S#$C@in{q8}IUdfy=T@bnhGX z_Gc{E;X<2z^Q*sa-}uVaHdAi1x4iRP_S)b5ifV*|NGZ2|_f7Wg|9Gd}aN`BeTbUo` zL!GtGT|c(Bz55PpdGZSTuZO^^1*>1&V?TMw>;wP%M%%S(mwSdNr%sZptz`45c?q8? z-#cV=Egror5_GE@lS~)hvmsOZ^Js+2~%JvXwoAhmjeRE_EiZKTn3gvv0d|iVC zKhdx1UybmN{ziDlMTzJ^vo(|`BI zGL{b^o<6|>8d+p}dnL^^Ko}Jd0!-=wJfwJ)wAw{kS7<@;hG=pjA`qX@)he5wom1Vo zWh>EjAnDlHh$9y+farup+UIN%>ajbVcao%$1FhKThK)$bC_sgYk-YQs-+4$vqQf?A z5aLRp)YcU2*pVYPG|pjjDQn+ysV#&2BpDUdAs&!B0v3huw72$tU3K1C$fdV1slOx) zn4k(^J@QP%JbLPwTOn6oezDzq&+5h5z+8AAjDqisU2N7ax#U7=APC=KTi?IVwZQq* zj2~Z~TFlB48g+(z`DMH8KY#Y`cJA3Px1r%Nb)S&?I(x}ASKCd$xLs`tP8!qIS3lqP z5t04(FaF{$JYeIKpMW5FZy3|g9sTyq%Qo2Hx_-+_@%^+fzteAFyuNbloM7}622|#JJEP$%`fdR zeYD#o%^Pdr_nz(JiAvQk2>Vw3Ju#~rXtZ^IWp->yvP?XLolRrXQt=I_CBs>Qm2{t` zyfh+9NQ}TfCgF3k$zmyC!lLfg#iivFvaJ_OfS&!cxb1t(vTf+CwbY#1?D26VxGpsm zlbIz2Bhgs49w~Tpvl(ly5xu0xZFq>a84EYOM=%}@=Su`0u7ija51rOcw9)w7DPdKF+ zrpz%o&}<^v;E9!41I}iHHwP~bZKD`B#M!1YXGt+?ycKw1@K*Hpb^9mlORU4NzYv!n z?;*kw8lVjIbm1M;i#5XNf{lM)-O%3%6-_&VpPk1lrmDfi=&h^5rjZTz=_ry(n1K{M|ynfGvQ1a?*_5m z`Vg}@c<_h|E!MO!!2yzqN!2IXZ3`!Mmhxg+GPP%Oc6=;kC_XlPk2AQ<6Mi?C0hxBIC$t;50Bax zzx9aS_8Y=-C1mu|k_h4NKlV*kVer9C=g1&}=ZcgIkeKffvI`=$3$juNI0raNSUSOH zy&f=fWL;GtKtg^&P*-qH2Q>r+LVW~1#8099s8ENx+|o3t|I+$J#N}EMZbJ2AxQz8a zTsU>Alt~37Ut7{**to||%2FRYYD4H6hsUijnHEf|?81?GW67Co`@)>e2?nXlja+WHa86oOmdEKr>6rcIe~pq=-%PJ&R+u&2|mXvwxf2%#RNP5@b1HXL3>!yLp0 zPyx>L^n{<~(cH-TQ_SY1;VcMg0T`ARh{J@U`9R#bXJ{i>P9ZG{t_6^VEYx@2^Mw8K z*Y{h8w4ddi-Uky`zm6P}rdh7Fox3ivi?4dGWd&RqRb*P82CBvb;QUhiXc!3O2slK7 zka7d&$71k;7+G^G)*3_%W03Z#`WgL`5u$C}zSTy?ChS+gyu)66&2zj>+$NOm5*DO= zk0zDFxW4_({}iGX>;*57xj#E*Kl=VncJR2HE)DCiZ;6f2pMYyqTo-2t>7kgIz;s$hMq0*FPM0)j^{*@#h*$zeYJ4W^F1=i1 z^CQP3oPwK@g7wT;n6p64p%9LXfQt5^VX5+o=fKD5&zwoY`qgXN!P@4$sBvTI@SN{I$t=ux1Gp5&^?-*A|%P!Gnj~Dj?X1^&gIEAe0(> z=03O#UV$ssb!@n9@L(x~!P-JL1@H!M1o(uDzNv{Eg$4J_(9WXT1a5AD2?o@|vY{^& zA_iFtiAli~iaO(-n-f3MyfAJy><*tC(KV{EOEJzYxiH|ka{A^%LJ*{XWS7Zn4jOeI zg^RH;#`y&@QWRvR9Cr&b!hhC18*8N zz^o?F&t+G~Sg6PJ=p-BMm^k*o6eVyb=gEQAqDhuim1Jk~AAMw>69k(mYuUA&lNF{mRm0nWh$#Rj z{Oy0bumuj$npowop3`?hO5oR&NaM{GWoj3LHl;ZNkjoNKXmS}5ac5tj^>*~zefR&y zP43@&(;IB>-p6fvYMPhm5@XN@f(%80_Z~WM%(iUVWEWk0sXhMqUbR(auY29A1&^{F zK61zxp@AFydDW|~u~SF)+f3?!EiE+Id*1s7+r4v}U3S?8_Q)f99l7qf<1UZP0hUA- zz3EMFw7c)V&EEEo-?N7w+UE#?3+(1w9#q{YG(Hf8F|Sxg6gSKDy&l9=zsY;$`wcVz z+-^aeQGNaAFjf-~b~yiu(@Vt&1SGUCHq!bt6}aPK!`ef*b01#KUJx>RPs`%wfJFjJ zVj`sFWrP?-48oNNX`U?RTE)exeoHF(JJ`PyLP~j8wKaG5+K0EC=??mv{qMC{JYh5U zE?HGyoz32ILLG0n;?a!RRtaO(S(?dPxmoRrSC*!itURGFcZtZC8m)9dJ*lg*+{tl^ zuWPntDNM<>gw;vo%rD_q(;TY}j+BR`ExU!UJ4jOLx4^Qlp~*_|HknB$ESH)R1hK-I z0|;GoAVMbaM3|!cV4v=ZHf2}pcm5VcB>W_&{kvFzniV93ztndI;{6Eosy`f8fwjwO zzhC*y-GR}w;WqM+^jLw`%C_(EBi0y4@lcDpck%L>C&u1gTJMKpvgTAL3z(Kzj|sWx zNCF!U&%{DP!NXgK)k7WDelEQ5fl5oEJihmGF?EzaF<|k9p6+(>3Gj-u#H7Tk4x4&8 z--#n9vP-hcb9w9T>C*Vv<5(|YB{&EMq~^-W8sU3WbJ7~(xYx42%)n-PgaFnY78fak zSg(X9aiT1n^s#E-MZUd4?;uAZe3BEjiNpm5+dDe-j4V3U^#c{5K#)SlEkarw>8 zOk1<+ID9k?HXvsuK>chc(F}X5Jjh5i1D(+(E?C-sWZx+}%KkLXA@^Ccg~mCKggNy6 zX}Z_n*W=b7ULm&dAh0>P7&;>L8?@abo=a4o6*E$5<7%&6zq6rMhJfIq(K`Um#oJBGi5*;U!XKr!9bJbyKp(&s> zP00!(X{Ux7v>Z(y>qanM06H)j&anyYB`n!O)`$kZM_RmqcHodyHZi}ltSI&=L97gy zs5-q?+D^(QXHz0yF*9gWfLUE4K)LyiZnv5=JtM06}rgn#e{}CB`;3 zX77Jtoqgn)WA^b^6=j_!tbYq@TdF9gQy?-5qymNLn`i_)N_2Ev`OxDMya+JW1-BTl za3tVj5W;+qw8xTu!)3>!HFcRE|4nrQE{A8!5-<)wxW2a$m=zI>p*=n8Qi=M3K31L& zbE2=h&+N2?xqD4ChGMvH??F3ublAp4 zqqwSDW#v**vPKvqRt<%~n>H!kYzE#i(C^3Q^mcc-FaqDfN#FwS!)t>==9w*o&-5d* zlK7jA_*gqw^h6pmF5ZPT+1Vw0mc_)WyKXV1WLZ_7<470oLmPfhQ=-9nR)_k*zN_)E zNxS3DaX-=qKF2fg+H{K3ZNvP6DQ*zRy4kvIlY1YqO6fauO%iMlWQ6^od%#OjR6UKG ztjOA$xQ(0|w`mFEbcRzImMxc+)hQfyI#(NvH^LFVyUJ6cU+(*-+UHtb!f$$BKQMNl z5icdn0a=LY94EvQo;oirmfrwuwX7Xnb2J99 z04@Njl_tR8%R&<qTy>l0?A z4vQIKW-`!f5f;bqUUB&)5=u4pjAuW`idA93&^3LP09*zi{*DR&0{GPBfIQW7ErpGr zJhRe95KaKgPX~?F+H88+np&ihNZ8`;V2<*7M^5dC&06n!?b_o+KEE*Q`M=mxGBpu={N(;SF?7J*{*E^~V@D66ZT#WP z1>ZH~3aqgHSYXGB3I1?CqXH}=lN>_fP(?>H!4k^=e#rQo0ag%>HmJJ-*N_2(!aeYS zPvFF&F?C#63YWks@B!ger+#1sxZsrV;~n5Zxbz-U0^w(a;jb;~Sd|3l@P2(SHdt#e;+Sxm#iXgMC2Wfh_2mur zzW4XpJ6=;`C&W0?Q;W8FQ@!SIUNDv*byuVM<4TjP>#ZAY?$}ZFA#2MiBFq9|uP(ra z3s^)~bU*rxgb`yW>>ulcTxBJ}2RN<{7x%VYDuxo>r(K^T^^bdOpI$vC9?4 zy1F2EiNd2@=9}Yc5DJXd1%NCfb=-Lnf{3*d6e`?qjD_F}gcb)E!r0kd%>E(-4XMoF zGt_4zDD8p!{9T1|qYH}$*I-w7hyRUmS`z>1>FbrHM|N~s#8TGVTau206xxO+7-Kzd zUiA-p!r}sV84K1Le3iDHH>$2bCpfWgzA!!O0-Mu$F$nhVKVip5!$xH21a}-trjH-b zMr9tsh26V%dL&^r0YG9#@CVk8n0MO3LT_%Yw?tjp64hnBZ^@N8l1VRR(+&sjEiNo+ zUcx>=V88kp8H&@IUdtXP$0?MrRfXTy7W&BrOt{t-UmJx7>)8lzV<0hrBVr5$fH9KK zjF@{mN6tDrh^qxgM*>eyh*V2X08A$QoK*XBGOLG&PWVx|1rZ|cqCKPWOwCG@(uBiY z&@v9}Kj_*M1D&3m^>qc-P0=!N2R3oQpC%O(dvbC@^+&8ALa$|DVlHR~q){p9y$gE& z!i#pQ{`7(v zOF1s&?vlpCG21W?B6706skV1;v-NG-WIy`(FMJpKg%@6^cCajX2NM|DO)o9FQ0DBK zuYT?84zoA?{_onqed3GWkG=aI4U4uya^|M3V}p&2PuckFqFws5i|scL+-uLc>S^|) z8$ND-@UAzC0C208?5bya-<9Q7|v!F4s(Kc2m#%Z>2 zi`o9K&e+NSGMo9n#&fUwd3V7^exPR_)OT4S?AS9 zt@}0mrL9W?pP01P`m#Oe?4*^`qZ)wP^5a7Eskxnr2MeO6R@|O-Qhi|YVXahwwR~z= zK?DiwstET7X6zpm(Q;4YX8{@*;nsn{;dTo1SP@?M9|R9B3OEDeMj>-KQm|R`hyh= zPLb1ZZDFo^MJps_rOyaD_A9Z@m`>xi2Pb4Ph@XIev;*ysx#j!|cKf{mn_BBz*Dvb` z_ZE?*ISg*BBrR=C4{h+=JalIQn%#sw=m9v-)4i0Dx32SLNiR zsE^W(kik*{x81W-vF?~t0(emPfd@y0qhwFYs^oVvv=E97yqSaM)@Hl=;U{cjwnjpu z)~&fNSyGb|bF!pl;S0{ovho?D$j-KH+ph1>v*<>hgRe5njE((oC0JYPD7gE0*aVd*VsQjtDm>5g%MB(D@24b!@HhR$nLbx#pSh9DhgmqBd8T z)9=E-sPNzz)(&PcS`o;NNytuojy6Vf3ItGV9EH4G5W)#}nNaL&4$g9IMW2KbBJm*} zCJt1Fi7>gGqS_^4#O`2DCgi{=m=M|l6GqB@tO>@CDak3Qe(+wdX#MN@Y<;f)FUf!W z$U*zSpS;T_b4kDl*`fjG3(~r38ufnqCV;Ck7bXBoW94G-4y09ouap%aQda*K0E>kL zqtK-2DoG=Oj3?$ZmJ+Y2mZegvV#3k<(T`*e~y3s!C$O$MV*eYPZr|8qZN_g^y~CPe?%CC5?Nw&H8qj9e%Z#VT}|J37Pta5dOQU zapbMha>Y8`lP25UU=QAPpK;jTRToRcR$n;6p-PHVb4QD17PFo!&68acV6MIkl7f9u zn%oZ8SkQXWY8ksQL>+~gMOT$zpNL5WoUF_nOhGL$pQkm=3QF-A!5g<1_!Hq?gnuX= zg1&!WDL^~~JXA?|fA!lx^}kmap2O>b#ls?fE?abK0ESH1GKKz9k3bukHQ&Jz`n0y- zIwmh3CHx+&A(%fdf82oNRU^?VeWxu75q>TZG`~dUv1Nq!@;=^$BHbcOX;xMn3>9~A zS`7Zt$M(qr3PPxW(k;3m8w|n$hK`__nVI$daTF|++1Yu)7`(2wq<9_y0pL2p47?Ld zhCb6Kf(%?qisQ4az%wMXC9Ir&5$G^-a@gO){5<-=A<=Wzk038eA?fby3Vm2eOTlLj zHD6_6itvFYj(9vAX6hEMw0UTTwLaQrJOo2EBt(x5HP+f(XYtySA6f{%h7Zq7O-p%| z9h1^2bmGzrd@K>3oW?Vi=sWeO>>umBrO;7GUIz?YVPp+yc=IIRuzlg@@Dc^fENZ1V*@7o>oh?9clDw2^}hu^PgEdx`We>J z{JWR|`SBKo#PQ}938sYDrU->27xX!ge^%q_T))W4Rp=rjj0{MDqB%6dQ8G60NOg+igJt0y=@TDM?TBVvO| z^KK6d*Id%RF<>}JY7mTE9ZpQGFozrdY^FI*F}W4Z1rKUQP0+x zt-C;){-Oljh<=|oJN9q-txZ~KEa&~MiAjM=$oez(`>4;$wbm}?+tt=?*3xa+hFEaw+FGU%*9toEtYlSQX&_7XWoN7CAYQi_(*VHx2Y9h3{U}F>Gj*sCC zvyxkSDCaP1gc|}HH=E<&C|XezA$9l!W(bNzqCvl0QObFC~DA}~l*ueq36HSRa>uGGV?$%bv6ZeFu?`(i2w;+m4&XU3xDMI=k zp3NLYxIv-M6zC3K8vVw5RN23;F8sdwdDgA{u)saI+GZUUaieLpMcw!vi=#tFPobMcFu;G-*k_!(fG64(b$)xi)ZG#AW zN1&h)fk@nA!zckHOkU(Oed6&$cJ%m=Bmeh*{6)L(zI!}A|LBq9&MYCAbg4z$uGxVL z74Xi8$N+*1s_5@kbg-JZ0Y<1BzDIwD-}MlZOeK1zM)v?Tmzq_bTAP&?oYpuy``3$r zo5Wt~t+u{dlU-{|#h5iD+pT|at3CR}K@oYCz3zA4q&mU_zhJJ?g4_}lGKopoRLAYd z|M_zt@4EH9BA7*YtD=Q>bO@P5-JB!E!FCgqvvz!V+OBxkU)YVm_@!+-Ym>CV6C$j6 zSvoEH48p*Pi9ja71nmk~F*hhN1rb)FeueRHk}Zma2Ji3yeo;|xy*)1A6p)j4RR`aS zk1D_(Il`PGfTI8k&T)y922Tu$|Lk--Fk~@A5eM#uAjs4QO#v1)g|$AI?`eY2ID!Ti z1lDhry0V>fIf+XPR?q$=T+zV?WOL(4q?k=lnizwgg}90QOA7`iqRg=OX;(i<0qbnc z+q+-bZf|-{pS9_^@sSCekyQ_J)zvObsX~j!C%BG#qI!jGlIvs<=pbDSl87Pzxv{h;_xlPe}ZHZfeR7+k&65@skb^dB4q zpRxM6Hg4P~p0`2$C(JwT>Zq~)Ax0*Y~>u%`EToNRZlEoHTe(+BO zD6ToI_yoB%HMb~oxaXi(P?$(LV1a9HY;@8dJ~-oi70(D8T#3FrH8N`{DYS&H!ymAC zx+L&A+nTJGWZ2+ML3C50foQHg*gPdNM#gk*CE7VuYc<=uSiJpnIu zKf)i{IOO)Q}?t$Qr-|@jNCn+5yPJdJ^q2Aa+QX z3)MCyW;!xP64!LFgf{eQq8nVp!DTQpu^N^aaM+M@f_-Ez!)qIX%B=*UurSQDI@f~IV z)abbS%`xhYf-oCM8^s>t(hlRcqycpguD5lAo9(*muCqOR&T-dR)CVjM=9h^_n?%UR zrQv-0JO5=@Uhxdq-Y&S{JZazL7>iqHcaLq}y2-X}KTAwt!RBTOpU>O=r;gYwUi&mL z_+IsTn;0_4)-O$d+>wW zj@FAJq~nB7;E&CMcEoObgca^J+;&)iZ1kgTgb){PFu&jo5nSLnrBq&afyJIA7h>vf zy{F5Uuv33=XXy`rcl7?>D%C}M#>e#;mlnv>3ngN4CXw%GlnF-Pd>h62Z;yd)-jN{h)fSEku_cJ~C=;QjQv=$jnJ; zWd9XGG`-z@t}t-|HP*-6^qfbd(%y`|6AZJq@LSlE7Vr&$NZ-k{K%dAAk`--BZa(OSEhV^A$qC017ldM` z7Bjx)12f0shhBJZjruYxi;3+e@G;&&Ktx77i#|?_23I425YPqB_Vf@ryiN*WP=*g3 z7*g9go1a~9IWi-@H8e7A_wSvsF@iT_w{&&4NC-FB$oQP4vcW1sF^pl+v?cBQ^Ura2 z8*>N#vws_RrXMvT1ryH=eXCO+;(}YX@N-s5Ec^(e*xl2iHbeM*489{g#0L*uLwEGk zOcoi?vS`*hqhSa0q^uSy z965ZYrw7B~gfy*alA`+D z2X6fBj9g3@I25F@E^zv(tejX)g9K%xm1517FC~O%Vq6m5>$jX`!y~6WP0m$UJ>8ii znhR}3@8Er$Ov?9P-*vZUtj5khd$)}Wk%vwUyCsQ6h2NZYH-ARl_SC6ad*A!MD_CXi znwPyqlM~#4F!V^Ugiu{IsqZ`&Ar0TT2cZQQPe4=z1b+*(YA4jEEeaXJT>uFd6o?hq zNxigWBAgISp72#|gD;_=k%m+$ywB5@OQGNygb(SGa0LQaXR7Yr3UdVk#HuTQ6W59? zV?Oj7{aaf&;`=ktU$Q;Fswg+G&+`+}GJ+!-tPpt*oU6 z)r)IPoKaOQNVruki(#-1A&VilB*E2U-CZbpVS^>(V=Pfw%^WDvZ@|rkbmi}$y-Jv? zdelagOf)Lorydpsi}dbU@cS#cO>?|bBgjg8}$D_LJ} zw{^5P3U;!x=9eTyP;-N_hmd>Dv#%0g%vfEb$z6L(;%_yzaaWjVKd1J|RY%8j*?H^g zkZ@}a5rrrTWJ@Pw!;vG0EZN9$@O8eAjKffw4(4lxe+C{&5m>xLe+SP*z?YSa-@v2Q z*IJwk_s%S#E)m}T7l8qhpppJ6rW><~DK9IzX1AGf;?EZfv#){ z3_z1OGn>)1VEw&)VysJce0bOgcHW*%ww$YT4dUctv)HeMf!^=LsqbiqUSz@WcLWql z0D1s2nZWrCf`Rz>?&PUD{$0;gkMI7sw2APv(8t#>sLhK5bLKe;b^U-d&8=rX7PD@W zc0=@0Rke`0no!-iO)u(Qjjh%9mI84ZI?amBE6q=t+0O6-PvK^{nk(PY_0xgKSOYBpiw(RQoor` zV1<^+cLV_1FXK6#ML`#H^nk6(g&0m$?L&~}gdFnJ)zx0k`YsOxAbC1<^ ziLtHgvK`Mm%aWZ<(m-V?jb|;RasKg#$1IZ*PA7xLd~|e1eJI;=pT5ZsJpP#aC{0%q zf~YKDP**Q}!3xxW`K7e9Z{b!kWxbsV+qt9L*7vmqi-|d^Xrs)R3le>*Te=tUl#6?j zR!d!Qe#LD`1Y8K~XRc`h{NQ)~2DXmddX5VQ=xeUJ7Zv6tisD;czVfwS*tfoWtGkq( zR&)&wpLA`U<4nM3a?CNGD4YZ_CF&b3+1?~P`)wB>LWQ*>Xql)n7(eHSB^q1wcgT>! z78Dq8*oV~S%$o7APmZ-!6f?#_umzqQzC#B*_r#O?-QsU(Y!V|6`ShS~tcGn{Hd|+V ztGfyrI}vu^G||J%6EFqVC{vtXO&xe9^#P)=Pe>Yq3$hXxISD*6h;t!)@H`C+wAuFU zJ=W43wvQaLAVrdXy!Llq znUG75If6c0TElr$z=i&fj7i}wNQemr&>m692u%JLP{E}QLT@>~&6-0bf(n9!b5aA=_?%F$T)2Y0T z%ogmxP{zK0$GF{c*9jY+NQrOc?CyK+vs-R^#9d>@HF<=3R+q`m)L^fB!`Ze(gp@3! zynbh}fCHh&6#*myN(3whAN>}6MgZgr(nr8mn_O=B1UUKcM7nt-i@tlCr-@#G6bz$w z_zvUoJ_rHm6FZ{uRVM{oPD!n)X%l=~ELUo`rJS_KzKynZ=ehRnZ~s7u((DKNo$fb% z+6X^%n z`jgeWf1BA6hV7ARqm*L1~I`0i41r^iOx`sps&9RYD{R zWQd3y3pZ-xG)`5Dx1$n08-5Rr2VA50JN(<3B`XWESe4L2Nt=-%$K|zg^EOvF$jOpS0c4)hSCXEF0jy=5JTFgz!Y)(Jq!1$|Rl$E?2UIt6WYCV%%Je9T*USmKA<% z7+B}RhxNX8jgPGi2t=apqPpRES!d+E6dp{;5<4Y}T3;mun{_n`KO1cC;|uoq(ODZF zp0b+ylI`5R(Up|LqSeK0+0(A!vUWv7^YhY|Ty%rdEPWC<3_EfT_wHZfPUu7UN|)wj+bdTg&)lMq(z@6iSE!Dt{lqJOaR zIEd`xOD?kYg9G;1qfd!xX8nlxmtOlK&0kLj zdw>PVURw*n;%8pAj2I8$s}Ky#;0B%5$ze0y~qFO<;M(@O}K>a4+AkbtZ^s<;Ai6Vgogi$A9SXK+e3zW~Kx);W&zo+DkM^ zxai&VrL_$gb-nN#d?HFpTC8XYs{)uKWLuKeHn6@!!Zz&jVtoLC2R=E@!?0AM@jAY` z=E``(yz`SYwpC@tdWy;_VHSN>zDKwh(ZuS)dJgpc<*)zTe)h9{cIeoGaB;yieB(|h zQv-#RVt5zG6ao&gh8ArbSY0%YzV8t`4dH~P1%HTwC5|0C=6e)7B@m*#hjmhVz+*PV zdVi&8p%|}U-|yZT)`|+^ON4Y|8F0A=K;!A@CU>OzL)^X)gS92xWI2sVHFOCIy3gK0 z#)+Up(Ihet*Dk4w>hvtZM_f-l^`uAMk+7POe^>l;L30S^+3Awqc>4jn`>{s_TnUb3 z!p_;f%~Px$IyT`M6Hsa>*j#uAUjMtVk}w+c=s?zdYO2*of{?^BF#<^5iZF3n)?B%Z z9U(1P*NH#SXK;tC4Zs1o5={tBlBaQ5ya1epwtydV?XR$O7u4j0i=rncY5S1 z)Nw+q0H4C&un39+U*CCzNn%1HLWr)Yy)cntYP?JL5JWu7J?cfD!287TDVvjaUTrN_ zUqeFMHW2}->$@$J+i4T2T4|cQ?C_yucF|>5*mItHl^D2~w)z&{3364m6trP|+;;oz z_Qd1+?S(IVvAaG9gT3K~@A>$NTteV5`B)(k35%|bhyFzK%6E{DcZ5_>ff4yt4q7&) znU#Ib8-k5`X!nGjBZOL;6V|}rvbw+_ep5wPaGbem#&~zF7(b`jmWshrKzLCPIFo&& zUj0OosFKBmU`$Bs<7xVk%`EC0LO~d#=E5b>N)D9+G;vFZLYl0>e(nBGZiP<=sYR>^XUl@Lbkb4dw)!gsxgcK}0q~q>=EHcQY1ThA3cZ_1WbpD= zyi|SA7$g9o(KOM_dAu}`3gP(7ZzR}D!Vl4&=%lx^!;=t9Pfl10!48d!=*b4biNFMu z6a}MvPQo9>SaZwzKJ>gACn&%YI7B)O_k)EboKZjdn>xXDM8}JLN1lgNP5@WqAZB%Ou|0# z@86Rqnz!A1&iC-kZ1u8btJ*YlB=uVDF8~6-004+404Wd^TBiS2q3H-%e1e30qI*V# zdcfJA(-&_u^w|6EOj6Y&A_l2W7lf@Y+QcOmEpn2UgS9%`p9Fp2PFR>v3#m!DvS>3x z_#;D;8e_tvZSH^Qai9DPFFn`$NTJ)&1bd%(mHzwT4}U13ma*S`{qN{KY}5^XMhLN3 z&g9d_2vM+X`J`Xe;}Zq~axkZ8*9b1=kTG(B3%tKx%pzE8sx1vT(O6>*31G~o<^{KQ z8XEK?%vBn{GiDGML8Er7Yl?mduyBBF0u!dzp(YU@hWS+5kfq%6xhF=bA;g(=>5--8m$Vc{6KI}9Y0 z>TAOyH85{!X3tAXa0o6WB2^(!<``OGF7P%?&4$AQ2RHWlMseKU5guq7&%gubjZfyu z{}y~!|K`XH23MYO+*nl)yl^_;H(gOXe6B6bBKO&*z>-ipUl#4=?E3HDWB>3^KeT)9 z8@2D=aG%Z1XY8T-ACj^fEFEwz>i_D(J@^Yu96`j^5xfcNfZyj`@G4w`oZ&;iSY9CQ z8JQe#p`p3sB817J6q7)doYlNc?c$rr;4?_uvxWE_t@P^rfdQv2)$ifn0w#DguoM{2Uv?PNSa0RQ1wS?dk{BYGEh?y7g6(uiPdt?rnGv<#}PRuv?;kZ9I zxWRVq-r)j|`;2XMiD4CU^=+GgL z1SUHJffig%jV;0#(TW5CRto_Mk>KK_0J6LwI9Quu1L?>}$OJ=3IPOIfxWWTFySsd& zFMZ@)<5QCo7ARmb>+A0k-dEYFu~DC^P=~+=_9=z^Nw~sLEKu^nIIORq-uS4Un$^6C zHzN#sdb(|Rcus;YEenRIycK#R7anfvOQd+lrO1)*k{~2Dvbt`oYW49ZOD(0HpCWu& zn*&aD5>mjmkcalvm@1Qxls>b!5Ezh348e+95sUTM;Ug6xw1NX%6h6_tR^LNt1wIq_ z-P&>{iUb^5-QL<~sE(Bv73#+j8i4?c#;J!?^T}LX@<}4|HfC;XC43MfPBw>=TbrAu z8EC+Cv`RbxiOd-r_`$4;9SDA1juCKzk=B85 zf?0wSm3hc z6i||LMhptUgRq{SDcB^ZjP`7{cvFk&#O&H@pD*6Z0zL>8`r>_X#>02CS21tFlX=ub zB8adS1jEL~z+Celo`b2NL7|yOR;O!aJ3ePv(1MH;2Q1oMRJ9U70!f4V0dc~-X_K6V-XCU5 zuwqJRkL0?w`hzow@VUFtmF9<1w6*|icmD`nF$u~r>8!~}p%Axlt4$Yzw=8B=rLkug zH5a5&Lg;E9np!wNsov_vgl1C9c5)(ZM~9{@y@bVyqGs0F+H5C}9I||7L3EQA*oBjV zAs$H<^L;H%eP@nQ6qqYttHlZxeFdQ;3k;m7xM^970k@mdG+=;G`q z=#h2aMJX`N5}GVCU`66eWbKy?yBsyofsBk7ct-ONyn!Wu1AqV2JNQH}Lyy#p_$9wZ zpVSHUS8!&n612MD(SU9#JV(1SL_)Y9z(6|&i72>}@aHlR_76-?&bY;cl>~D~bK^O} z9G#KXicmBu+-KrxkG8o0?!)^gq)oCpGFTOu`Q;LpR!Imm?-m-!&2KIgRA812oK3GB z9yTwHW8a~?Pb9;L1{(pwGt{cxwZ#El0VEe?1$g0iiUVcs-<9uCpQ2|#Y`3B!*lQ;F zEfR!LJxWCQv{fU?9U|HgUZG8jpMz6BYfa4|YdXR<)DgoH!{jWeR9bL+a@u;gUTins zbdLz4!!Ez#qSNn+K6wup3+qjU;jbDGh5iuP#(Tm%g}zgdcY^G6nX&MrMOFe{+TTE+{7DJWl`kyHN-9VT6%o}5*3Gh}By}*(h_ho&s~%+_ zj;k}Q)zM$@(3KDM8^zBR6v2^#`;+-#E*J+w%FpVOWk+2Y3!nMr$|APrkj4B>n>PCM z?iy5a`7J?&LK4k6CBg$31~_o~y}D@6>!ONNRF^tj^ka29QSnGk)yEP6A80?|k(eg^ z*5AweoeMWS8B0F*rJL;6ckP!TARy_?0v@6CvV7n!Owl}>Xt@_sm<2_H@8E8K|9aZcczot(X1y)&n7A`H$9i{^{D1qTR5Ye6A| z4u#uEDJP9_2?pVMM@yrfwUJEg&PjX@H*Os8%o91`pT##wi7dFFCCw9a$vDIO1^&VN zR`V$SUYX<2f4)bB=P9oIuR)j9^`gSP=zi303{8PfgHU1ZAZRLRlw|xyxG=fX^C32# zKn2p&K>URI5~ZiE==o*q>FRJm(Kwm}!U-)dyOgq{dIm&w#1*po;M5n7q|x)kr$&X_ zArdSSG@2U!d0+WfW;BypO^?@PKxx z!yLls$UKbskI*1H2lu#mj+}ZhQ=UO-DG2!~Q6L2tGk6ALfrvO2lVBMRWhDBiwpO?& zW=_06QFOtm81)?<_1%TmL|xb?7;S4r@S1j~?~@pj^`~ zLZ8%CxWf(}IP8CuPKS-yxCe3nRaYlGlMI`s38a{vT@)>)eai}KR4A41{Sg3CGbx*z zN!zdQe$0OSv)|akp<{Yq*hkeXMH}IB;)Dd(l9U=rDBuc>ICL~tGP=C|_g-RciL9|S z)hH!~_b$i^UnD$RePVvt+QFt`@2~KIv!|FRXd8u#Z4gbB2tSz_exK-O-sf3Vz=cxM zzWx1&><2&kPq(~*8^U@uKN1HyjW65>&nUo<3!IAZA^aW+!VML5wXlUV^GUtc?m3Ff z0}!ZEsHbTSmIyok$wNoz;Ia^BV8c4!H-hGY$xGA*%n1z*qyhP`oLEe37jwb7;hrbt z%oQpYkC+Nw6zwE*6*xah9SbfCfCRF$cpF?E039oUb4)nPg9sK}c@8AC9c|9@j0_GC z4R&QbLVHj@SXfRRFdx7)x<&TJNXy5%qb`CT*m)cJn-cYc-w8t{oqjG?ZH*m!?19H8 zgw)OUy4StNnLKqMM&FAdr1W{vJp?*!l2!%Hi!v|b0*)X#1*XS*5q|2zO8w+n3hm$m z#JYiL)~ju@wzE&eec5`34V}P~jn8PP*<59RQavy(shhU*>7*}Gb6P`tpp-JkVv-=#qGbmfD3u9x$Jv`^+ z@QKqj1ulcfM8J_B4@-u9N7N%A2*CghICPJ?Sd_fi*RTaA`cozNx3xFf#({NW(pWpu znor&Xi>L#>qKwZWxMH=ImH?TXU-Z;F99KeO)xLGz;)9L;PERCV-y|WmWVhb>kSB2^ z^9ApwZ*ld7qb4S%=8TQ9jEC_%Jb<~B)7E6t-hA!(Qg(7u=o_t33jR{IXya1gtE%fI zz(lti8_z{Nf%(JoW~^A!DC<3)9ab-*pxt62>xyS86OLVXILg{v&X?_uhaR>8MH1C8Ng%l zph1HGXc4|wL~m$rOuE~J1FP2c_4?rOX)a}QcI?D)*Bn?JWFe3TSVZJduCxfStGCOp zecpE4y-rM$^PvSGLJ*_VAkj=hVJH$%V$ASMHhenZoDo8-p_aIUPf#uA2#BFmm;f#Z z0F#bz(ha^v_ZT=tLx2pFP#{$y0nk8tk9;Ro;JS-LWLbJ3u1O&kl1U|r^mzNhMqzHqqb3Zr7=@Z<* z+75z5w5Q)RHW3`IJ^~Ur<&ym-WII5Jl8%RcNoz~BtS8Qil3p#~;WCpjVpFaJ4|(@W zzE&of5zQyK`q@eZQ3y=nKao~gWWWY^0V@cVi zmI0Y9Kng;-SyN+eHY<2x;T44kq*uZ<%gp69XS|1vyaYy6sXb5VseUtWFd_DHF)xHl zqeL(-wPI2TE4&n2x1Z$}6M_sz7L&qAph9>UKGgvRNht!rGs>ekJ~8UJgQZ03 zAU3&j!YnXb67FKrWM!dn^nOQs(rWY^ii4jkq&b++6z#r8kJ;XXCwz|~9vAQxRwz*ib6d zb-FOGv=2`Gc47X)9MT3CQylnd1z#$E^SCR~$Jv~`Qru#z6zX~130X$03!zPb*dRMm zJovdW{oKu@mjMtK{R+|+aoOO<#bv|f;~Srvo^=6)X-(%q9KtXOQDmZX`t5?*@c45 zPMz|5k39UiD;T&sU={$t`>S{IZswD}qkb}CR|G+iHoaqObAIwVZ`J-gTXTQ4RCxIZIv`!DL`zB zO^B-(+;x|6rGq?lv|Wc{BB129O*S_<3|M0;7Z$CT z&E)Zzt?O?0KH&rtKXTzoq$BhT4iY|$wMBs*l44Yx1;mDQ0wqr4x1Oip6y_6)*HbkC zHt5J*MEb+=Be-e)y8_PeUU$W4jtIo?-ifxt+DBM>AzMcQCR$N~hFHrv8csxAU9fx* zE?6oxbtEHZQ*5{Q`{<#=ev&In5GjZ#D9ntVHKHezQ`9|2rgdG_&yZ@1CUke)^8P_;r z@YODeXdiyZJ|y4QQ&9jTo`}x@gVqj4qhac;x|`yGH3@RjTe8MHwVOi09} zvqlh@79o~NF^RbQSIJ|CTMwk;q(@KBA!J6Vv4+I+Xfe3(GP$BO3z8e25`z`9Tb{G^ zb+W|76vT!r#3J?v05l>lCct+TV|HqWK*or1ws4}XipHWz4;rf%)Y*zeM`&?50XmS- zL~vuM3KShZQ+aOyY#{2Q5Ylujgq17e$kSU8(0Hp`bPT=4RNm*)BD{0z}kggNhW0e zZWQ5`#B^ZBYQUK!>q0Q)dI<5qv<_1}rDuRZW0{Ig3nfeySA0Rrx*UA>!Z+(bBHkrX9NDW^49waKTuJk<{@ zE#?i^AHq8>K@WVIB(w>XXwX;_3A1(W2^;J&8|*DxPfN;n4%XWFTbu0S?JfG=U<2J{ z>uo96&W(+>u_tcZ2Ab@m-Ro@ohEChPW3##%vL@u!XOgLgxr@2Bk5JmRYnN@_vdQ+G zx5u7#*%h*+pJvav>QX!V+}*ZeeZTi9M7nC6nsaD`g7;!IPOmQDPb|joH3FlUiRvK? z1YyTNcm@oWkYg?c7k#|``}f+dcO0p-vQjvrzP^DC^qf2#?s1wl7s9ArL@+is>T4gk z0BH;UG&Vk>K7-qFPo)E%oj5V%IE!10!f_X@-%uDMt}5TGD?DZ27=0yLk)*|ZCvXY@ zv?Mf`S}X{z?RM+E$L&WyJz#gs1vaLqyyT3{Be5XgFIc@=io_3JnA$kwmTH6tdL#^aCe_n@yVMm}sZ9 zIc9?!+GUXwU=v)RPd%~U_lqXmg0WB|eh`(YZ_F7=`z%0_@G}9DdQ$Es3fLmMS@4d z1aP0l_i`0$aRCWf^v+0Isg>3Pb0ZqPH|pXnn!|S1 z`h=Yt8~61Fp2cm)>zpXmFE+=iS3Zs~mcT$F_blHbD&9%-7VV%hBFrF$I@O7o3;_x} zUyv3^|9l7! z*YvO6p}z2mfMYz2EjoGF1-XbC`~XJ$7MKA91(VgBL2zP-^&)sOMED$wIW#8N%`OBN zPLOpXznpR12w{f+!&*YPC$PYl7p)^%w$64^c9kqCC65CO>t*5L5+B^uYq|7dpr={_ zd5HE4x!!tv1+#S8U0WPuz`U`SB`-XjO_`lMF=|LeIlrV4 zVnU zA+{H=CQY)|!0ez?1a+P6m+Yzg_lJg7m5+bw^|BBB;WT-t9 zDaAzAavJmC#`V^S!mA(f-jHt&VaS~F9Q%zlVNM&Zt!;iR2Eq(ju<4%uvHU;)0qq|#(X+E_Ty`xRL*i|?qQ66RV-QrkEFd%slB+Ii!np@AvUv?e ztg8u|uF#;J=+z;7#2`ntgcZz=g=qkRdB-!D-b@nvmmmm;4%f)7w?E<$Mzld^$qskU zw)M8IK5uQsDSsZm<)t!UNhPmO9Q}eMJ>i$48r?%^1SSvT3}Lkp6L*BbB23S!i>(cCNok)_8vQD=LL5VzXa^|p%v%t?|&%BiUDi<=kj~h>I6tbElDN=ex31vvkx3#5F;07WJ<|Xaj~Fy`EW{%vpphAT=Vd$n+12F(@BOwN8_w8o9yq8u70*yRA~mss zIw`Zlp=97yE^PHqA~-j08gL=Xk^y6*UtHj>-sE)9DuxYD;us9_?XfX-Y<$+1u&ULe zp_8W^7Gsln`|c0#()*9uZFfCt$213=vjn{_YrZLDN5FEVJ_qpuV~WEd zz!?G$K@f#`*AwEK%q{^rQQ>(m1PsqpcdalACA3HJ_Vi5E$Nus)<)8%<9fcN08W0hr zG?dY?Q+}>HR)()L%`f>H(SiWQu?j4RlNG$Lzr!_afX6OnTz6>oGc$A6)6?aG3pe1x zLe74CFFW4*lOjyb;*^N8rnB7+j*3AE37v@waj}>fbY$ZBK&oVQ)e_PO z`z=_bG?2xGkk}IBi3ALFBcKF=35e=|7VJhj6kA2rjSp6 z4_F8z*LVEiOM(#-S0o#B3F`#wp&$)qT&>A+DCylU%=C*8yCJq)irThA;3~vCBivYFYR^Fx_bI`U(e2D+>*kl-Y6wzbdoeySm5fH;F?b3 zJ7*0?pu_Q|dbmy4)8@W+X_a+iI``~)ulF}9=RWpX!BI?D7CwOU02H{M=T_S zumrqYBVp`Js~2$0ttM%K)YF(lq$t)9!ZWz(zzENQ=hlUAIJ4vn=|rtI)DXxKv!nzc zwqS4v=3QxnX)|94Z<6VPV~$gLS4T^OXl=pUCqk8V88)pmFAIw^uIQ2FysfQOFeVJT zQSarz%$QrCvto39q=UM)lnN)Vk_46wzobv1@0^W;Kqssk_fJ86XpsELOQ5llvN7Ib z-}?6V?cnPx@Tio*Z>o~$Gr|)-x!euSyt_UbzH7iPJUGsTar*D-)06i-PNm-}7e4D8 zF>^LpH~41Js;UKRmI5M7@{?DA8`)!6Kg#B?sLP(q&VxgQn&Ym8_15BEt^x~Z3tnXnI{*sd~xPEIqpn<(hSVyeKWwK!{j{pb)W0)&8 zrq>G&2`OnU%`uNcotc@E9#f;g8>EMe4};hGn|_ct6CPJp)oeH2`H1&F8Vl}s3UlUp zMOC7{uPxDiKDl@vFBhLtfk*Qj92tJccf#qXk4{%=tdzFF4*wL0)y4|Usy_3NZ}e|4 zl%bQyU5mif%uACZR~gzk$VP}H(I&0>olUYx@b2Dl_6W>oW_r>(JG%8dVURP{DYJT3 zfMU0^#_J)J_uhZN4jdivzctHxSGx^t*>YjVyvoa|NO3EB$eK57Tnsi)rajRNvQ<{C*HHw58gv%`!P&Pk`b# zlK!zF5yArGey{SJ;OLboOPtU8naA_HubLTV;%^B z0?;904q~DW(~n4iM$E$BS0O0A1FzHTO={D#bxXsxw=2R5t5Qq~_ZwB3 z1QSk$^_+ZSbVS@Cu!vm63PoV!_GK*0nPDu`bD&z+n=JBJ9sP>ny=su&gILJbB&XmP*eG4})bilUlIRQ=~o9Je4zuwhh5l{i2QNIX@Ed5k$C`SioaH3rvMQOqkGIZfQX{0yYcPt!*7n*yH1; z?ALdmuvzkPY4Dta3i5Ob!Ej9lBoG7F2jPjwWbKebWQsH8Yg(m6>Ng<<^#G=B_}`B7 zDy)G805VRji(nmi{yBaTpwUc10fL$U1e?lfU~-T4BLFc;e2v~)@v*ufq7LuZGpCznQ9@nfzHdS+t16~@Y3Fqe!K#^+2;eS@GG z17pF=CvyVe#Bc8NR@OZ0hUcwQJ5U>YjbO?!7skmx41^K=E5i81V2D_P2swSYySvBn z1O?^5fdjT>%QhRIn3DG1Zlj}NT z&ZXiAXo}C9N(8f@wSfy2#wtNV;#R)%4%{~gFAvrb{lp+zO>9#FH!5M?1aj2sJz{tg zN}S`wx()5KwStiK>X?)#!H=~8j%4rvp;|FH3Bk1J1cuovVN($8;eKOp50(<`GdJvG zLh#c)L;)emC=cR#5l(y_ zy8o$4tN*wBzrD0o){Y{VUR3z*$4|hKaI1k-Tx3r`yRDaIjC3aM9{ZYe^D&7DmBj3? zt41)9drggEh3Io`F)J-3ZzqOQLc(@CbYj+qMi(t(oRruc7TjgJw6-K|>)-~re&`6# z!ZeAX$%^0>W+!ZTWXO^t&_S7|2{f%raVf5TIT5IU+$?sxMq3?xrx2jKj6#*ubzKJO z9c*soJ0bxAMjI|ER$u4>x(!pJkNV5s&8HKUp65On?+N!RbmvQIN z3+n4~0UEPQF1$#vsSy-m-`{OJw)faVs>_zETcnWV*3pxK zQFVF7g%KuvleDA;F>9C*??fA9Zh-^+V=Qjzi6c1tRDd_WUIGpQkB>uRz*p@)dd&^Y zi+p*(rG*B`=2t?{33|ar+iDGBjP808L)$peFN>$>BLRV*r`ZxbfiD@JDacZ+BLWbZH}DGg zE3T=6+RS9JC~-pvT#MYyoXf)^byk817caO(mIR+u;l8`-1n)>80Uwt29`5^MzmRq* zlXdry@3X6wGASXwsC%=EDQj$MmEbCQ=8*RGz(iJ;cfI{J4tvj@A;E%TLm$XIjj%>x zM9HJC67fDRN;D3L3%Um%w_dy>{P=&%|7#0^h%0c`fCo|GyYtV#`IBqPAZklns}MI> zEq=VOkc5;SxNQg3NB#a#-beCkF75&i7~glAV3zmGJke5WqVSeI$czU zwqUTB@GS1J`@3Ag4N&Eb5XN3A%z9cA7Y1S&hotcVRqU8f~(%>+sC>rcD;#uJ3 z>oK?=V1BHFY5uW}dV2ev8D?SFV)MA)&}Y(1Wg8yG?c1lean;RPTWg1-&wE|X8&ND)=zKMs5fDPKl zMThl>8x7&(?kgc5t{qCXP@6N4uy7~@Ltwd3mN00Y23)&K5_IfG!s0EdE!s&o%TgA8vPX{1%VjA(zL{5J{^ExF>Bi~u zvBWjLp832me9^!)!RvOWEjJvF{;_kxTX2m*7O0&e3 zVx^P>^rVXfJ(o4^>fBna=TfTWf zEy*&;CM+XiU$!SLza$H#wau9i?l%}7?ZcE{`W}5HAri(KG-a3+?jHJ!Rm`T=&aQwg zj0vX4n4=t6C<}ynlPQAt5Z%Kk%w=+tO@U^cH*OM4c)sB8CY@AwXNSLouvX@ed3@@? zAu+jD;YZ2_H*RrPFG(Xgb#r-{fCHlH$j6m*7ZF*Z+uC~s-SmZXtknj>&I2&b@_)I+f= zxC&h~#D&wk&+#B>S&u|cdQ_%_5WyR)PpGncL_XDatG5xA_e`AciWS*8s;t(&_?7Rw zM2&)e5IXOA>+fk!58K>q!G=y`?D75kTv08OxK#ZM>8yeWf_?=$4fyK9Qzf(&m<`{V z2MUUz*R9|z#h({%z#G7C)a93`O@5Df1O>~|KVc`dp+0%h`+z%jxzBf$PO^ws$qIkP zOJ8csxoOc~jn(s*<`bCXs`sqznUal+N&(f|Q%e&1t*y>ez^Nry6q;;mepYke=vFR4 z5VU40*MW> zKfUunP{>so9!SB}3vcFchne0N;1z!N@4|NA5d9Y2$JK@>D9TM2J?~x*eqVK;!puc* zTwAES`kmF+Jpa6pun-EP#xh!vX3B}Nvvac|R%!a0pr$0}!8chf(PUq}?iQ;e3>*oe zhKl+d<_(I5o zxOYm@`h-Xc&)r35c^ARJB1{ouyeek{13fl7wICseJ2AW?SUfNeZU{Jfg0wI&VA_IN zvMW6TAf2nygp~YVr4f}n^^56n!m2c`DbTa*G+tX9ME-yrC+;96WB z7qiGYEUR?SQ{D>>oZhG*dmjiN9vx-xLs^zVyLFTCqF8M=-FBy?3KB$BBus1+5fm+z zIb!GT+-|ee(@w-_+svs8e-#)|5W;~0p*b^L*N}yjj2{F+Gp-lnvYwSrkwrRZxopO* zor3zyh-ur421TAa7ORP>W6o=9W4>@o#1>I`q>myBvq{7OoM4=B5ionUmIVj)yy(T6 zYbhGZRx#(Ko+tCNG<0>4boqVjx-BlEWW62B1;}Qz1s80!)g)I<_>53Lmq}@?V#+y= z#+Gmp6Y>Z+35nNr{5tfzQogtFEO+~9LVTSEw_F{mr$qc%7t8~~76!ngG$en}_}RsO)RISW1K5A1jIO7wo)qHrq2U zztWz3Y`++0m2K$nv8|iqR$b0nM@NJ8_t)Ab7w)v4j&*uT+2+M#2&`c2BvAI=iAE4K zp{KmM!ay`G+<))|5}?vwWCyj$C?jl7<}ep-4C9GJGe*6BkOx)2B~eR zwFcV9OCWs6iAGS^l2R&8oE+BNHCax)^sy%n_&ZS6fG5|AlI~zST^zqvJaSOKfltDmLtchx69`nocJL|a939~++(vymXu z3~RjU90cj>(Rna(H*B31{SU(&3F0Gcuvmf|NBfh6swu2Dz>agDn6)h4E~RSQVoq9r9s;lNjn)V*_J9yj1Cg^IB_?7-S)pw# zC-$h3GUY#a{IicWDlb3wk+4S#MM=yC?Juq8>*^bAc41NTNY1@hKT8L=`PzoyhQ%>C zG2;vZOCs+~wSoua^-(!2T?>RJWJ}*Qgx| z%wf3@T;d2Pj{EQgwk}7Q6B6VS#FBF1TPr5TrcU0^{Ca?bge{xa*ZQQuo+dOq@%zFFUyid8@yelWcngi!if~3I3Sfg&YyMOZ=J9c=;@+CY7 z!T~W?7&bta&#e+x8}As@z}I2 zt9^-n;d9svMPFD8bo`Z;!)I2sPX-BSu})Szk#tzD9L3V!*6hNlsaf!m0GprV(A1c3 z!2pkZ9)+uniE#H~ZM*%)H*fH`fO;u&ybrvizX28~1OW#8=HDxy1Z??!BGCcxrBFwY z>9Ydo%5xONk3Z*sRlcilp2DnBU`64$@-Sx<>O}KW{hTXzeZu7J0 zut=%DgxSY^e1xGA)PUxG;@EL@AZY6BQ_2Y86CzZRnFvM1J3VC_MIz96dkC#*5w!PF z@FQxi$t^a)rKZ6qC7?PwI&C4v+F!{vX~xP1Y^*Z4(Xr#l#VjS1H3r`68nTEI2K>r3 z5n(sXU7>zANbpFQh&W*$%gbVrdOz!YaS2*?2MUO^vy9fN?{0kvzT~gNm6ckUcNhVe z`g*k2u=%B|81%nG{!b>nD zy)zuXh*lrAGte(AGx|fnV2bbp>cNOg!arfA3qI25pr8P7_JTN$sIKF#TBVMf@V`~b zVdyS2#v&NuatA+oh$qmluW3A{zgNnwrA_`D9tMvd4*o z?Ko0mUc!-UZf4F}+iR@5BW{~EZLp_5?F!qluFuj7A)5gwr84&n!;gj+^8{X$fX~n% zV~EBPm>VVfJ7S8_C->boC?x`11a5eUG&{bp^76|svdb^M)V2;JZTDGyR_m##$WS9e zDj8Z7UBSeUA3q@&Vv!WBwI$HN;$ljIEaQq1ycF1R>_%N8X(xwg)fov}p4Zz5I`REy zn!8{H!;ph=kPuEXf2`kgHWJa0jYRSYj?@DOIB7Vf4?gh^cJ;-- z`#t|RnzxnOe5cRVS6;aJBTdao*W6%K$z+SY>kqzc7hm#lrRx7JtILPpcEW`c1z58= z7bJ~b0&tdE!IA9L<-&qxGi2e2e_SJpe*KdWHu3aEY^z^>zxI6@sM8(V(RTD+VuotPK5H%=+33Yd=hb z3pc?M0Yk!C-j6F2WdxTl0Sqp5)Sp_l1(Q#u7WJH-7Xw8|V97$b%scZLgq4&Apo2oB z{^1&BTa1@13-l9VsGr=W#P=gdj=Bqp69yPxOmo@M$|h^9)3lgt zgFXH7^X#l`gErW|&Yt_+=i2)I0qZ5ZhaXRaLLeH7H~F&-aXqW~f@z~PlnYgQPsn-6 zzPE(x#f3k9%!$U^-`j2HY~N{DU3sxxdC3O5^t>&$Yg?CXTHk7$*R@zKHLm*AYA-Gr zFAHD0MSoeFnw-+8SyyWo9wB5&V%#pg&Dp~IjCFVQt8dk=Y?TUvo4z*+x1Tz6((%jp z840IZdr1+kDP93S(svYDXq0_tSirn5+0rC@DqDL;i_-uZ-eVdc`~_ObED_jK6bzJ-fG`?jQv=5i$*%@0%d})=Eou&DH1HUANyaO*dwVW%Dc>ac43m zTeJodm$XJzLGuesUKLHiT~@k;4Aml77z_8&pwJvKQ77)X%iI2+O4k+73hjs>h#;d6 zENIdiyQHn07E;bxUdT%LGlFX4#*NbM8|~mzPuiO($P z^2OCnn>GP>*NAZ+&dn}*dX#~I0TB!tHDJEd?4@~5>o?ZT9EK9UP0ej~YGlkhg^Qw7 z*CrW9p%AxI+7{%Z1 z>+5f?Kr@tou78F1kqyEV6Jxf0+ZMGOEHB^$9x!&?(8r~v0|T;0kXH|U12;TaNO;3I zj?3ajQ195WL(kQS4=nioQc2dL_`v-9wEEJdu>*Iqbw~TK>O{*ZR{^IUfBZ?|YuN@i z49eVqGkgE6Ad6av_Nuk%(drzQda16PH{|TZgAnqtEI$ zU*6&T66Jsb+=4&~yfC_=5@lb|6rR4%C-cVd&Fo|{3XzU+V<~EgtWLBX$p&C6v?(e z`^imD+2nk!9UZQ@zwes z8fj?05mS?a?&*Hjc&%%ZQ6VzL8E!c*6Ls2JrCeXNr_T~4F+L%DxjKZ+!hl_~uMX=7 zB40-wgc*A+0F=81L_~d0f4X%&?F#F@{BnPGZJE6LHm@I@w#YHfYEa@xun>b-E=)Tj zfhcH>n>G(LyVC4S+|gtd+C-Yzlyz%1EA!$N&~XQS=h zb(Rx4bJ^LBA3j84;)5r<2MnKp19H2ab=IKQ(=cpea!f>@uy~?uU7gJmreZ#Ne{+i% zP!(D0V!NPN;h z-8v~?%3_f~5uX-3V48W2QG{3^cc1XHB8Xtz1U`UW1c0>lJ!ubIECr*fUVX~?S^@dS z5HjE0@M&Kk;5q&8Yc+(5mwiuM$gIztU}?eR5pcLuzqRKacOm8Cd~*%}O}h*VpS=V7j_Gg-3xQqEI^BviK^>r%evHmV1SOxpy zq>Y?7WJ?RvRwqf@)F5FdVV%-klcaV@pNyC7C0N_g5^#X`baZt1deQjkDffg#7jqh5 zmDij%dI}!WQk83jU$G|3m+NH>)!Xd61fk&JiiK!}0)HT=2Vn#ZpeYJ-h=PDH!ev^N zvf>+*RTo-E(M8$IXC(Z`#-#|ReY*#1hV%o)aAr>96<;KMTay%tglLAb0b3WA`p*1D z-zm{21uhcA!kPE!C&DOvUlCvkBT6K6DE!9XT>QSeoz>sh7Gw$d5M!?qdrm;eKlsD1 zhF@2ex4!uztFKAe6&J1dNvNp_jN4ya(H@bJtUY0tlih%gc0(gmmd=W~H8fjRn(fK4 zl1*oF_MSg|w>nE!2WdB&$T0yIO=NaHrAw0|&4`VSyvxJSr41vj+~=)(&a5?I02Q|a zjDfz;4>SlSaA9svjQe40l4jIXm$GURmwF{4tMs?>8I1$4?wlr*_cTf)f^c~c3(=JB z%dhm$|Ea*_5k?dQO1{8x??Ipd6!(jZc*ai+sYcedo4)lq`{E4`+AkmPlT}pb45}c@ z==ji#kq$?L_2-Mr(#UgUE10l7d-nLa{e2X{oUm+MR@`$&2i)`>t=SomG(zq{_-Ift zB#@G<00?{BH!utqFCm6HX=T)R?MIjAtCNss9#{(@FI!hvhloF81MAkiMu#O;kaY`V zgK7FYjoRn^i~$YZVWBcNM^spqO-{^;*jt^L{Gc=u5R1s{n_1t#UQBJq4j(z>ZLI6< zm7+q*q>|0ZiYH7Omkk?Kafy;eo2WVVp5abRsExISfR0RdL46cytA0k>cXxNI{bA=v zt-Fx2vpY`MHQguekDEs9VVkrUcOSOrw?1jlY}jkhjt^TR`5XJ%rq9`R+dgW)-t$qr zXV-t&e{A}^eR<;-?5q8E+g}fU!L~{$VQmxKpf0M-g6(NvwoSG3wk?tJew@{uwhdL| zwzYA=Hr9%viqT5uSZ&LG`{mg`x38Xcqy6fveZoHk6?j^5NnQwRJ2$E(HoIn)m83`+ok!hOp8qUc779-IOVJkqdN z7c5(jTEMbo^RsjrjlL+0h@h2b{Si_@ky#s;HcWqVa>|B>hrK?y1O57*FbM|%!|P5@ zP7D8IZjH1_D6oFzikJF5a&n}S0!bExEO)F_n0#w<(k%+^x3&wfG_H_MBk%`26W}Kr z^kFH5a7@O!VZ(seaTw}CFd&2&GeJ5$8}S1|_JJ)HE#8sFMp9_uvYzm3baYI#&qn2v z;Fr<($&3#Vfe#=QaGwV2l=-U0HLWp3^M>`vZyYuV?^&29M_t)+S>_E-gh^=kSa*Ai zaJHX?ShauJMt;)aE$7R1ly7Dd;ZKgXL2%K(nNkHRP8jxHBE^pl_iUHo2oEYtzJ z)gFD1?op!lRu}F??ML6EixS;GT{JIT)z80b_eVI=H=)VAkGtagA9(ziS#e+}~(zxH6hCzD0q{xT<<5d8IFvqHz1~|3lWU4ML#GkTq^9 zx3{n%R{)ceG&3(NK=trXHb`JOB0w}UztJj)fboIAa6uyd2SP^gqaOf^I?L)Gniojc z-7Mmh20fSJ-DGbNXhjI#Q-I3^=(}b@!hkeNL3;r?Kor{f_*Vz)&)$0Gp<-XS;cT0i zASE&gBIgv`m@TRQOD-%}kR%Ho)j#^F#`CJ&I0chle>oRUEMIW=;02Yh^=0)F#7Gu+H zu}apU2;A2@G&WW7PyEupmZE2|>S!Cw2T6+ysJ6BsWKQeZI`x4yCIq20U3C-T(J5kr zv4AhE<18$s!~~Lpf3=N|PiUU#8!kauABK?`>#~LJ*Vd;dwBc;%QuYKt3*#fEqB|$BtL2GHOsc6cGz~u zN36eQ+S+RJc6MUi_UO90>uyU=?XlzFVeN!HqqxW3adM}TNS>o{Tq z7PqUqj@e7wkJu}_hwS@f{em@Vhop!I77GjWzP=Ewb@moD3M*t$Y0k;uKc)FWX~5!y z(K5H}F=XC@(z3!JfE~}VuEBZ}>jhX^;K^&+PgI~8%I#Hq)Mi4sgYYCcMh&S=S4hOE zb7G9FK_!XOBj(-vRO9O|zS&;%H6h#>5hL&HYX|gWv!dptrJjdY(v0(ZHv>*saMn+v2u*Zl^ z)@<5Fn6ZvX@BkKF5Y{1BfCK>b!eI|1FUD+ELYEwNw6`P+CNImDTzZ6gv($injr+Y` z!iP=%^673<*qPP0V(fD92qy zZP7Z`Zg0F=LQPKL*2w|KcKh^^#cWxQiW+ zcLS1CDl5dyTTOjJmIKH`28)FCT=8_T8u8UNzo>1rDV`%e5bh8n!B|Z}({2}GLk#@pDRcxb z>Z7GWsEmzVc5IA>q2Q|~U;Pm30 z1XY)CXF&`sDdrNhT|2hv_p~#S#kqNhEh(rt@__&fBTItD*w!8nZ(LovI-12~(t@?- zUJN7AAWb@nV7}7+zPw9qG}vX0*V|W9ueJU2i}tt8x7cJ&pN+-3ZA8$6X_xEnve(+P z><^E;RevnoA&u$h>wc}d2(Ygc6Culj)r8IElz-2@#QtsJx%Qd#3;geo4qs~jI{Pg9 zr?Jb`E>&Sn1yB0vb1S9;O@8F?v+Ny5cG-sfPJ8#z3+#scynXB7Gwsc~z&YGI&;xUY zMjtk%2Nw{z5orS)q2M;-JM)F*OdXV`2;Z0!*ZlQ&2!III1Anv0n>8PlsI_H5%2+Cu z7GB9((K|S>?!bXVvVNz1&9Eie?)w*^9TwH$7J*|_YmUY6r67^4dHY#soyJo_sSzME z5->1)l(XUCQ~vvjW5+zq85+gv#>;|L#u_7M3$X??J4+5u@<5{e#BDJ}_IP+)$U?)$ z|L*QCJAPtF@J5NN@wIH)93P)_{Dl^P8TGM3$iU6`*u)DW&=)p;V*#-Rp}V)w+BFW& z>>?@kaslDjV2hluBqfaVHh>Yrjy}RyJ*|{*H7EQpNU>N%h=@@@n@gFLD|?J1xHN;C zEykL-+VM52OhGUti;4LGs+^F^(gV@Ccphr2g(DJn%wfO-A+QQK#>G88DY(}7&J1%O zKIp%TnRAcdaQQ}Ug!WcGDIS?u`A&WM8x{UueQ))1YfFs17oMJ6fLdF6dpm8*wtly0 z+S;3KYJ~wB7^m|Wp&AFtPknj>e`0rjz_#&oW5G;KEo6n8ew?8*w*ME3X zM3=FwfSDsWA=YRIMUi{X8JA`;Y4ZYTQ9^=^V#`8AEC!-d_*-g_GxV{M5lyTn67x=V z*ksGOBpRYK474CLB;F08RSB{11&8C1S@fQhwN!6|ow~Q2@-#&NDhM~4QQuXO%nvLM zat4v+RKli3_k-JOZ7G)WY9uf1tz>=cH(7)FnNR0@JQ-SMB=A2j^TFUntSxX(V&`D^=d@7>m~znK%P z=gwAH0r6pbb_<(Ni&ocq*#4@e$L>wuX9M|+{i%e`FH6JrtASzBsw@dvnz$ixx5LEf zE9(@vl@R7w$?Rtu=R7Up3GO)r4|IUwB+EHCL*i5lbHd-?33C~_<54)Oa9xRgt}W2# z#N@0PbS z3CGqXTyY`bfqV38aB#o{P;Y;~yOIe9rw)B&+yp>`!x^iryJv$34y45VQ&K8{hesi5 zEF5TvAcO{X?b_-6IC&}v94r9dK{P1vB0z%7+#LB$pV*j9PS53h$)={~1kXmx$N~~` zlz`wv%C7`VL40B{U9!CTM#5l;e6ba_K48g6al(?2m1DwH@*LuhM`#=Nh?dcHE%Jzoey~PI&P$35Np5Oi4G5gf>LPsfo`}6%a z^V9(=A8WM#y1CH~ZA{zjetgM7WVFY!n2-qLAO3dIzIVM4Wm&`_f?@rM-~9}wOjZ-7 zNy0TGVNqYRtmzF}BzrsvZb0LuFi6~U025^Od+Lk(X~pa~0TpDJ8XvRK(f~x0rIq z=4Pbr$(%m_ygfD|jSGz$)4H$sJTd7Z-^fVx6tLrdbuGf7&f%ZU&D)+`yS-oF7Gt7N z*9DRoAnRrz99Pu$fQTSexQEc?lXlR4{kz7DzuD^xP8>gh+Xtpj9jqckX^SE>7VHUI z#zjiM5G)YFh?E52&8eR45>gx1uQSfTs+W~8Jap1sGY|=u67S*}EGqUSv3`l)yB>F* zV4_ip0G9*{HVxOyKnsiZL~9{1$+Lz}y{?Xs+bWx7b8bC*KJa@>*a*K7k}&zTg=`~? z6AO{`GEQFoVDYc*XF?wWO6p>F*n}SY!j>P|=QsUIPwcaQZ2Fx2bMmwH>6Sa)0(<`c zKeiVi`7^ub*oX9ckG=HxpW6#hyxi{6J9#6*i||~%=rj&~E`7CqYVLXVg$x@k%|1Ev zZ2RYt%k1M5SK1giWUaIFo=|iv4A`Bi^&pkSaeoP~{_?SB*yr~Ar`>nzS%SNyshFF( z>y{3dA?rfGCg445vz0^l^mmQEv;PM{#MrsS!F}FQ>Ih_Eo?*~D1JeXw_?u_IFa9p% zSdjm1+|Oi|txe4H*zuD_BGwZ_!vxX0Ri($&Mp?>F(T*P}2#u5Xs)kU{r$0sNJZxk&0i2&;n-k?l@_qbr26U`nN0!&aqaZ$mWutdN& zA}A5UkWIZ9bZ2LmdS2!D$e7_lWVUA>P=q3n2LhW6A-Edp8^JhJGxIhi`b{>sx<$$O zNY%r^fTZ7@o6k$xoY!+9u>dfnp9nhY679x4ckOE~avE1sf*UtE9v&iup&b+~+~+*Y zxck@jx?rA?a^bL$-UqF=w>Ak*8JiK`Va>L0UB8sKW}ln!$thnObm5}+GWSt`_}i&X zpIj8`1svgCP^KsmE(JaUZw%gN>o1o z`8WCiD2of^Sz>Uand$9*Z|VA9_`gPh{vfY@NvYedBiU0tP?<G5v#$)YL3)+paJaY#0lAOMJvWTt)XkTEP+}(Yug4f7?W0bT-qHz z_G%&ZMl09Nc^ibSN^f`OkFbix;&$-BoMrM0>S~qk-@o52l?E{w#>0DpP+*M;!4%vb z%olUw8lJ{TzdW2*j2b2s;3RnHANtM4JBSfsMQE=7j?yCSo~X9eB8#bLW)fhkg; z%nuC>IV@(UCxu5D)AWm}wh6yqY=1fX8vEe*YwR!Q5FXp@f6e`#z3tGe>}^AP+_ktZ zK4qJ#CuC8LdYhYSCvAImrFe3QVjGop=6)!WWY3W<}$Zu84+wZst*B z&IpBD^NR}-3JHx(%AW*YYfGD3NFev2gNLQWhBYx#m+?6>Gh;Kp@mgcndl4`wBDm!c zM!rrfIzTuuwk4wZSaZ`{5fp{6q@DIQ!C$yp&^WOMt7Gh~LWqY{WLSMmX<6JU0tgUp z35PR+12-0~E}tJwZ$x)&v&d!%{ns3eMv9^r_H!W+*_Y*qwt>L5(8v|Adi#qH~A148-LTbAs-+XuWuXeV40K3VU|7^ zPbRlRj0K`~fCB^l=0qrt0pkVP7(7-86HR2ysZ*zHhbH#gXPskpWg&_Bh_{W-QkN1m zZo$Vt&nysnR2;DSlS$0v(l(zKQnqfi;Y^RM+qhNMLzkFli(pV|>CA*pjSNe>OKLnx zw?;ZU8m+yh-o{VCu!Vrjvu?V3yP6}$%-AW+9}^1l1_aLXVjm+6(zOj$!pdMgw1I1k zEYdJ8_cK%qVdJP>4!o&e1X%JFYi0a$FkpZR5cDP)E#d!534fv&P}*`d2K=ASjsH=TyhZ-3v(u-{?xH!_VJp(w=cAR z(!RCfbN1Eluj`2e_8*-ew_oh}ce`=tXC$0Y*g6}rbyXv_BN=wq_ZCjtVBMr`bcPQc zL&O=l-PMIAZuX^)f3YvMf5N`IDR>~h+Vwg6X5Uxr%k7`D3-oub1QF3;^xblOcFVLF zpcE9`cr4gIv*$1$5X)aO5fDO@xj43dcur5>(?ZUwL?l7j0 z!U5n(JuFu~!6)i+pNsHxJ}Jy6`@H-))0~4*NDBoGkW`_hfo8@j07%6&d=GLXguE1>iY!YS-_Ncu$}~;!`;RD4ip7# zvh+ZK2ca8-M+8(b*5Tn1OUM!f7Fa}BO++BGM-J$>bx8RU9CEUTXwRbnWdIDH91$NI z_4xw#8XLP#r@)Fz^quiJUr;|O+z;P_OKo-Gx9E315$f>om1imiIiSC}7u{zLqr&?r zJa=XhMD;9Hqvv8E3z|^G=%PYk0o;}7CbB3CuCC<~O8AWrZZ^AW%sa=+{_bCPv&CKPdQRBG;+}tX^*Y=9rbA*FWs4`e zZ7ExpX3}H3_Ux8c6n9e{AMQ+Q$sTz4#AyK04uj!4t_c>^$zm+>QO^WC5h2B`DD|Iu zFn$P>e))00(vVJ#4cpItdZTsK=k1EKdnGOc@uJ4DPDO~P1CM)a3fdCxE(*fP2}bpt z0WPI%F*j>z5W3KAwG9IjNCVc_f4PWoz_#w(V$BWh(vFkXDJEK9m(_3GHZnFZ0kPng z!Nl~GG|W0_iy<7Hf=eMH7zmp8;cfxBHNC!GfVPA%!n2Mb@-+oqN<#F61OmIj5iAHC zBAHkSMXM$x8iohcZ)r`)GT<;c_LGP}oJpdIV-a#FU!y<6K8bvG$=_XDT~vQ#wsrG> zZ}t_4RjP26eA*IRl$W|vzR0~1si1zlaSS|W@+_U zrtAZQ`Jy-kZCCgr+;W>t-lqB!6=Vsp_y(lW4s>FTD>|Y2z zP>#H9XlN4yFWV8-`J3IrBz%wP^Zb95w5HuKo-((Zu#C}|C{&y-M>!S zO&=a`tEp_we*bqhTc;-GY(7)8l<4`XgD30_ufJ9dQiLO6$ArPamQv}!fElcqE(FMi zLt4U31rQNTXjQn#0JsMZ@Eb=`i+FKY(RTmner1D{I;4Uh>;Nw_Sl4^IteEMwBp>eF`Co>rR}l0Xn~vJ1am414F+ zv+RdIxkp+LnxmMoXx;tU(iVXyZF5D81mud=DquQjejGPIUEb@+C!y+fE5cIKAK)RF zF?JXMDSQYc50NzZ=9?ujvx0MQ&+$GqI^1h$nlJ(06D`)F(C|*$Mcd;&e21`kmV!pk z`cY(^(jQzWFcsj6aN}LfF_zPjV+Vs)&jP;Yia-qdJSzdse6WDeA~69Y6a+NHUXV8Y z-xY!$u%^gBP1GKOIFq~AULrpF=K6zTBAFoU9B$zml3F7Ce7#bzW!z`CW^J(kfPS5} zZ|wLl`{}mN*{52j>?7MCV+jTh+V=c#uvrdTy;h@|`^TVxrUjcQCy>_bx9(3e2y zFvilMpo|8Z4Yb<=@*}r=pH5T3ZnSn4=a2-dKQ;6 z{2Kle2_^pi?@PsM({q%SPwInh;R<|F?-Kq~_zYF_j(_{Rx0FF@jske!`~Jf#P`>o# zw|UMq7UDdpL7#&Ylkp*{`16Xf5wIoBvVXnzzbAQXPD~f<=}F%pWF&=IH)wn2N^C##S^y)*Fb5jM^a7+&32D4pUz_GJ-uTFQI_aYjQZTdGIW)jU+qrYMhZ5H(LUdkdTZiuvfdH9*&XyrtcwKF1hk%Jc zTl!ajcU1n`K48>t`#hhwh5V&SjNTYvF#yLPzM#|=&ZZv-h8Oh$?t z3JN&QvEpPqfcY^$FalR3G#3=sv7meEqYyO8VkDr1d4p+Ul~9+9bt;s=U!MCLe>N&F ze&T7awU=1eQhh?am&m;*K12V=V1cU^>kNwvt2>`raQx*QB_cOHDJ^&>W<pwAeao8nf;655@&o?bjl%QkxIs5OXQIwgoQ>T63&x6cLrWwSW%fUyA^ z#@OB6A$qQLMT&?*A_<`nEV>(haF@I6csBxqHh@(GH^RL69)c9k6dqB5-S8XhM*QYZ zA{FlOn=3aJo+=Pjo(}>Bm{ZRMnd($tp?iT}A$a)Y@2Kz{*jIh>qi-%_b@a$|{G&hm zO66w+(z|Ry41tG17!Aely8pP{asN>jPKXM?Q9&`lo^6auk5r=!K?7aT8j9M??vk z)3+R!puQIceB3wWhy!to?1?F)ZR=Uv?7=67g>-c`^;-hznW3-J3S+e-m+W*`5EBkO zSh)8T8$LqXbfTtUFjyYIg-x<7o}>98kYI{vZ1jaTL1u&ydyCvcVy#UU zWI|ds>3LvEzK|~HAk64LdnEW|XFTgZ^brO|6duBW-+ZUL`UK7Z2Z)vkMd}{df7G?# zO`8XWS~ae@vcQh^NKU$lh#+d(LpV)I*f1}I5qEd>x<%H|)O?!x&d+B&j2O2@duN9T zK56e?{Fg96mGaS=KbO`#t8s-rZlqr!Ld=yFEIn!VjD!B783S96x0sWLpIXexifuei zM0g4!VkWezAru%3?c-jW zpPzN+k`N=q3gtUFn!lf?9*Rx5Q{XTd&pi%ITHLTR!tm9Y6>``zFh<@`<;HYZunq*ASH?X7+ z2sQddum)pg?3A)l8r~LQAjYiz!!+5Wl=2PQL8-zGH#wD(g)7_;3|J?@9ak?4Yf(5r zkPJAD6-btJ!qMSHfewN)4jod5@id6$5a2{&5>QoATO>{;T~{Hu;78D~julgV!ph0# z2u?Bvge{SDQB*Ne!^|~neyoLo$MCS(xvc%@KY#7tqXNu$KmCbv&Ve)VN7@eGgHXYu z=Wo7);|LzUVQwz&0w>1^%=}J^!0`$vC*|vdb(6qV;z7 z$+`&IT~r8On4FrCIiB@vW-f0JJvOX|^`j=RRH}Ai^n6{f{$Vir5k|b|o9{l$Za%&w z0d&x2esRJcylKdVQB)rJ$2q&{&%>gsy5s{FI=CC~hF6M+^bTOe@A;z4?u-Olb(cM~ zcgSYvm+jp1FObfWkXv?vJJ$1a={@?@OvFE((6IQAjkk&YBTetSRUp5NFh)m?bB!n1h zmz~%kJ3@$%Y1RywTu-8@`xMsy*n`ESp+Pc7Trf52LXaS&2MZKQHzUhRf1^pWD;u{M zzwuuBBFb@sqfbFQLdyb)$;L40@8x&uBdlNok*feE4QzNXf)OTyhJND2Nsp?8U?P_t z>t={E1e?0!SsjS3L$l1~(~g@UeiZhM)kNkA7MzK!^f2)?g#Z@D3Uv^LMr0iV1LGC4 zfyq(wf&={pUi?-Nqh!5?U=VfNmIV{dfNF}V3pQa-32SF+SMUoFk6~{RfhM3~*m+N| zi3E8|Ld-=90DgA?0oPtWC#Ef&#j@}@!cEyw=bAqE87p%`Up$ZjB~dtpuHcLhb5d=A zwNuyon0)7!Vswr}5VbqxtbsOrY-lq?c92e-DjJME@L z)AO2-*_j1dRMPY%NZA7f{xB~Ta06H|Hpef$&t2OR<_ogs*vHq=*{eDzH-wJkqRo4B z?~%hN+y$3WeLW#-rfiF{GNxzdrGQr3=)}BD%r4o~d|rPS-P)LEy-d^2(gypMAOLU{ z9EWdkGzOvEED^AVElT(3R5C6UmQh%wC}_?X7iN47Q+AvB*D9E>9>`qEiqkwW2OR8o z^ypC^EA11B2OJTc2rMjN=!AL0B11?b97ji{{J06${6TdTAqw#EPZuRCf`mH}4MUe) zQC&D7#g$4f@igjF_&2bm;C|y%Sj!E^t*CwKMD0>ef9hwyuTS2`cb?&jMVb;5wz?3& z!0Debm!gO+uZePsRPjbct^es~_XQ*m$Xpq$EREMbf0G?Kt{WSdY>O_+AH5oS}4`qqkA8<9otCtA!I9t%*lR!4TzTy z&yv1``?#1*`&R$}|MW>jK~%{iV1^S``5kKj00zJ@(HH8$G1O1ENyu-fny}kC!TlQMKxx;d$ zW&uMQYa!!IZDkSzL*XKV3T+gt6?oG&@8LK4PFf!R4&w?}R;E6P3w#1RfjDb)K#2pUplVZ`_;KGR`tZ~VbVFN_+eygvMmCTrU55hmP z5)e$hGbj$=O;9p`U%)NK1HRE7dk=$<0>6M`P|$+KwYD(F)PsirJA{J2lb`{?0yiB= zd3isnys+v}Y8EqD(WkzPhhT-4OSLvOJ})?Agp)x)!eCjmWDSFMnU~=9l|?5e%xTtG z{=l8U0TfMYlP#^2h^q&m$jbwDum{~5M zuESmL^Yh452q2+9++$o$_Zlz9Snz(v{Qt1`AO6~ARee9cPVc=wy-sBYW?&eKh#)dx z0jUyD)aZZ)TcY{el2<}vG@7p(MMXhS2`VB*5s_X5VQ4c#bKm!M?Q55{SKn*z4Xs(oSMVD_LE;(hV2nxmJumW-cHa5ciM;ulxJfEy zls=RGPi2sb#YJMg{HfoC|5n4CSRu_E!0uEuTihzE>s9f$@B6#}V)mhy0O*?dtDiU# z9VZVcw*aRBcjqLGoT?h)X?I)~o!4F$9oJkN?b3?g{XlALZP#8OcPq>8PSDVI{lxXr z@kUk`tDZ_@1tx1SXi}528xlBmvAEV24?H#%FL~Lw+rJ8tL0~XM7$^f&$|hkAbxF%+ zBTf!*Q$xjAl3+_BlCGgnf(oE4O31O6LkvRbJOpNa!ALW}+b+LIR?-NyKCaK>ri^6naNY>8vu9*(O3Kb?rq93@A2v_A^ zTO0FP`Fv3X&3X@*D=s$#1^3O2^d5|W=p=9j;YK=m=zycb#zsax@!KM+hj{_oj_)3A zi~tedqaQ?9!5AqQ0zahprTEJ#mSrJ?OzmAnsCLtL>S11NZvtkN$0x)Lv!so*!|p}B z%LF!UXO0W&jeEcxO9l;|u_n02u1#5FtgCXsf&@pxJY7#jPEila3cF2o;x^Sglau2y zKRX?x!&lX3@L?rR96uP60s6iq&3Pgw#;-`57VT|t3<`|7+F{}BP?4%7C%`uOLXg9} zu;xV`{*F-REG2shSU@gIj&`E4dzTAYNmxgWCv8N@K_K!zwB&p0GeIc8g8mmc?@d^$ z^czO+-4K)zMy#tN3T$?UUBb5{l-h(J-CjTn4_P5{trlySdE9F6gLv@pezk$4xYzw0 zCf(MNR$Xg*kF1il7!#jb->P*W1l#^>3BTt=U(kecMxWpj$3e)j(K}Ku)DAUBy|1x* zn6-pG1N)<;P54~XEQ`1{&R-ghvlm9=^tr2gE=x;145O1ZM{E$=+R`cdZTG!3wZpV1 zYYw|XdYhY3Ky!;M(~riF4P?c1qEpHeyU?=$OhB{0(>QDGIJzG<6`Losj*Ip- zHp|+PkRo~xZ;N*^5nVU8bYx5yCr+LC7TYU5E@&JO*f&l8CL%Z4yBENJWGzOWT9Rpwp%$G2p$aR*Wiz7MhpZ z%%%R&E+YM4e6-1aWz~*Whx_Bq`MEfINm_qRtKhmM*oZhcr{l>t-E0^k7-0wnfq-vu zu}}-osKIeYZGcHC(z=qq|+-jlb zvP4upU7Z?}b%*GlI(5pfPY`%?XvFPcEC9j5eS_{VmH=Vj?45^u1fjqoczY8^kPw!O zo6oD{D+(9EE4UY#U%?Fm{Adf-AMl}#Yhoy{G@|X+Ru}DJV}Ytq`W4>L9?GU~xWFxF zn;XS|^sGi@=#%n~9z7yl?T-C}``{fhJ~|SYE}xIyp7!YL?Tn*G4#bmgWWT;CjfpI; z<$0A6!B$9w2{S*Fdp7E2;W~ZAO^E=;>R~>H$$Fyda$bV)<;uy#DhIlQq-=@OE{!?v zM9#Ld@DpBAA22Ew7Vw~sVh!w0-U*)ZP;=6n$oZ)pXT2*%y(l*Xa` zhqByP^a&$fT-k_oXGY@k)e$Kgb5YmOtd?O}G{x-VQatwfDPdlf=loE9uwF)eTnV%x z>#v4GEM-Zybjhl!iYW=g*7oig8ks~yTgXgI&&0~cX3WklDUWDH7XQ41XxRI(Kia#x z?M`HV6U7id2VcbUVt+pp{DqBISrUVy&U_);Y$1eNB}{OoL+jqsNzZ^gb7u%3#)sgm zg9l-};LEbD9t19@9M_<JIh?TPV^xjn({5AD-LPBX|Vm=nD55(|zZTy#C`xy}p zCunX;Gm<$g;8h8cWb_CD7vmYXo`^sBPv0R8W!$bf_Cu>hP#Qw4V`;bRu37}rDCloO zxnd-gN87R;Wl(q0!5$9CVt^PdEK23mcM#R^z?Gm+Rp)1D&33PVT)Iy?t>rLBA*TKC z!w*NVv^^$2bK*LK32+k`1^|Urffe@l8CJZR3AMK2G zCzBU}$(m+8(4j+p(cV^XCeL%~eEiH=!xMpe=)i#6Ju)&B3u5@V<#>

}eg~ib=O1 z?_dGamyw}w-pdZ5*)GL|HC-7Pko}-P2oaL;Sa5@BVmXn}gsB68LZ66E;~r~Bur?V_ z_9Vp0gfaE^c1y^zu`O;stQ7D9XVm4!UoMl!{c6_QB%`mt72w$A;!if zz$IiRXXj;6Pe|ynsc&wMye`~ZP#vQavl1*CLkT$!0L41vlij%~2SLMk_G4r^g5z|W zq@WhsClCgOV@2bl23n~jm7P$ymZgXQYsQvseXxwDr&y*Sg;L|s*y3)*rCkCufE`LA z$x)fg!?FvaU9oOEJ6dCGaxSh6YaFWTqP3&nb6?B2M`clBX(B{7WXVmB;DASdQi@F$clS?kph)eWI7y54GA|#I=zD1tf$LeI#tQwY@6(2fOS$h7n}AChh@t zOlZ{o+~@P*{3=vzlpr&p; zjvVdxWNuRvE}Kr_-*_C@Kj0%t(3-Ei=D6zEvOAeRaR$@Xq00gxc$luVp3V+iW(Xwu z$G9Opj~vB~{KVAPCk95{>IZ^tU|+w0ziF6|1VZC57w+9|2^Jr(EtqeJv7($YzrscI z7(N7aWd%&(u){*y8RKMA8k#S{zN$6*F zZP5$IQ9ha^yja=*|AJQXo&@pKgvSFIGAD~dbMoX#32!_*^Kt6&Gvag8UTn;UuJA;{ z>9O9XMd!1#>}M#eNJ0r~j&Xt4Ky$Q_->FWdn~d*;=N7AnXIzC&N!xr%dHK$#-*@?$ zN?uZVzWu$z&;1=PUa5=U7#4+Rv1Eb8{N`&g7#htvBfFE&84*MI++A1fs>{+<00IJr z%`X*b%g7j%TCfalRfy2Y-%Q?-p3un-s|fBIPueiov7)qb|~A@E>+dP3Ui zx`<=mngu4fEiw^1Yjp|$cc-zDF(byl?!kE8Gj52+jhu+C7R;(7m|Ts@sTbU;#Z39F zN>$IQ7kN%kaNw9U^i469)p={3HFaX(QmGjvm^q35mhJdqz?|7nyGU3_CJq&Dqs z0pSCj%Q(SoffHIbb9yjd7=IaGm|+pBb^}T4qCK4SiffPWxZW-UMTBZH17fxvvS<>q}Nj^wZl8Y#=xpV@Z(4umTRymm!l?d`OT}`1?HCKa@TT}S>PrlgnwmOR{n~(1`Ay! z$Z&bF<xK#g_G*=k@m z7GtnSR#r%{6^z(Sl{r!Nr9__u1}JR=GT`3C;=#oT*Ib9Mt}stG5}nQUvA?g=>$!wx zKGvgNf>)TTac)%K6EDB=)w|s`E*k_I6X=8A&>+e9y=yGf>VauwN;=JV`2MZZ?)OXD zeUI@jzqjQTct%|st0RYxspZgo8a4fFiKP4R>T0(6Afyt_e0RbkxqPKg5?Ra`21=)q zbNZwZBexr$74w~ofh6(CGot8V!hGMIKZU{0ec#e2#7@It0L0qkMk0}qGHF+-gLN4t z3TkspjA?o$mZc^A$vZwClhZ6hy*@hacb2dcq0UI#$6`qB5g5UMD!Uz^9f?pDaF?if z)-^DgrJt7GrR}>CE~M3s`dDkIi^ngEF*b{lajaX5`lY%^O+qM+sel zja#r<1k`S~4uJ_UZ`?CntpA{F@?!p@xusQvP)h*>B2ykh!P+pPu(BW^RA5BAXbZ4H z;{(3z!$&)4?-<*{$Qq#>L0)CodYl;#>LnP!izAhH-@buh4G8PQ)&#eM2M<`_&<~=f zNQ@hkw=5glJU%|``t~O7dA-Z(f&&W>*c@wK(;)oObKH0cI1~~R0udK#*$Rk$ zVKuY>HwmeY)wFiYb}^)uW-($}d<{)7ehyZX07Y<#u_1_X@0D$j@~8zunKK-nzz&F& z1<14k*DA#Pz6r}us5-rhdm>z6WDT0hwrP82qOe8 zpD2T@bz`iE+(p=^@VDFY*%Iz#)Y-a}mqmYv z&)|OdL7t)+pTQ)7gGU8H2)=@!1fftDxWX92s8Kk;K|bjZi8hrW3BH5lC~)8?u;6NL zs_dk$`Zy1%O;%_-8~mz{H7Qcf4O_}18d6`NKNKexAd?4+yFs{zo0suN5u^^3+jZu~ zWbFv3(WW*XKiC?5ZJW{62z+bRuGyFnJ~T8*C~3^u;|@Vr6g0}CkGtsr>y&%R&yr{Q zzV7K~e(Hi(m+$3yN#&irpCxVQMM0@NDxW^yNaIW5*bkCns`mEx57={qB5Vt3L7D}j zxw}($S6_VU^Iy|_y(lKd$&n;FOGErA*1NmY#m5M9D}sdNj*7vegPJA^K-7rc9d?2W zxip9z+E-iANJ`oURHYm`g^&UvapO(Tt;fWIG%^jqm+!wIq+5@tKjWC^4=4vFh-S}; zqo_gC7)(daTAVo08Yd1*!>ON-xoI)y*%2Xby4x`CNz}n*O@Uij79uIm(dX!6tO@3o zu#^hY@jiqF9GJ{xo&a}=;bi2?@xd)CF6nt3TP=DHqo5oJwTvmxaNoipAzI3V8A7Z) zL%UrU6JeK!gZuYw*U!2MyQrk;QAcSXtIFvUla}1i;1v4JTn?7-mX9Z3&oauT9n1y6 z7(h_ms036jE-sic6n7L1o;64Gi~OEHX(cid*W43vnPee4zu@u^lmbB1NU#tEMHE}k z5k(wppxvzRXvjJw1hCr7c+H5!phOE;G6*BwH4S=>#mRgE0>})mQC6hN@9u1og)(D& zV^J*D$;I>M1dCSDSVtT!B7{S-Mx0#5%P|(@e%^JB^O$w#985y z)m1B{3J(Z@Ht;#{k+Q)xwlcC1l%m751Z6{W;0qQa)-S17^Z=fN*WelRMQqK)39neC z%^I&}jX870Y<~OALB)Y+S;AUTw$#5ZvPQ>C73{8!hMjmD&f5SZM)|glC;y zcq-WSgT=5BSFc{Q2Zx>3Cir!v=9fsnjo7-dG0a-Dd^Y#s+ zb>k{AbE{3^<3sigL0xK>o_HYnj+==0a`Vz02SC6ako#Q$9Z%5m5T@KGN)PxImml{) zlp^rxAHtSTp0NwU=mY{KnQle5PN)f?Qkd?#=_{oV`_mz`J&Bz2hcDnFR5QOnd*g%-P$Ay-ObwNTH zv9rMb1N#gs-~7BwWo9`uDW)Ynds)l7;+LD|y`Z$KeLVg{>*vH|1z_O_ z^`h<9iZ&4PgEgn)_S$pt%!c#v%=**utcG)O6y`04|Lo>-@szDodVVDi2nU(q$6{gO zBi1q7F5TF*5HDN#bllnbjku+HN^MS;8souUZi|aoN&!ko#mB7Cmy3Zm}qP`W}n7Hea=yhy~yTPN19t zp$#c~xCs%KxCe1TBA6K`1TFy_tQX^QV8fooVrc|5cn2<_#IRO~_n=4afqz&UQxlU` zaM0ut7REaXT5sxG8QT;T`l;tw)|7$5VoOy51M2}M>!>#vr^bg6ajg2q1<}8tEI$LMA7sy|!+2eA;2^ zMANm%5+p*hu~B$LnH4X^!g5{AN!VgNGj9Ylhaa#m>-6~{!7dd#`s4%m1XF$&ilbk< z>8~X2BQ(Y3%#!)dd%IH#wB+3-ng8gw-`9AQ3%HZE^J4jG9N=%@C--RwpACYg1*pb+ zh?9ZQl3_UMFWpJ^d~HakvN{C=RZ-<&IFdUi>Fz=qI)3Z18&-Kv0u;q6;$FF)kOTpP z%#cuLgj{qKWWn^VQD+cBY<7sh9zld)BGDlOgz_twlcYT%<|40{X9GeIucOG%` zJ6`adXl)nqZB|>unwpu7@kzF_pNttX#nj*#%iLzgOj6&o?ceMQ@=ge9KNbw_CaR8g zAeii3?ahMImLr=W&U^1>jX=7$V0iPYn|Iigik+>Q_$>p){q(UWQL^>`q=c|wu0Cyhoida>hsv%=V}a^qc6jy@{y3zW#2#E5RtMmC$hH&ZjnhA|9^# ztN6L)$75RK5u5GSMw^;z^-i6GEIjWdCjH#@&&8chXXB}L5=JeTA2y>Ydz0&)B0!PD^1@a_-zC##=5j}pW>^2ixaBd z8!1ct9q<`zh`yLVz}2_b4_%qod`M@ z-1z9QttQ$-J>-MQ?@cVaedOU&ZX;(^)z%BvwNtULb~?Vc^7455YQ!~dR|WL$7}y+% z?$t{%urU$?F)A3XMt^UonZWScljAK@Pmi}PJ}3TU;oIUq;RFjKNq@2YZSl^Jc(OEj;PL+~zBj(!`LprcP0x>Sto6sk)i=gpw!a}xYn+J4>+S1|!F~Pl4B_sd z*LBBBmLJvon`X2SDzLvp?fdNdSp2{3pN(tu^c7q0k9QpUO8idib#<9f$M{ z*Vkh;KNvqh|K0JX@fXCKW;qn|dGT+?zSF;dYU&o{f~MGat;x(6WrO-IUA~Y)UXq3A zy#9f1!E06HEZp8o_scZk;VRnNAkq-vccY-UH7Uvwe0S+?v#@OV=TC(IH;1x?S z+A9`eo!W$Au`WRl9f30_HV9`Do*ZZrT;YAl-U`~X_<~@E<$$t<@&aSV>P5I&A=2Qb zFl5Ul(UZ8Czl;Cz9f8JPc39?E#?1AwAe^;)ZQ#A~v`9gzsaX-uvjx_?o=r!$a1lm? z0)^WND-pcCdS%G)W%qlmG}fju_Xs>{stIy}rLD!`1HEzVU|;OhdswI_pYT41rmwL! zNkXxzGtQk!#ja6f!ycR9yWOC&hBnp(W4%k+Z1QTJqJHxmIH`e#CHEO;lGvtG-b-H0 zcRqntd7kg{miQp$h}XgYkr!o(1_QwFDV67Z?oO0b@|099eCVktI#`#ySIsGotFj{M z>Yo_a$wVBM3k}pb}EDO==y)(5CR+`Pf-2aW>Nb%Ij6PP9OeH%EHPpl=%zdSY(lXF!u zztI-o_DlE0PhEFooH%(h+B$0E(pxj^64xApiK`?SDf0v{`n?I}M_YsvLda!VbL+8i z<(yq0`5b0k6_1`eE7)aJ2}~G5;=Aon+(K$2i|BwWFy?bz$VFZ@GzHe|ra&8U&meGs zBMcwJS9U$m_CcsDX7oInq%Zl<7O@P}G5e<}7pBhUs}ldJ6Dwd%%)Uo?o6R6#05Aw# zWC(4~C8>TEuyVFPZE05Bgg?Vv@cWktD(Zw8Q%*r#<^njn8bYDmMADqsgV-izsq!B4 zFVj;Kam}^I9a-kNm-?DGeE6{8HY*Daw;pHLc-6WX4fiu>B6q*un=JfV8_j@OC68O{ zaML4kNA{z&{p``^d+uFtE_ENo69qJ8uo zrjRb!w47mNY!AbU#-suLZeRJJz8#8Bih0pr;E3R-oxlkz8SA)agQ;`d_HsL&s4@S!Lla)sOLw5LtNgwbVvNR>X*i^Ro$RQJvV;h>a$~^t38@*p{(2L z^{Jz6Qi9fI{T;#6B0&SaPEU@;{On|O$a3U5yni6BKY2{w>!YVbN`#aZm|vBI33DUf zxnxgbUpA>%jxs~3fCiXDQj@JvFdxQT82!9*^^%1z+aMKu#9~IN;5WN`fD>G}tV;rS zZ~?=lnX>|K!7J#BV20eqBl7_(=23}`@+(esy(7Krv4!=^)B? zpP_bm7c?>`OJx6nK3nnh3-`TrF}pt@IOvcAD5(QQkmy*1NPS(iaP_FlP#b3#1>F6k6nb zV&Wb1W2&Pdb=IFtDqB{!hAq7HSSx8=+$A@G36C4%15wCyMLcIQ$ zha=8)#*e;oA$~;HkG^U#e)#2iM$Yb3U9}n?_|suuzw+XU7yZ_w+rK~fc4<>jo{D=v zc~RG+F@O5exbGAC{;Biv^^cy7n-(VGD{mdMM0Kgs&af!%SHAl{bs_GnT=#$a@~%to zf8w#Y_m`x3s4wsP6T!LG+!8cLu$&zDmMp z$!@u{r1D+nh0xGo=*4=WEQBuYL9?BlW?~*@AmJoJV$mIfgyX5%h`d)6-12i@1~@EtWMKL=@2{#v7(ayGKXIErgj2Z&iH=ZlcxDP7!*= zqTih+#efM6z$Jxy3Rl`<^9$o|YMv7xY$(@k zed@=$v|kt~slV#(_{o;L;*HH;h#znGocjZFBe50h>U(DKi#qYld6BDx0p8ltVCDz4vrZ@lx+UGet){}TVT>S9FgJ@Kapz8JsP{_*%9jkIk&_6-ik zf&GJmhcs!mi^#fW)z{umIJ3sEgY`5TD{(2#qC$}DO^kszecfO!nucgimXer1!6T`< zN}Crx5A^oLfdl(gF2YB=W_7{+W`1o|_{}^er}wrn=TgCAggbfCO-_(QE--~==r?1| zS{{Tu$Izf?@Qinvn*q;JVwksJu8TP_ls=ggE*qSN_E0jJr)Ec*+FItc8ZBV!>h-?x z4tQ@WPlsTC{K!$`b6rD|l|*QOc_wg`cCX7qBVdYP2j+$dF{e!^_w0h=HD;nIAHqiv zi{OKzOaHJ)aZRGsn>VPbLsA&QnU2o3*nf}!qH3@0x_IHTgLwGO?iJ_HpLhKhE25`_ zgTdwZGo|OM{6+wg&(;v1N`-6?Oxy42IdXtRKJM@2=ZX4-FygoFmuDpb|J}w?#uH>a zp7f+A>33SnGqX7_T#aPfl6kii<&tS_3yG>PNI4NPsdVW6-#r;;jt<9DqcI*n)etZF z@#*;KU+9hp9;=H7ebvT8k0{)5ztjiH_g)qSI(xo9s2XU%x zaqTe(3=WzT{jlk+;p5Yrk&4i9hpa9w+ijB+pSw`=4&O_H*@FmXjw+wsH%OeOiWbIc zstyNksg0g4wlQgpsmU4VXNLd?e_~=PE?>H$wz8vp&e5PzBkUl0B97SEqEi+L0*m$& zdWy>qK|qiN!VPPR{!s?~A|F~GzdcX3m6ji&pFA(+1KWZAez%(lB$gsDIZl8=o_f0F zBd#HVO~Dz>8&?wB_pm#{@uP|P^ zYck%_DhwoyS^^mQ8WnvYz`(oVm4^7zY_|l}k$6Z}3kUxZ?oIk{wSN)6R`c$7i!7_R z*Sy|+mI7$pqaP!qL-DkhZ^Y}H?u*wO>K3D0+5TZ6T`?bNJ{cz$KI1up zZ)^Tqyr=W!E}y^xUo1PCwx5u;bTWQv z{sr+{^{(zTtObsFq zyvubOJ9eCCY1NoXFyJD_)y4Zg-JRb0sJ&ShwFCm2!?MK@bFKBlX_SstDN0l0V;*;o z(}%%>dv;5yZCE%5_aCs*#~AXPNJr>$Q{`|Wd{EL5BKsw1+gpSi;F_#e=C`OH*2=t) zs7^Gdvh)rYc!V%^Fpu8hOeZ4bnA=^KkXW3R@R0CCIkYeoZT1iB_xwj+Prt1)Yz8qMJYl!I4F*^SbOc5R6ftFs`Ast5-&iZ&;;+60}nF;@Ghh>VLhr zJ=&ym>Ngik88o{vJ0S~uJnnzsQQ_K-uz}`C;NM>5jC=mn?R@^tmQwjn;yXA;a=ywZ z9wb{$7E0=bKDl^S+Tn5}KY;k|lzAjy^d@EF!iPU1*s3vk1?RYMmGdlj(srXNl>iSu z=`4eC+jZB+J$iii{hRTk=!|zgSR1eUowMQU5|ghIV}$7%R=QZrK$yDAG4s#1 zvU39K8xUTEkRsTKF6(HQwbTrHa7b94-84)#vy%g1tVHIa34%nYA3txC8s-2KD3NWT zjRlB^haIpvVuQBfF2ir#*VpUzaItFv?Ja^5K}0`sF&sR2P%z2JErc5VAu4H2?WGRZ zB_a6e7jr2cQVzg7af9gUY7wKOZPH3NRy^;;4h2|nY%#QVqhJ!6DSeoi28)}Bbvizy zN6)aBj?{icfP1kRRCl~-dLZ68@TFK;nvO&80cp#GR@;lQIT&AE?u#$Yb;Q?J`(sI( zZX0WYlzyxEC*l_uBnaYlv7kEW`y+L|(Y^Fl^?fx?UUMX-_Wi4PPsc0cE{|!Ri*#b~ z1AhN^+CLWmt?ondZx?aOor$CB^VyC!#(7yB!u)v3<_F`aCf*gt_3I_8AB*p4yC=wo^Pt$LtyN>gA)r`*3yT~(F&-S{flxvZ<4h<7I-%}dWiAE*gLOJFHsbkY ztly>OdEv;qgacMbos^|E;be!EGNK`?s@IJF?H%k##~Qd4Q0#y~00Ul*qlXXJBSO#* z!3-yl9rfBZHcv%hPft%+C_)WHkKz6BQ6b99ZV%7_FvPNiHaffeqNc9VE@yUMV1r^ zqOVFRNJu;*@tl-u&8{SVMM6+Pez_OufAESy zAq1wI68S5gEuH6qSXBJ?Pd*xRk3Zrg&wuvs9*c!Dk4c#AdKjw?{ z&u0*pSypLgHEtfDr}PR0%p3aScR#xrC(d-m%YVE-e&g(N#94j6^Kd-nzo$zj^J|cv zyc$otX*F);x_LEjzF|FXe$qzV_S80&kE^Unf-fx>hyv}2Ug6@}n|}8XJ|yOSF-|}F zNQ|7%=psT+=XK4A$zQoLV_z^#7(_*5Av6|--qy-`7%8wzB3cm~6S*8&fhK4dpz1Fd zLZJ*a!Ukr>cw|68`k;Zg2UR~9=q@3=ee~Q{`>`kW*x{#ry&T&hV$yGpP=Gg!;xEKl6(}Xp7EGx?Oc||Id^%DqK?zw$Z9Jt5XNC+7O8UhGS7b~gk2S#38 zg9s|x$|qJ044f^JaAmP@miLLwxpH|Z&Y!zzZMsQqgaJZ;$qZ1#dl1S#!*!^TAX&$g3l&t?qtJ|q6D@#)g0Ax>GiYXn)StwtYQTi^t{ zK-b6MKxZuDB2wZoK7vz#Ic;OlvPWmWLr+e}vqT@PiJ`x&zoLl^?Sm4e^YK!l_CM7B zn|Sl)YvX^^zdrt??QE-uUkL`|6j*?{~Z|-rIgW zes%E$@#fV#;t$)7+SAb^VbroX7X8&r(YYywtY#$+G_6JN)?6GAtUucP^!SdgX$kda z;X#`iukc$q#qJ@%2plF%{o>`zc9pSEnKfs76BbZMyKqeJceFQpZf#3SSa(Nz^l*Na zm`+{Is&J>94HbF%Y;2LI=S%NCC zRuGyv_*g?UGd(F`BFjkhi}D5jv-<~Q+Kc;9w6MH_+fn!-dWQ3X*C;#=ps1A<*Vrn! z3npz{!4BRF8bd6p$;oMdqFh2l?C-ZGyzcI5v&Bd-6l>e&g_{@7U)FP3o)XyXQO7z+ zHY4uRxKV#CJDb$wC15mm1Vgcw3jZxUfzNW^LZ=9!O1_fFt0K1ZZ!6^zN;XV1R=mSJ zkP|}5c95>hJHEZEelF-tznmYw0Iy{)zOJqwDK|NFR1Gtzlh*NtFP`r_0e|C z4R+OW7$4s|uD>z%J@q!|c(n^|h^5Vm`1(Dk;=Tti#a&-|I3BqF zN?abARK>}NX){A&9`h_vdx?)a+xj5#rmSypha2wHnwjm;@ z0Okjrf4}pNxNYiB;-|*n7Oxq5f4pksEuI&uR)4!X^5DvQfPsVn!6=Bq`#YZ=-K!rq z@#j`Z)pm2POIZAunvY7jXHd_BlkM>v&7T%*5;rfbJv;tztv4=pTpOnw55(0L(avT= zoNYQ97n)DRmF^qjTysx6eeoY+bxjPno87)MRG3|(!42kS*m8!5>p33+vC1ozyghz*5l3k%Ds&+}b^ zIhGharzY4gtUB(xs~k6>1Yc2JXo~*xoy2$WrwC9S8u=lc0CsjD?i51O;34BJpCEZ7Vi@9+S-o_ zIWa+Z5(Sh((~Pe~)wzMrp*&S_>m5hq))&bNdEue>1JTw`eHY&k$5UU#yiYhd;d6H` z#y|bR;n@0_6jb-gQsR(4zTf@P^YJeqd^qm^^d&d=X*Vy$(`B_dUAq#u%bI!cRQ@Ct zw^?>RzrX9w>$Zj1n@(ICjmNKvrkD4{>31CS{a1V-2j=fSc`4rU0`%2Qnc<5e%G?D~ z0IuK9yBzm^W<{D48;)`^D!~Y1Lbhwd=nw+$9(*bP)@-mzMAvb3cvJ)>fl=Kk zqDyxOR}oIc=<`0&ddZ}7`yj(#mzl9HTzZT#?|`7C91{1?yr>^W#eMwaj9age7)Fbx zg%Dx_k@XBP5Q0}A?DT`P9e%w-q)T)hNTU{5v%@OEM~=o0(a=_`81Mo?$35!iH|>Tv zA?`9qgbR;%5Ln<2?~osVJ60rH>=+IZx!Ub0DfJ6$5r)CL)Uhrhe);MZ!-(JGVun0p z%~x^f>`lOfbulVl-UiZG3ti2=tQcMsA)7v=}KUDRlc=gKX;$;hOlR)Z@ zNii|B=#MPCH2zdp2=f!3|4=`fWbWxb62H3o3YW>Q4=5P8Y>3QaQR&=VSNz=McgBCM zdQp6$u(BzWsfMg}3-oTHCxK{-+7 z*tb7kKl4}d>4oRUXGex@q0G)S#=oCC8vkMQqgD#&C-9;y6w0~fUbW-i_@0Hk;zjdc zi06%dES@*^7ebh)di)WZUES@@Pdawwh^!CXqbs(Kacjbe8JoR{FlV%R+IaZzL0K|Q z60q$tD`AZ4wIGIy!ccISplhHhR^rLIg1jx(T4b@@736yEI^#6u!*n!X$8>z@-wPM53Ks`5jIt zj#T+gJ4nt0PZ5=KIa|43QT|Dcn+1_X;cogW<)hS+c-?t*6~RQZoGSN~*nx+!a=XUvoM*;)BJeFP|TisIOa}08uO1omKM^PjF9902VWV{ za?SPe9q&jV`>(w5u;-LcC}00mZjI->;)eLW^AcA7?r=Q$1&4%iwQv8?j zi0iIdizi*X8aG|byh3)EL8bQ6mXo@eGy1zf9f_Zph4lUJ7>&Pw=UDvR&o0Et7fkuS zuU}q^R}kp%^W73g%h7V;x@eW)JgK(aa>pTE18&!kzu-tb^*cMGt+Pi!l(x3orqAx! zVxb=P>YlVHWP=HmFl>%iXCiWY?T{E58qNINN{o+*IK(*3WQ2$bQwF$JT2r%+dd`hi zrT?g|6HvA@C*wg_E@9XQ4jk}Y z4s9e7$obUPGFA|tEjaa;z9VobZ(+V1uTJz6A>?RtspSEusp$zZnH0cwWvcGJo?gF8 z+Yx$L5ge>XIlBpFI|)XfqYz^t@8ZI29B=q)JXZ6P_?zx)T^4yZs}9DQrfcG%sss9b zP5f5V_uKM;LE`skevbhFs`=(thVf|O8c z?=Vcp8pVX6%JG%OvPO`Fm~1C-`{u>QEQm!ZV6q=q@BGq?gwRYJK6X&!h7d*3$?c}R zi-yX|5Pn(IV!j)jDL9F~W9|f(-+_ID!EPH@u3R(|K6Lm%boa2(G?gpz6Et!3@DU3Y zc1cHhV%sAuTC5@j5H3L6Z&+yv3Es^m4x8RZ;n>lGUYLr-gpzjk>Sc}bn)}IkqBJ3( zP~2eGxbd(q5U_R^ujRZc^xG+`Ew`hRB`AEy0w``XJPY73>y$X7irppH=oJ|AoV?Hk z3yKj&xUKNYw90B7?CtV$gZ5TgaGkv|zrYr6xast}YhN@9pVnEADSCoC(nqXJmn|CR z6S>3Xq`p?+gao*~-)b^ul+pBFMPsV|I`qN<8&Mpi-8R zu6WwYZ}4xJJ*tf_+`e_*bHM)qP zd%qe{DYkq*RYHvP?ibI*;_)l-htKbeUwtqEFgvpvfAis<__Oym#bf6q9{Wg3{KW^_ zbhU~Y8{*@i&bc6pN+82{JL6?{_Q%WLkkNE6f5X0bg|3&sr7cc>y4$~BcIRNc{5R`7 zPj%nVI$PZLsY?zqmq>|wKcVlRV4i9*UiFSkF(u&&vJuq<0W2(3OE5{xulwf7a`jAC zn!|bS2o@xttk3C)hUOl9wkcafi0;)#5lSOF28ihsZKT(^5}DW!OpL7#%Z#mY8jZ}r zpVL_ld)^aq!m#-5g?(bcyh}dH;Wy=wm$@4vpIA%^pJr}=BL(*F8!#j3?rAsSmnbDR zK}A#JHvtXJ&Gm8c(4aMGj-r5Q5CXId=1E;e8|8Znoa#7v&2iVymEp}9SxB4LC0J6R z)rvXvOTc8i>F>ph7c16TT4M;2qeqTgcyaMA<(IlZXzExHQz=>~eczjKCt~?wX^|hn zHqr1BSuBH&ylbf2vLC;pzB(SQITWWGkH_hTPPcjZ>W~PZ9nd9Qg?uanV4IoKr%%Vl z^B2s_S$BibDRWzwFJI7iiFYv<+TEnKQa^QDD^mh?BnRLyl>>S8RtPEC0=2k9>N~kA6@lN)R|g zS(HipX$SD(q7M!{5W{EFUsx{V1+008=eX^T9XsYeQYLMn9|%*9L}$DY9NO>w>=?TX z=g!M|8uPfOaLVXA;6TFCgLX*3aZzyY7M|nIo|DpvPy^S22W>#HW9<;_r47szu`^9g z{Z<@3cEJ3BdKquLFI9C-;zw+Krm+&-X#@QR0My6#-2nt7KUd-|7r(KZw)wO1i5kQQ zbdmf{Md*+UOiNiMVKvc4f9JVMkp8-zpvm$s7pDi4w$$FU7cRuK;0A3x^w2|K^jfS) zyROF9xhrLZbI>)SPiVw99y=RxbR?dtds46{L@#8EXgUoVCdG`l0+&g~q zY|MUOIO04AhPj$^R1ECxw`|4@vR1z5cQ3@^=|_BV-p4C{Gmjr2p~>I&DJ7 zt(zy~8?*Jq3VHG{)PPC-vD52qePYdZ#d|bbNG0T<6ii~$tSTp%01a$ra>|pzXpxKr#O)1H1#&DY zcI@V2mkR2pPxJ>NP}~>v9_uNEkA$qgbCfn(DX`%3-eUTMq3{k-PedqDpWSXEJ_P8N zsC0N(!iF=WB*~L_pP0BeFxcmJ(cU=Xfxht_|NWuE`yJ>Zu-H{XqHYuuaEkWfLV{U> zduZl2-T0(<(oHwW0CPZ$zam|dz>!r7o04iMln?09~!Q(uF&;`h>%H?gL;hmXO)1)kQaQM)X!=@{CEoTd&^A|7JmB#xd%481Fo6RcG z4(g{o=4c6G0=M=ZJmf$o{1UCLQuHKfnA_r6y)4Grd0EBcB}BZQRo@#Ww8=d;yAZQ; z%kjB?{(?7@F4kD#jacpF`}RG3_`C33oI}6WqjC@at`7uB-d8OmP}hGH$)&*me*EDc^n6ZaU1` ze^$AkAA0gqEdAYBG^;EUK&)7d3DC;-l0NeL2=55fq|nk`n) zB-!NxqJ)SMAoP!DI$+87(l4w4`cIjuiSFPYzs(qwzlpUZf{S6KciAs6-7~3`TQKXs z0OyH#7XHGBu(I~1bukGPAHvj6J$Bml^M1i=h=J!MGd+;EEvGqNW897)D`O;{Z; zY=i{v&zZ>y&mSNlDFfz-2F_R@B)}V({GlTUWo2@bEIVQ>c>yJin%`J4%uAu^()S}r z)BQFsykp%8ZKs{Uy6kkJ8@6mY5`p_L%C?SH)u%DW@{%^*CZz;-D&xodj0sf(0(cmL}$pHrzkl zfT?^eY>$b90i^h$xZvtE4$V!+5tI+nIZ<+a9uo|)mWZxfUYv`G(UI7cb;39jK}vZj zwEX5fICA*VQTMfsvx?cyL){29ln%xXVFzxKx6E@Qcvu(6UU(djfx=)*S$!sI5_q0C zag7y2=!$;fI;Q^Onx;N3+;<$ALTETA<8sdtch$HrtK#mv@3yjm8<7Y^ycvuKG!2dn z59LPB)3UOLheo}?7RFx|!Z~MJ>RbGqezW#<}cuEu~ zlF%!8qfDLyEIvKR(gx6SiXZ?EG>k*^1npfWWHMbhO3yd+SKc8}CXIwZTsE&+>Smn= zf|v?^Nr1(@y#OduowWBkRt#E(wJSXXVLa$cC2vZ129mb!3~05io9V}&xE|xstd66>W)zu|l1Grq=E4>Gd5!fpFn{1PafH@L`v8){B5x_-1iA zK@@2AM3d!_(NY-HWV_WS$E7jW+Z8w1*ROnHq779lYc>XZ`$XuIBB(qI2}VQYl8UhQ z2D68eqS-+#qvM?Gl$&CX4ChI(tI~*%h{!o69(ch-urz2l@4y^M5GV;;abF~`5YjNc zbrCCYraXM>FiIEzzWlD99uaK1E^#Fx?C3Lzu?L}Hlou{s@;fj^^70HO2;NOdp#0a` zPx_sb-W)F#v+0V7al*Xw==1KbUTglv)kOO_lL|P{X7GaDA*cri0HehH#B;8}{(igd zaMxiOFvqkozZ7*9LeqeXVYA!9xF^dgAI3L2F)HhncIUovj9;e(f4W>4YtBl-RoL3r z=y!lMyQjlsIjRF8h;nfB_z}^UFjj5Fy$3!M>@Yr_=PV_I<(P$Zb)rmMy>!_EmN9Tt zC9Xx`E8{UVI;L@$@f-=e12AT^pK<&7`S&F-E9sB>U#qfnT#WO_;6FSbacvc+!AijYP{-&SIzPF zANsJ{&VHmqY2&1Mn!sLo8Mwr!f9t*ll<04w!kC0pz(G3ZveU2YIg|ocN9~0#GGUCP=}6Lm=fD^^}fLHsAN&F9`xG zY4fzJxC}HmJO-s_MY<5@PrD`=#jJ{gPD(Mf{s+jA7 zS>hsK=W5!QZ3JG893&>I2TjQ4v)Y9#0|I4`8U)v32~jrt$?b+U;{isZ(X;b+&i^!6 z%Op|=;wLPX00cfdS~6+}X_K7Qgrw6*8jBP>$ZC4mPV1wdZhZzNnq z1%?C|z_cM&m;z%-e_#M4G)M@P`aIFAKEVL6Twtnf{))gMQLmX3M@6VUAXc6+zoZ=c zjl~3va{CsPBBBcSCR}B#gqKQfZ5&r9IL=Nbtbw9j2%32{E;BLZW&IWK<=i56L!iAq zT^+H1aL@vUc@8GYnc%0-xXi#$5_xegA>=QdKks|w!EJ?G1;$F*jbdsf`pfSkbQxdZ z$~!P_<{n^d^qYGqW)oxM?gwkl7*h)v)!)j3XVDMJ73Fcv1MMPG6NQGlakHAn)K0da zIm<315`V$k~FYjYDpg2$-3FZtKa(uhIc_!`kjtIhkw(7yE&p9F1 z!Yz0+R>l#*Hak5j*w)$|YP?qYh)ozbLI8!8c5+=Ex@dTEd^q$oE@4g7-0;vPe|kGA zHI})cGS1*3xW(ai9b)#h69EUj`3}w|o)WaOVOp}~AyxpKfhB!J^+X9vcrIAMgQu1t z8QfF2p@{&bZs>~T3ysa`Nx*_8I0nnUr?1zvL%Aeex9OsUnPbT@@t-@QFy{ikm68TCZUr8m8d9|4I&U?wb%X5wiDc{XA5j48Wz4A%2;jxSVI=@ok*|*(x z+jiMS#_*lz?!)-hW?UU%n-2(lCvj9V1HsFzK;{!SDal)ih-YYD97W&LL&Q%qE#NpV z4RUR1UCeUP^A0_|y|J>mVg^DP?QIF41P2i!RIq{whlTNBZKNwIC$%m3)DE=lqG-_G6doA}!E+O^z7wU$qGxuwpq~gU zgb&0Fwq)6PQY+gXA>8Q$5mzNq=HA2(5-=6sV;iI(N-w2?mXoIc=1@J6W`TgJG* zr_T(SdtUQ3&|}5S$8j`B*hpXu_V=0jqG(VaWr1hFH`riDbupK9=s>^M3PNklGji%N z@7QajyxkgGb_!=F63RemaNjgi5&n2{lEy;#zU;sS>O!E^Y*za>{ap~Q+42|7;`Szp z3Hae+15bfBE>mC!Uo!8LFzo9auxlBd1~;DutF^<>*p8BPNLcvXM&6Q7NL{HM>yAHD6}E}$ejQJ8)b zAbqHjmXSomGZ)NY2&pj>K{)nwqF{ha89vX5Pvs)NJD>fw5CRF%GDv)?xcsaD2Q3?- zDHnhz6(Ex+4|dMP>i<{T256;x2$Z$~H2l=;2CzGkufU?bvpX$|sjx!;?gb`g^R!Jg ze+?O1v4~J<7l(E+k!r2A0-O2S84nOolSo8BOpZe=DhnbhV*r5{R~m!~14P3lOq%Uf za1*WxiL0=J#Doa#X59^mU9QOwtRG!=~K5$tRy`tPURR&k%TNvKP;t4-TuN zuhc<;>6^jJ62wi3^~YKd#)dpF95WLoEK#hfw$65y(W<(Mlw$+c+-aG-v}unI6xPo&hZUjYv z1HU0xlm~EuJiJTa3tYGdANk@JzZefc@{s#U`*;RElraVO32p#}lu0?@BKIlJZK58P zhpUx-@;=WzuChL`Sb+sgAE=+Xy3)>7B9TOoZ z-i2;yFZc<}D4Po!V7w99L>+Pl8|c{`L}pod1eoo^Q3?(9xMF^cY7(l)(Tw9DlEdnDN7aD;*#iaJ;JDAXuhk(&TXclF~TOxbLU>?fWi+ z3qeJ`1O(;tK4~Z4H-EQW<|Pt!NIT);$Z(tI%0oX(N-Jqk+FFoyA;PMYbg$uk!ADve z1PPL=7`-Q;%Ti)bt};+Ohd5KvNTZU4f_BK9(v~!dQZFzhN{8Pt7|N6x;c?(X@S#z9 zJN@ns2}B{V>SIkuwc5d!Hyoqg*d*;)Olo040#^t}#7^lC2y*V+X+be!O-N0dd6*WA zgb8wKmYq45gf<7_7mE~FCqoDJ39}n+xWTvr(ep{4SC-ejM<9v&5H)TbPVlT#zkmVm zEhg0ID@4p_bB{Wbc_N(CHB}PufuW<3!Z>h=?jEy}co#w1APW`vzDz~;Kk64HdV+X7a( z`EK^tr~2xi14z_ggb^Aszfr`9q+=Yw5ds)i^$u`olI6pCo94C_GfBoo#XDiab$9n# zSh3X%@6Zx>5W4N9Su@X>f93~}j#hx0Qm7-!occK%K;3)U*zWC3&V1?!ODhztjBay#I8 z2y;%nB~lXn+CoVX&24Pfs?L7XXPpGpJ@?&jD-HS@8j-Rmnq;v)E^gol4@=r8yd`-d zDW50LLm>J-pXR4}E`0U#iqP3E1Ay|WXTDc?ZoZ(mxmT^rpWu3BOiNv*98xKRPv*gy z!}XE~^%oZ;(>hL+#Y7n$+PmBL=!0k62s#R(@>vSz;vR#;U|?Mov&;=rd8|Ul4Ft2X zB(EeIjz1J@gv;-$kzAx~ICa3>=nNeL80D(ep_I>dI!I4)PXQLR{1V z{1A-zn}ILPPPJ$K`kqVzp`OecSm4%SJCWSJM(y6r00`Q^!F#OurazR&cJ>e+-GZ)gUdIZH$09)(49t`?`B9*l@GaCg_$r ziJT)UvYqX41SahM1~K`W*~#dZ@Ze1tgdml+_D%;-;N4gjoKPev9~cq5gX@+6 zokH8Rhc<{R9pXG7T4U=ff*i=h8aF%z2p!HW!+i(NZ>-nK8lJT)b-ygux;hr@ijORD z22vUjNfXJNXm9zwoBuggczMZN1dOdAOaAUh^v&vec#hNr4HY#r1IP2qY}2u z+LecAGlJ8XzxXdPJ2@8B;!~VPTqXDsc*R0y<_fD09Jzk0rZyMv4L^LL@_MGuYp?Ss zOn?OO?QRfANCKhMRBKFtqA>rG4~Y(8EJ~AS;6QY=Ap;1~Rb#4<`W8|t5D+${L!}%( zNz}sNmgl4*&`7(!<~w4pw26ACllSsn1ft5?N@nW(mBHbnzaXwxv@1zO`XIvHspZ&l zTL>^|%z5geeN8R6oDkaS3NQhP$T~SMzp~~8AAe~JjDb3F1EERPsT^xyA~ui#KQctZ zUU!YMepo}Xv@q|jP#^@3v<51<@o1eRrO^IyqpY#ITY?D&*Q9YkOBo*>ixVf0h~Q-z z3MSOUiMD2nf){ncM9?6)*U{eP(_FFA(BdI{+RSdmBu=5FpKRlE^ypzTb|R*5eUYy) zG+=-g($v~!LWT)Je87)&QEZ@l4+e_>K+6Qz%De#Zf|1Y`U`2W0O`|N5pPA=i zyq)x$b>HVY*ej0r=*Rl-V0?Ws=NxdKVg<3d8FybtTeri+fgKh$)&fEvE3;2H1S2hKHd`W5#*bY7 z3;!@Bj|6qI$CLvIiKfGq2g6R`ur7Sf z-3Ew!v%(RwdIg7S(IM;2C>NJ4Rx!8(t{gvp+>vqYUe4B51HzTFr%xLWtn2HR#c}CU z@;8Dk=pQ)B+B*azRwg(Bt}_S3Hay@1LZT={SSQzuCo&%7abHF4v=QM8KOsPd`?&j3 zv91dCAUtyPXiQ8`ndTd6b3r$BzP2IWqxOTpiwn!1TSQry5YuK4Id(=zDce|I)Ni#Z z>f)cj@UWxr*bN3af!A2nd{-8ioo&;1DV`-^4Hf>!XO5L%F9@gds~)It+$WC(P{ooe z0*vJMRS%!^&w2MG?qR8t$U_}Skxlgn4oQMGzWqC%YaW4ez?oRp8dLq?*x>(7p?axk z4~cX;!a?NXj-<(7@84W7G=NeX%6AxE5+{K1?QNh@#-^Az?}ErKAK|1p2E^uYg<2Vqd|^XUOl`+RK0t~Q}jk^H*=+rn_?efLHAcn@ORD~w1m+JcN`LMu$r zqUomFkUm3j5E;8FkV?C_iXcK$#x+M|QPF%!XzCCNzab3VW(|Th{X`J*Y_*~h6Ml@A z&Zd=1%4;~PZ2Z|U8~Q<*IG^PA%JI1w37#=CMBEAVhb?Wm$Oj=h;6U)R;-U8;z;d!K zgoJ=1+|`rD5;lxAgh!hx58OcEVZOxzPYD5og#=Sz4glEEUf{uEL)=_N^Q4a6{tUX| zJ{m1<7}`Hu+3g#Gq-;(BCFFK*LXg`%phUZ<+XAIV0;GrSgT6QZSL=)8z1=T~x2!)a z{=Dgh@wYuMi@WQlVrFzyW4aZ;QU9g*?uF0C3uitZZ`%5B+$vauDW$&?bE_Kmw3;3{ z^_XGxlVg9WJnVNi86#6-v@jr%Ck(B(OF}`GGZwKm>8+XgOy5i6ZPni%f8Ft-I9ELz zpX&PV`0%0E#)l5RIzA>rv@$c2uFYtPshX}hJ0s1ru_xy1m*cyc*J$X8rS^Ssb-gL( z>bu=8;KSBD0u?0713u6tFo~1vf>CllD|Z#4ZQvupAdfxvxXZVnEZoP(CgbptBYqB_TbAXN8?)xRG86@r6&zGe8Y3@u7CZ=D zCo$IWlBt=Dm}Q~;#miR=3+mFw^+ct9vZ?&dl(I^0b#Yg~C~^MH%{>~zd~RT@9O zvyKKLg|OK$leVHc4seX~{{8L)?PeZDQ1N+Dwbe{agX9oEZkIxPxkzYdz>P5Lbd9ND z!iCudCfcVR357d?Je1AO;$<5lezT*&`euf`q8OBDCag^}1LZ~F5v@qs%*o)!VXwQr z34utMJL3s_9UX;IA|Rh!xfDkiPRBJX7vh?=i*bANY}{Hq5!bHGo5nX}L3DN!W!4)X zsJ=Dcy?lL~*8R=ZyW-!BzdL?$P_YW-Z2}E!MgY#*kn~1RJgVgK~0W0e( z#xV_q#{~B;b3Rx~L_)4hIh|J>SUlus>}fY10IV4-dKUkJyOa&nA3VVP9a?zqcuz<* zv^6JzL)*mcX&o}*$(BMjYK_s4|5pr+4z4}f*_OEiglox`d-!Nj@1j3bPFnSQbw1vINc%QWy z)~qFTaH}Y*=Q40h9X)a=x5`nU2qr*FgsHQgJ1R$H2a=*U)x$%cxuZy?#ye|H@ z_Xpz-TaLtZ>r3M|tG_4uH|~kojQuaa^V3s*9X~w(WxZPyQ?0LvU$6Zhzw>E=q-2TG z56*QW{m|@tEzo{t{UdRUbiW^7_+b3>^j}JV{jd1pwHaycsm#$2))Q?AzHBzShOpXP z^;SJ73{VAQSn!eG%3%1@6}EQbczf`NL>9)lrBw{In&6ypWEdB90pq=?SXRIl7hEcB zn`tQt2dWSrbyBD#;CdvW=NFmZkp(WAK=@EMe1e7JM||S%TJ-dGn@6zM9{Ir4Qa3`2 zzJLSV!`;qwKw9<^a0t%IybO88PW4?K(O?xzoppK~4mc|d44UEKJ=zTO6-) z*1~qfl2#<7NLZd1q00dJHmkPXceUl0E+1h zVwFzt3ErcbXXtpPe6`0wP~ww22uKoj`&mUQ^Bp0?=kB`8Gt$z9^RayKiF0ct=8ho1 zO3|tZpkjt*Xm+;0%Gy~$9s#aWZX(pCV90Lo$>?Q!wF^XvASP<+fhKp!#nwb%!27h!R zuwf3)wIyNNoRjMahec`Q2-R7^VWMUu#$*_@NNe7Zav_!&-?#MT_`c=4;v{HQwGpqC zuz?vfanFgPo2)I8WIsE1EdI9dhp553ZU1@m?Qy2IGqzS21;=KMg~nFnH7yvTsIc7( znDLkGuZ~~ad_laW?G#Z=L#IWoLmg2=QXrp97i#vazh?&vUn>Z6^^=h1Gy^SP?~9qru`xP*|W zE3K=eTXn4pt}L*wl_JH`hjf3lK$j!amzPt?85$k3>zJct*zyY;LZM_G-qP|$Ois-h z4$v@sh&~DdWWg;6Z^YvyXsrn7-FgW~=woQ)s@hQ-UoLYG|T2_TOE#cz_I z`CEQxaVrWU$^!iZ#_mhyIdlPkw53&ERPwvbVd|5pI_e?;bN%xt&v-9AOq3-$sFdlv z`t;f)K(Cn!`4Jvo@Okin z>PsPyCaV?;saa!_XSu*c%R)l7#UCCXLG*jKX|!tAEb%S~3?Xx`r@zk{B= z{<6T9@61JwjZS#gJ@7$L!GusWXkR%y3Zi7cIp&L)6vZX!C?yF8-n(-st&@`8G4O-# z56634xNy#{!q|8uesZ=YetomUM}U8%{#mv-V7@Pp3-KE@&x~U$|0J#C*)esrS3=<7 z_@nM;cpScM{(Ujk{n~hJ=}Ym`%U$vI-kV}`>5K82)$8Kjbxe#Cp512G-0!r!N&;>s z_V=ERS1+85zY=qy{m-6$cU+8@#KVGZ-#~vn_sZMjD-uYuNz8m-6d#K(t-K(XWr?6@ zbS?Z-+`9VA_?RrVW-&6DHSQiPgx;>Z^`HuMCBa|?^nB<2o2p!odk^am=?%=DJ7SG$jMa|^){^C$<5 zLo%IYK3rvco)mg@ar(>!Z(zE-l=DQa>1l55u-l8RhX`~bAc)-~8a;>F8vPQCr%#`W z?(VK=?~>&p#fARhR%B}-SQzJIv3AVSi;HO~F(*dow70HO8wlv(U957Hk~;O1DGMQ& zg9K3e5ZIoN6s$<5Y2{%~X-f(x3#>_9ovevt!LpQ?=}8}O$+#h87z66T6}oTVet(BX znY+YIiPAPUHfosAP8PvJ8~mP}nsBr$;r)y)V~m@#s=75k_OZ_iJH){Cj+(qpPblog zBI0*ReE*ieE6VY1D+*fWljLzha7dv*!ID(*eXoy& z%CinEr~FK%9G9w7zJR2X)pGrfdGr6KZ$HFoZ{}}eyhrd$pK#o-Y^82MGZ;l`5y03F9}khoFe4+ zCKv*3qb)>e89ortnwV`RovJ?=L%lb~>BhtU4LqT#R%y;9Kg@{$2^b*T>(sS8M?`yX zYz+_R9FO3^_|v|U=reVy5P%v#D68sC<8kPH$E1( zs)(Pe{dD}o;s-3)Ub8qE_3e3d0d)XRm@2NGb*z51mBZZVBa8~A0GOH)>mG1i(tRSi zfEAH}Fh|^`D}wXj;C}Tlk2xVfu;x4OQ|I1PHW}qPt}K75-{~b_jt*BYoA1sz;Dtn) z*PP&}c>nY}z$f8b8K+8n=~ri4yF=WUWw9MPbkO~w&birn=M{f*B0L-X#5IPOf&PgA zTn=p_V1UH7O4LN1#s|hr_pV;OVs8T83)+MLNAaNT1Rh{fQZE-4F2acSQO>XcPnT? z9x0Xfae)j75Ax;jd|p1eN*T`MZ<+XQ3uo86#e&+MDza$19LufBJLSES_+71MC6DWX zu_*DKadI9AizIq?27Iz)Xg`@D-~Tu5q~@c?e37t5M32FCJxoGNP8g^l9q?mZG9-ex<*WWJ()apQP#>@ zhCuTh>k47vU;vFXZ98~)pWBOjs!i5Ki?n1S09Thq;JCp|yiL+RhvL%3A-B_bLEQ*J zSs@%p5jA2w>kDf8hOD$a-IAjYSis1x#4BqY0o@?@NO0G%;7>5CxGJ$uU|0wbm?~T! zh9&vq{x>!^cp)P0oxCRi z*w%!9Wbsq+nu!m`ooipvuVf}oQl7U|pPHqF(71F&xs@alfEB{cMiTj ze!unQ@fFqaXVu>me|F%<;@37_81GSAH)H`aSH_t=2wujVv0+gsep1G`z!kSK&kHkT z7xA9H+z}t#V9gCUI5sgQ*yZ>zw@3SlVg%QKvvJyQ?MWIp)&ZH3YOMGKSJCR3`$M6a zl|W)#z+>`*<24#XT*!=ESU2^mdv#;gE>{#TGdK|`K_l$?0pq7lB{~j)g{uu$8MuKUB;u^2t=Y>b5GcHZ zVge5O9a&0^O$6Tv-ulgven?9f`c;V`15;8_JYcMgODtr~9Y2_F1pY8G-~(P# zKf)AEo3Y?I%LuUH9y?eV2EupNb8(-wL0B^jODnM`!NoJ+T<{6O z0j#;lA#lLSG%CT0;9~(fR-Nfgbp*=oY zMtGM8LoRv^QUBn+ICA_%bZg9J=I3Hs)>H35zXexIbF0c74K@s5TxciODXx7Y70X_a zr9aF8qZH6b_MlwQ_ zY2}^W@0Ry;*KI5J`CVcINaQQ;eRJYI&x=6ABb1*c%5Ysxz1MD0NU0d&LK+gPEvP#) z$zLmGtH{ltB(y3t^(~p~3_QMR-`8_4fRkn#3*UNd;-bZPL8`KnhfXq&Mcw5+E{-{- z!<-+&Ky4>A2JH%zC}lvDezXfXWq0oKZni^h#l4{)__NViN`3CPdS_T@(D>xtEFZi| z;2|_^~d59brLAjUSS%yPyJOq)cA^+ ztznbgt$6;%KSp2I>*LSIE=jPo3HJ4IQx(?0W;D*+6W?C@q`AsUHB=cWYk#kAe4qS62+EI9PN%?NxC}rwHS9(us>RWo>?59fH z;Jo`PHCFxEPQ1iV{H=K-FMe(*BZ8w|$bqH6yJ#0YC!0INcU@>+JgO7Y_#pz0XS$~` z)fc4nj>KGJqeH#l(3lh1XxzHt=R~a-1{A2Y9i>5ix&U&yhoB*s`ypn}_bRSd^HdcV;$}~#1`#%H2A%;!w3=B76IRV@R6N%}s3$A!6BZdRo*Hg0 zgrjbVxJiPbz79XP+OGB^{21V}ZyN57<)y_~m&VGP1a>cm&{!wJL4r&O&q$M_4TRL< zw!vlB(kcy8{l!99)HqNc?PXgZ>LmhcO^m8a3HcOi6D zAs7jt^oat&dK}sdgIupzPR!eR45>v-f>CBeS+x0QtvvDEs(-G&MtyA6Gxf#pl6f(< z<+x7cbGGNGna0G#xW*Cdq$$2_@^f)~`9d_+-5g)5?@*gs;>!&O+;_%rq~$3wr9rC| z6TN2P-Z->)Ia)T4#E04rX#DD8R@M(n!6WUr#si(l<4e;`@lf=|#kLc1q4i`uRMi)! z8&1ZT>aJI-tK<5m2ja6$H@JNMpoylf`PgW?CR)co8n3Rt8r|x{-!=AVET&`uu%@gg zu3LO0`j;l6xvD$f)p%TEUK>-BGs3?-e6L=X81pX(EnrhLa^M9Gz_?gHH#sqBd?6H^ zyfAllRPd5TEP4eeZk_!`1)7<@(r`nZKYu}Ep5>7T_ZQz8V`yZHo$jSWn#_PWLxd~TNoc>X-gIau43^O55cX3z)jwgw3-@R2$kIVMGi zbz&eTb3uf7H z0dN6A%aYySlHhqH3l){er;FA_U2s^}sNdCI)2h1lN1`;~olV&(+r>LbVy7V)xh>_g zP6CN@a$p8z2BCRILp>1gl*~YgMBlT(tdNT7ARBV>639g*L4$TcGCMG8WVln%wK6!nk^?&lAkM6cGTZ05H9(*lYY7_`rLWq;S$oMKw$$* z+D5`3OX7b9bgz&zLg~|+PKsbVx|%%~14D<=(PoIyj5H;W^5UAyve@Vn_=4ot&KPV} z4P#~Z1z=L=O;K|v1GG{gyh*g37&lhYikJt&qe%$scXaQRG`9{BTT7dSj@p7>n)#La zX%Xq1lmOLFSiBjHU`)9nVTPoRyWUk1Df=0+ps_~Uoe%wzLJ#rMcHU(x7vKrO!suu| z44g4xLYK3QT2yw6m;#5uA)Mx=fv+P#q~Uh=WOy~!4Vock!u)|LdwUsa^lwF4AMfJE zBtLG*qAdeoLe*hbqqed64I}`TP95qz8L$7vahZ5G2r0VFWF$`{Uu}J_|pN zoCXg$bZ={GJ-#?u8)xee#Y0VWt%yEQ<(56MdfzMR^;aEk%s6Q5uw%rhq zwhpQd9DYdna=Rz?CpxZ)d)GQ8+y)Jkb=BjurPL<`FbIayGdeorcW4`M7?-8c+)QK~ zZaogKYxQ&LCBUU#!ewS=))o!I8XS4r9+-Zq{(6_Op)%T~fMA)z*by$N-K(+cZ;PyS zgeS`G)!|V`MlPwZFu}Ht4kU%8geXC>)k;(tYoDP_?<0tQBghh=TSL~%ps zZVR}I5g;&faGXBU_eSCA#Y-1`+z8Jpo4T4MsGvJw1?3|&X!D}*xV@v@!klqt?rLg! zRu)pHgb}-`)JPd>vpap7c8a$VrP!n~o10^CajmMA!UpkZ>}SkfX!4k^5gk_G{oMkqjK;p&8c@xS@1 zcqz{?CMs;QLQ{Uj-+?Wk5CXi?eTT9@dB(B+-Ut-{=$_+I(FA*AM|a+Pi8Q*z28QL|Pc1eMCb+A48l!~_96 z*=VftbJ-`Y4#1dGLTAH4F1{O?EAP-uv~BK{PUL-30+aiE?!HQ1Qn}|Y3xIs5uC#`B z$}96|C6)GMRGkJxZKZ#-oA#uTk_IRQLNJjB4Ui2J$y)?3gbs4x!>0U#1mx%4(pJi% z&cbl9kO(K|K8#bc#bW|P9d~3AJCxHF{OG_6Cf6>3dFb$fm_?^vsggw?3rqqG zVk_1eTH3M%D3i3b7h$oXcH%-sNrrgP`0#hHOR!*Jk%#s(=}TX^aDSrN5#d+oGoVza zKD#9-Gl*eT1eHfFbaZt(>Wl?}%+CM^p40w|7caXVqyi^k!*?zca}umkp`XAN_ZC*w zDsCsij(7GZ+#0;kJGd*EOCvES!Q6!B5PG{zTGNwLykJWeOAIZWJP5<$gS0(&-irA^GM;QGWW1;*gloUANhQQ*TT zbpdCDDs6*~7#p6$5OCw56tqb&QaAUBrc*EdF6*iAQ~*Pdo$7@#n@OrKZLJ+@mjoF+ zK^Mn@baeH2?Dr<>7Wmu`+D5-&ng}HliUV-RLSbPv+v3oF=!7|*bkmBas@Ym;#`AHE z9a~{_Ncm}2KdBe|V|)>m=g*z#WO0Cx;2`^c0(AvU&lj$m@ zop!HD@hQs$;3Fj9<)9bkrB7|`t#-}++drq4<~62Jz)B@yw$jZNkA0!SJ! z;GxBU=nOaw=6!V!D_#h;ksDBoAV5;IauOOn3B)5mhgOy3_f;m%Ds9=_agy(=PU<7s z9Nd*GOoadlQLfT162HlxgP9Rqr4I>k0Ld00LYNwuH52R{l$H6hj(FbMj!Oj?u~mXz4qeD-+aSOTm~)BbyqZFmglm zODw<>s!UAeuGB2nWAQU9zgO1O27PiobpPOf3kT`~2Ke(AMNFU`dyPRPL@9Z^^&Don zZy&C!T!qfO42%T<$>ygpv{h+t2lnlcepv`@V$_r4W5EWKWfI$nrNq1gzuO#&o*PaM z^!1C`&Rbx>T)4+Rf54soxG#buVYV=QTX$k23Evc|>D4#$Kj#vk#W|UQ_KoNwoH_<1A z4RGW9A;trov_KYLAsB#YIs`3sbD!PkC)F2@UC?ky0BAs$zaNJAN`MMyJG-#JnLll| zTfbK0NgqZf*zmG=5?}bjm|qqU?lc0PRk^(c}^8Fn#Ak9bT1&!QgV0gper=m-4W_ zvH{r;>M6|4m&#`l5fA_#Bqss%?eDzj{Io%Y4Fvdfn|2a;{0Yj^X0?%PyDsk0mdbk^ z9_UX2rqn^&`<;unfT(~9j1!_x(3E`lu> zd)mzYYV6mBrkk+T*z0N%@%Q!gyG+`QR*g1GDmcZb&-9s@S39xD1smUw7?#Bd*?g(kqI>byGIV(v_oVF2ph429mv>%2tIMC z7DE;Bf-96?;DS2`3kwY&e1UnAuyje}$8z8q?jvxM^)5L5igA~I z?@hE9T0ocrlWp7;o-VE8D$aZ?@a9>G@Z)F^V9DjNlx4$(Wr|ym{?i}ap9mWZ6TykR ze24cyKZTDlZnQV|gRB+~BF8?;kvIspzDxtQbb^;G)p{wpD1d|$B1w8O*rnCM1N(-uIl3;i>$7!sYj~Z0A)ue3~Qk13=*SOeUC>p=G%O_lxfUAuAv; zd5bmUISQ3g8b`sfSr{YC4(+4S9&=MLRTkZ4 zn6;0C*2Oc{P=K@$J;coV8I`O**7CGkgQBd`ClJ?@=+@dQVb6j~qrO9YM1!&K7JW{) zMp~FK60FSy37l4G?$fhEcv>ouF#*lI1;GpSL#;QFGUo*R5s-w66M09dZvSBJvduHB zge#XXC*xHg94#cFj?lA0q52^zm?DXJD^~dvxpef%zUUq3_B;u0Ht%1je!&EAonRGF zCK@kc*ubE(tJN+o?iW|2^GK@)W@hdlvg`xzusLS6+ORj#ewaK*iBwDL7nD_&IHtYEIXh@aQDTmPN$lAfU?3RJExPT%A3UF(&y!riGi&p*h-N0( zSsdX6t>iivwqx0UXn!m(%=?@m_F!ZD90D)c&rZ(>r0TbX_lEM)Up81JF&BxVcf}ex z%7j%g<0nkFhXt`>=7e0M#k0vLjFh!3D3Nxh+0s*8M4+j&P|1bMmpm4@9}7G?g$u0v z;@qvhiT;|VBzPyrr;HB>3L?T#8u?Ci8qA;m^P8=TI6RWW4>@`R4+#nixVZlyJ1nF( z!LR~m!d2=4{~3ag_EzIP<3$=8zGPZrhY2%w+=A-68Ls*T^TpLlnYibuw|{U@d?}Ab zVeWEiX)%>F*PDxgp+yaak68y!xX+lEnxC67Y|5UHj2-h&_L$VC0@BdjARJlnnj~O2 zH8~rT5>O}sSe#WFBj8QwIMI3RY;FOmK2vsUhZG&*9x+`gAg3NbD>6&o#5j|r=DTbX zp9NoW!9j~ey}{RbM!-W}kZa;`@^pk#-s3s%W8-rHJM*=YU(aa*R}nsXQ=dG|Ps-m( zSpoNU>d11kY@Y9W!PN+V>zaGsOePAnFw&Qzz0*rxHgncLIt}Axuxs=m5f!Du5I1NI zE6-2e7Zb*3O&J+!k}jAmLl^l(OjsCc{jhEYEe)+NJ6<}L0LVPq`V&u2a-Wt+DF zsqgyd=d{U@Zu+D;$|s0|XS`Dq-~CIUJVPjeXf$VVaDdi3oEfc`dJxPcCX``9yyMe8 z^^6O+5~|9EjkJ$@oE1bqm=LFJrZAB}la;{MKxm4#iu9W>W!gZ10P{%%G;ohP*wvsM z`v5Xi4&|4!`NUPmJQBxH1Ahc0f&&<{`!W;rOkz_XVBp*t+-W3;4gp5nQ5HCb6l?7A z)giUD&Y|3Dh(`nh$F)M4OjIM>*h8$Ih`wsUO4=(y76dK8WR4s?qJF9WB21V70v>k_ zE;1rFNrfn|Mi?)M8h8R9A`9ssjDi3Uv_|TD{PENAqJ~R;zof4=J=x`;$M6mc!@BUC z@ANg9px_`F@eJVyjKCG(!{j|<%cMC$4ozww8`;i?*$@PRFe5Sx3yZ!mnU11GIjv~N z`r%M`1hmGRJ^%C}LJjY1P2FmYHD%huSa80Nj}#G1KuG$Ju z0<)67RDWZ(Tb;mC;ur<+l)4z}1_^1bhb$xMaaO{f{(-|y4K2oZ;0B&EcH?&E5$%ab zqQRClaOZs#1Cr}daRdlaEQ^A9A3B6a2(SPL!SlMBRKCEOix-IqB$z0zYPx)ZwegLO&;(JVEgKJrvP zom2o;2n*&|$X4cxIHbwKht zm-p$cK7l{hkNe~2J9&2}07#u6d(qU;J{fevyJ5OzjS~sqHWmg1U&;l3Af*MF>Oe#@ z7exEfjtyVsW6pqM0mwrjfcp*d>p31lj%nuJ{M>9F3n6V3CWjjW0YoqYNNxtIve@5_ z<0N>Wc9WQFg}E$<0LP_yFR#*?bTv#%Gsm^JwMjd3ud%|23KQcuZWrdUdV9JI8zS{4 zXV_k4Gah>Ap|~Ugh5Lu`Bhepg(3SSpi}0wkv$Hj>x#olg46X=-7?b|%V#Ms{2Y#?` zAHoSn$8-7u9AT1_YdC8V5yC_vVGW`Qqxnw_$ezZpKwn3G;2No1;t?r>b}} z0**dFQ|6>vTrM6XfuBW+WlX^V<{)vO0lUSO`B+(8h!)zRuCsR`cn34WVgN6|9p>H^ zE5{aKO_lLsZ4#ff4?##7^wDK)5`9+ZJ?6;6d{SS3j~Hy&U5iD)dL&@x@ezDbAP_+8 z>&AK-gG%y#)Wx5>SfHpxxv1Eje9CF)CcTgeksVld0{Lt0p2{fr902& zr^y#gLuw~u!w%)(#*&yY775c>3$jY+2jfP&sE<=tX+N!T__i!zEMw-i7%Kz}^}!!X zkP%iduwB!5vb7G!>Chjjh_OOuAS@9;)Pdjuuh~t4GO?zam%Vi93V=6!I2M9uv-2~C zX?rKf$~RhY(dWyTFQ%d(OP70_g0GL>fPOXBoWq1rJS>Y3OAdTyo)&zie~dk2Mj!cP z9E+P3OAoq%&)`AX*vzqkttZSk+$Q)KZ2<Z|Vmq9KSaN#;&;t~Lw`Ow%RSoEoG?UbR;*(?>o!=Ui>lC}X?$ww^^Pj;9*nUCk0 zH}mE5yuV$?b{Uxn5GcL18`#I{dWRGIuPjFOA!I$t6j z%y^RXs$8Cvzs#?IjFdr_U|t9lnpoO|0A-@PN%`_*%T5t9TNN?cJujq}#e#5>^)fw` z8&v`?honm@M=()0kv=@bO@{DUUD=3P5x=N0`g->{%1$Vtw%~qA%gqzRY9oZm*g|*^ z3^=f`IA_G8Tr|<{t{!P@64sO>K+nuAit)7SJ3;^DSh>49mpHI$jO>VOxR+3FKmm459`3fBT+5_st9vOuEHOL14Quw zGX%i8aE*N#bKG(s242NGJO}1j7`A}*F5DFOP%drdlbtF^2msGLiEgML1s!2zKBVu= zd(v*UVM3Vu>@ST?S9hEHaY@39&~#GS`GGNlrsy+tPkZ=HdvO2q9yo>v#5+{zQ^Vzc z&|msND#|q}?c@#VV-?AIkFo!U5i; z?kr>PMeB=@10LeEZVP2)oAimKk`^H|QTXrG)1>lJNi>*yZWF2x+*0}3mkB>G6{S{P zsZbf+K@B{gTre$s#LGf(7?bB>cBPWf_2_f^p6bJ=%ACZvd|tU+Zzb(a?nxL3=n(tv z_xN7&mwO}z*7s3Ja199IKv?$4VgpNr5eTv@#Dnnz4~WLZBm_fyUWfQqB#7(@b20xS zfOjX#fk8k3yhB?-Lg46dd3?=cMAnF;FgT=Ib>N?dITfuJMv3;t{$J!>SY0-;+Imob zY)Mr<(Ts72&~UagxjK?t$?|z}PXKw2i)jW4ZlNGI8#AcM|c{A$aHR8B@~}W@hx4-vp1aa|VjQtOVMG zgeRP)y)&cY5Daw1fH-xRbkrH#{y?84#M}Br1&tuO!?^r zk#HA`JWd-}P<4((D?)(E2>hTN29hYi>S_rI&{gl0t$}LmQ)o_(PgtM=Q-mjQu+UIK zk-|zv2}2RVHHRA*oFOl8r!1IQiCCq5_ztGVr_{L&QlK8@6iKZeo&HT3xZH_`#iN9R z2JS#lw21^Cot_!j7&gc3v~W#u0H#=o3rkW2M9-}IYie$@(5|g%@E(u|QxrSKm3BY$ z;G>2!ya8npK`JBE@Zc&uY)1(AmxdO4$on~VJWswy%IB3at?)|5p`^?PJ*hIKTJkd% z+*Nii%HXog&ULEadIwsdUW5$zQ-s=1`$+knd+v+i3M^}CnM2}bHD>z-+Q+r402nO4 zRF(hKJ>TRWi?lE?X$*q|BG6!7SKIPG%2T54GysI4J~Rt7NSCbNXe`^3R^{gW63kp} z)E@n$t-{oCq!T45S!Z;B&OE>TdlNUk4 z078gVhL%TOBMdMLjZw27#hX8_sB&m0K;+_rWxAQ3Ud*w`Y=M@+BG z?*SVU6W{EvU>NBh42d}r=Ew{`jSJc<_Zj=%zFwCP!OTqKrebZ2gdu=axd>Q8RfA6( z1(}$XU}?hQ5x}YmpBG%22SSjcol+0XnRX$Jz>(5l)*V?$X#Du3FXV?X7*8y(7uKG4 zUrKtg_Ze<0!UTp1zQQ1`U3_0OtsIF-h)DTfIQfBir23?-HTtVYtByu73k3Ic{9N;? zxMkx)+_Z8wp1gW4Zd^GNPg*{&-xuPkTUTRdYk!Pm8DhC;uvoARGhSXg7jM{nAa1J~ zj;F=dxNiQ@c*^>@c=Fo0=%25Ri!D8-iPwz3C&t@vjiKr37*M~K)#leuy)RC6KHcNN zTov;UESdy1%(E~-%-rJHb7u@6*58PgD4&G57(Z?~4wYlkH31BZf+c*01L(XEQ%V!8 z1b8#2=d*p3kDv-%%6vi*Pn)~Puq^4muBV%60()im`lorK6_uOtc-&UB1t9?*GLFkD z3!)tfAmwSOZIaMpR|XdCwrG4d#Xo9=2Lwy8tYFb=eJGsWB8nFv=Z*-cU-sM#_ytT* zGT7V~d``FMy62TxGv)vmm5=3td#}Ox$ws@f?$)rVllG~X9Uz#KGA|QcP=dfKly+S8 zj8jd$@|*1t93jr9b`jvfrogj;C*v_GVaQPu9JRqb7FObnXmx%eH$VpeGLRx&`LUIA zmv|hQx1&hlw<7!6U88U zX{@&j($~zMDW8F!$+Stnr@R0N0K-%T23O?VR4#(2gZy?Q)JpQR8k|q(r9kCzfMa;XN}w<2624hlYHBUvrb% zt3T>z2Zk24-w}6$2X#Y?XhZ}n;IF5zSOxak`x!7Vi*~cm_{*`9n3x737W_RG05nm? z2W^;l>%>Ud43aQv0ty(<7HNnoNJ3MVw%W{`OK*2?RI5J_aM_6&4Hjlgd5jN4Nn3bU zETyH2b%2(A+x)$5LrGt#KB4M7_^K|$nqiPNGY`i4;y^5j*<82rc=T+H#*0@Ti)XAp zs_U`1W_>ssHxI@sS;Xt>4RN;X=J-a<@i^6fV?5k)G9Icw84ovI6JK2)jHS){qqgzM zF{?JzNH{%z`hj@<%)hA2#W=ZjBtF)2Q`}#7G)~n|M*rrs;-5Nij7L<)qp}|9TWjlP zJacv>K3&@v>nmT2H!QBj*BU$H$;%JNH|no5(`D>DUaXl>ckGoAPS7u0xmc4Z6!ecV zWm0}!85{I23>*tKx0sS}-dyp5(aEWCjZYt_rYueJ5$R~UmIB%ceKg4e*Vu#8df>j&9u%== zz0YnCSjLPsu0QBwh5!Qa09NOIu4tm`b4& zjv)-$iKI$G!O^tJ2Q8q$5jDwLKLq^c%U9G!gf~GP@XMU=2e(BeE@MUgv=D*TG(HGr zj$$Erfn6gqC`N)>INv0=%&8sZL0W*5z+iG_L6-DloWH?Z%;n@vQQjTf8Qq!GMy{XNPttw@Hj0Joam<8z~MTc+bZWSMrj0zX%|` zY{2p9XDWo}rH<6dWmU*JGO_ZmE_NnnKEs2qRIEB56o(5#e`s`Q*dQnUwgsi^ATvw@ zMoM0glQj)2k|YmEl7dKrO1)sw9d)urkp(^O5tV0{sF_SqLuhE4x$P5hs_~XU+^3EB z?1!%or@O}C#r4rDqBVkv04Jv=MN0%ZFpoh*6On%ipmcpSN{}(nDCk&wBp5=>K5xtaJ^-oQIEiTtjN9(?CiyxTy zN_={Ge|&Z8czk=+x%j>2?~8jHyW_dCfZkVk4TCHzv^#!w{zLJs^+)5jr3d0^i?Vo@ z9*n2ydX|LQH5%VDjW@-#+Bq}36%TFp#&fpTgzlb$L3v*B{aQ zdUx@mc%^OAx$l?e6HVnvWC9XQL}t;`)t|xN+fBTsw6kKDVgx zz&uof`Ll%#bYMkbD;1YQS9R67^k7X)6^4pX;P6M|vv7?uKs%31RHhZ`0Ea`!sXBrPuAmK3}ic$)f@=`u^@%_r>t0^2MWPH@V^0Gk7ePNEBmg0nkMcmuCs8N~XA>d|xVqhQe%_Wfg*2Da3K`(ai0Nb%#uSH0>@ zYuA=H@$gwhBkVncwM@9$=(y@yJ+v! z)U=p#Q#3a;$M_^`4KKS)1_LD+rK0dC#@PH%iT|yrX{;=iDqbb?H&O*3zz>S6+{$~E zwEH`$)KR{Zj92y{C9foX(|dYO4n4@EjNOTMNtWVfL;@HPqbzao91L~d z{d?lO+nwZgz-shdGz_hPV#GKx?o(RPUg4;I1+SEB_Kzm>0CYz@N!cOWglLE=nk z*tw1YMCSxa=0xZ-A;7fDT8#>XNUg|`{G zNSd8rZIWz2hKjFqJBI*56ge*L?{B_mI-fHar;gDl79p)Viqf0l{rkN=4q%2T_XUrME zSoUi3ch%o$f;R(GIfMrv-28e>sP46unW$~~wz#)y&3l}kuNsaYm%w?t>imP&?~ET> z{Kt5-^+~Sx3tK1R{lo2XU;9(zs|`6~5AE%mu`$T-(=4kxSrtgZ!+SjAA;rrtKZ8yaU3AM-CZ?!AuN7f&YnWkIgd|g$% zc=<~FQRf};VB?9nP8QN%cfTx7b=(*q9T$G7?*s?nn!=K?KoS7f5cHxq<{*mIj=*k~ z^#^W12P=zMygV1fZfUomz#1Xqu1aIyr0-WpMqCc}p&xJ(eA;Vu-re~$hcM$_GOnY{ z7}25I++7 z#^DnZ0PNSty)`T^ktabatc%0?fj+@)+;T)eQeczvn^qi6DB2e+mKQVJ-S{XNVGRPi z?ylaLoSyJnu)~KBd%g@BZWsN)6UHaUrQoEufZgz+y$M;H%!>kl_6x*9LNUd~k21%u z9gS)~ZR-}UU%Yf#7E%gvgfa80(^BGkdI#gHU;T>Ok_v4qz3H+oiQmvHpFrIm(m#)Y zXcqW!FX`I9oCVq`@BZ&ndFD^`IV-6A)_~>n9P3P(-%0#Bx&2Nee<_o+`}^)BVJr&? zVWDz~908dbS@!V)VO+8r#2=j~$xY#R=2Ar z)+8q9wkQ)jCh!&$-MA`v2iF^YW$p(?48sT6sf+S3(Gfo6F9>f$(;sz#xDW))wOajP zac#5SVId~-IS@s&p25rk9zw@;i-4Gn?riIbHEDW;z-~%2W1b44gGfMh>Vas8Cd;)N z8C1eTOo#(!3q!{WVLvpYAsPE+F@0}tsXAFN1#`*vS4sHPMVo{ku%k@igCM4?sTnbO zmB)MzmKs6}=14z1_#m|~0gH)6iUe>VyqGJRothJanpXaF^C9HdnO~!CVkXo_TVa^g zl`HSjMwcwmXxm=q`9Rmx4R2tf!7+0juQ?E{n`h(9{4H^zTjuYJ`@wjDaIy#r{kEco)fmQcPAYC*6zUbPL0?>F}#Qb}r zy6shQN~#jDyJ7zRI3p_xxM%+}aD&~d$HyjuK%sW;E|EKXv(vXX4+c*(h6sVt5ixkZ z#~yJAWk`qdN4Vh{XHKwQnmO>nDh0-j7w`qwnV-9D;fcF_e`fV~GAq@Q>-&fnoiqHQ zGw2`{Q{jbhocdVnWF<{j4wkOaSYyxns(H~+jg=+ZfJF=wryUIvYy@>+=`r5|y+GgK z4s%58BEq~V!U!(~eStO*s?h8Fyx^gCc)z2)+c3m}!2L#BnRlfgaF<20&@EeNfp_3A zb%3MbEAV26eee_DPRgQT{a0UKuienRL%-P)i0!Z#6JTTEF8ZJ@c8Krl>XeeT91F6< zaH|r0f>30OE#4>iyP{nMl*aA8$iwP;khB1e1o1#jrSs@KyAu`*_nCx*ks}xrfB>li z82`@iPC#ip9|?iBlgH6<`c1hdmAu>c)W|2kuit7r0tT3Li+R&lvOpx{g}B+*3mXe& zzrCTS?!fRZ$hPariBXuqx)^F|Y;`6~>Oh#F$w6%R$Elz9iH>8_PZr*_OA`hPCJ-Sr z^DHn5B61Kutnt9QA-akQOrrD9wyfb+Tr;?|Iyu!=gu!oIh9tI&8I{Gv%KCbh#m0?n zgG1CLQDEf7CB#LWN%Re7j-Y_}As!O05*QioM*4~7O@GiVX%B5MY{W=#sj~iqPxkP_ zHA9~mKJ_}*lOwkl0u zMba0v?DI=i(JcL|M;h(Y=Hd9G_E*NQZG1<3sHP`Qx4a;JtLFANu|6Mfl_hX-^g#Sx z)9vx)>gUEU&)gpWdHK2V-{XZY`z>?NjQ>3U?D(DPJK|rqj>msqdQSBAti?$^`%K^K z;(f)ij;{E#!Pmua*Y1x;H=ZBw9C&Ta=i6 zIE1A@`~6+_$lurB@4i7h>>!XZm^Tf8zZPB{d0x*V?m01J;OKGCIP=MF*tJq@_zpaw zS5+2k8XNx>PV7zW9zZ*APg5Sa!x$803x>(K)XCzri(B;)e1kBeUrUQwCe{sg@Gb%h zcPzL^d#a@vLn}nsfm8H>a#@?iZ}(I0rXHNTK@gVB`);?GxX4WRnlvV&A(cg&Nx0)l zSVg!K7i7HvFYpzZ73Bxz3Rfg$H#D?3dXOVC2zJ1-9O&l=3D!K-d0iXtQcnhCA%yT6 zq+q69LC@)tM?^>F3 zuX0gbHZZND@?PlxpIoIrM+sJnCb4JK-ug-9z1?|BzA|8?d=QE)dNM!5rFY%wij`MY zYldL?E9MH2LA3I|?q;9W7To}&gbhNFa@dWa0b(f`l@JmTbg74O3gg0+#``43obtGjkTwBh`SMH`M9=$% zt;&M+X#oTl;dncJ|Zy z{9+tixuoxRyWFbHxp?O0=i?W<=B*sCFB$2M7Bu1d=!vuOoT{^G*GAmDb$3iwVa=vR z!}06DvU6=VnwD6vGq3Mb{&bi5iL;H*ie@ojy&SiUe?Go<^`5w6_OAHO$$tsdhv!e} z-s0Ed)msm_AHdqL) z_A>9|C^!iwUwWVR!zp-9nYoW-wdbM|pK_fN?IzJK+C+3A^M=$xeZa){rFZx&^g+3} zsyzp-``n{{;}c`ir1#5_Ef&-;ROns#7>A^Z6wa()Bjg+UVS6UFlA`V4+|cNl>&tg= zanFj!VCkpThwx_32`>ijaJ&~J_$>V)ZKR+ij_oPbztKr;=}Xaz2=5CGkG@N?FyGtV;MidB8%~m8FY9inVBI z5VKs9xeHSiQh@L*o>X^IlcC)z93TM%8bD`D;(ocFkSYuYX3Ir+sAd3`M!^V6BT2c= zgzq`<5&hHPxLk*0-@ZOEk2>!$M|;tN(fSEcK?6%SM)oJS#Yy)P^~2a8 z`n?IXWSl6Ac_v)Q%%`b0amUR2Ro}t*n~v`iUeCnat8S0Ct~bV9>x<&OZQmIm=zW$f zz>e6gJr;k^{)+f`-CVq`t=kF$slWQ4<0tDs7eBhS6u({ff@o~2jWccEAD?Yfy97&k zCt<+vZNJWRHBd7iV^U_Yg27VOM}4xnQ*BIqzpLfx@wuMo#uo-&pwH(8Tep6+_1W>+ z-si{P*FRZ(t~QgTudG#KoI86utq8#USggxhBjAFfvaf$YIE`BlEkA=7YGvhatg>ck zTr@BI75qpnIcN~w6U@MPu$us%F#Em93Qz_1Trg`s$&aEr#JZX_JO{Z(jc2r{Ru&aI zj4=66+Zor+P8LjyRzwpV$G*aDBEn}5rlX(TJ-uEt1=E>R-%?S@F~!Zr?(5)fIVK$e zur8WFa8YM-TeH5`#q`{4G`F-F2UxqcI6otcXGOFroDxk_E`kdfF=w=~x)$9XUAD|9 z$2(c54eW3Z?f85wT~HZ{1@IUjXJ__w?GN<#=(9R{y1N|>OS!YMwrDeZBElPR(-ZN_ zydc7g`X74m5!EN!qhNTh`6@ipH*}H22l*-<$|skVUZGL>?Pr1q3wZsTTi~6>!uOT8 z9CeSNNVgO4I2Y!3(sLM?geCHg_TY{L<}d-;0+S&heHywtDocWMsRT2iqjt1Mk8Zz!!EB zAmK~rSPABl2su7``bxgkfr8)7X0L722qn>anATJrNBfr0?XTO&oZ};#BIQ z6@(A7F>iq{<5$k#+MR$G!VTa3{{8#J2w7a*7`<^M{l-+q%}4onGvsTUJiTN;aY z8Ekd3qNb}C;#JcR#;er4hX+r@WNmx=dENIIcFg;z`LR4Tlz1hkfCX-AUv+>Fi~;5I zoc^%48_}68+kinr%P19CGwitSe8Ly3BHCjs9SZ;rUP7IGz!taxTU>M~7A;L!+X$qD z8}+hV#NLE!iDS@tP8|prx4nWdB&>u5DFNJPzKccFgl3bst)*SUJKfy8@7)pfo~+qYt}57a6AVKrCGzpt}J4)%9D$Lsk0VrVH`fq|crA z;lmbGrW?SVv4RS9!L(T{|i16bsd#m3DZ& zn=buQMd|>|x=KuBd37o{iv*wQ$3Fhgu3REV#Vp6_GKb7b3n6c$7K)I_&mHrve7a$~ zq$my8P>sR|-%D3t4vZ05zr034jU+E~9eg5y2$!ZEE?Y=mU2$njVfx-=b|5~N<4uR6&~qKqQ&b|-|7%hUb+`_pQ`Y9-{AK_l@TR}B^c&lw;H7J|YB z#B<aKh$Is5GvxJ}4Ml;&0`o~^bATTZv7!jsC>nG53 zK~Ss)yZTf=pa!03STHft1=dWc?mlVAOcFC{k34oJ#>I5%o9a~-fe?%>hsZ5>T?9UU z2#v@#AI~7|jlQ^T2pi!D+BQ~VbrqIUDk&r^XtX+lFq&Gk?YsvJ%2q5OA_5CRLtBZY zV=up)3t&toBvn651Z$~PmPJojw_O`(kxLSY>_SetcAr#IfjJuOx6ZC{r*<9ODxsQUH_YS!al%$c_)tH1n@shlB#J@miQ?@5!9w!~?;Of=UWCgV4um06l(`TD+lm-F+d$Q6?3!FJ z_ps7wA8l?nzRguE;Pq&2XE_2V_D*}jE`ou^U%h%o44?4yY!|@>j3wVuN~n*hI)o$p zvn@*@LGf5x=A5hSXGcf3L+=Tz1|GP_wzgzh!A}HlTbL>nJjGqi`lG3d2`fWwYL}Xq zbS;Q7&%=8})!|BKjTF{(uLLS|IX5%w`LI-|#YTkp@CD|=u3Wt=SS`l>eRvEcP}Oz> z-9^!GU464Gqq#VB>P*bA?;+!6o|={_^T6dd30^>b$v5dnaqZ=MuzDxY2Pq$fA^5__ z@}0yDe&@X`o8SZl6F8L2I{7v`_~ZI3_3=M#u$WOk)1scKOT6F=`JAL&t~($36(JR6 zm5You&8^*UmI4!99W`;?iG5;9bulx)9PfSq$JIfZxs~FI+<_7E^13uP5F<~L%*p^b zK*$EG62Fm5oHn}C0Ehhg->s@7h$Y_xS#n=Jb`t;yP$wO;ndk3C(-M}Ty$RS9MaiW^ z7o{?f9X}dJp7NA9^3pP6!{m?sTLpxe9)wEJ+^hUnNVd%T0jxX zi-nyQ0-AeT(lA!)rA+3T(C`uBz>D=X2p+G26QqoDz+9MJCxHe$X**?6H%yIkh|Viz za4ZB7jjGc5pSCCL`)kcN89y3TFMR}N4|G0B#DB^f^}V&%#aC(vZG9l{Yic^rL0kyqGF*m}@#7UuoptO>uv9hUd@Mo`}<;GlVZR0&hVXV%s3JeXpI-AC?}7j^+=u zLo;6#W1buvmq2fpM!xL16cm(sf*3?YcJ*zo8(!1}j5rd-c&PtzhcV{OvY=3wz)j{o zQC_gdVb;%Hdzh|or!P0$Yp{wM?9;4m=dNl^82{Lwl=)rDzVE^13>e z&Ebgb^v;$=Y`=q2!@LXp#2da=soyHERYDt0nt3^JD|dfbwxFX=jiLb-uA(q;f-Ur* zrdW{G%2L5h$5_By&7mk>nfORP zpJn({WxM`LA>3!@6Z3X4IM$3(O4dW$G9SPF9f75iGbEgH^jomePck_#shUpIHB`sz zU;WZtu%*towscuzr2$+3iVnCk8bC)YolW1S5wVlX#>!M zA;47mjc=Tto=cg$%K>UR--H`5=g!DanYjL@rA0E=!<+_l91vMgPoD^BO?~LL5NQyj zLV%iKsf`HGE@{JjvdJg=2Igw=97Ea%Gv@o5(-#HzdXGJ0PP?#f5IhhUa|nErfC(CG zOKTqFMqg7{z_6M70Cs4vY<9^cI;Ue|*|5J_vvGztuwaq7gLKzHjAw$+ zDw~jV#+bYa!?`)!PpMELY&lwi{rL!`uSKcbWWFYMEQe_U6Us-(4-H*apVIxy1UcnU z2cPU<%_s0e5g=jJmb$vSTg1pUMiTz)dca&KurfYMNg^*aSuJ>({-8}U55rlXC=!et zb6CJmFS}g`rfi=Co9>nCTO~Ec?>e#*_$Zr&pxy_E=nJ0+^gf4tXT%$@C2)eaFkc1h zDEUkOSitPLsik?lAlc+}Oboxw%Q7cJvvC&!Big~3miE#H=5ZPuGWyf^Mfc53^%fE< z!q4W$KH*Y>1v>LlxTa_aG(?bykIYfy1_$=jTf>@XU7bBv2C*g#PnEy4#GDfw3NNT^ z(Y|0z`ziO@Yp)f2nI{$BVVu-1`mv>V&z_ZKD!8H85J+|Y;zgIqcrkuulVs}P@>nU+ zAMV?7gLk@r$*al3Gp>@C#BU#{sl+|-(eLS^Y!aW|HVt96q4%hcwp7aY#XI8p@EO;m zyVY1NN~NyC-+gh6hRWr;pY5r$lwVDx&-0#fiw0=Y{!ixgcPYvnvsv3ewZ)vaW}e+j1lz zo{(g%AOyCA{P%qDBk_$-e_E#hu&jWwxbJ~`-If)Z^UOIoQj2yg;b(`*5bBLKT;?gT zf=EVkwSsoSFjYzU`D!|LhwhS5wo&+vazkAgQdg5Syr3lDkL(Bs48fN z#gOWV)d}1Ue9~_OBTOFc9(-f|f+HrzC#F2EzSQVRHfmi?#s=f$0=}nDpZ3@$KBZ7E zE?!^{Q`(zg6fjx@I!uJHdEiNZfIIh5PWTS<%&0+j|K7AahBlc=s6OT{u%bC13Jsld z2tZ&5_JfBH<+w`7u$Xw0u~1&Nnqi+l6eQej1Xzp?kBCY48pde(#sOUbkG>IH!1yDK zup|(~sr;~vU{zZ6RGdF|)&1uJHp0LPY?DzjKJ;;PblAU{`%{;E4zx<_&R62RCCcLXeqcs^+U`132KnF- z%#ZHdH{b|PaDlPqJ=Qr*%}xo=&Z%!>s&F+Lk}(;8zoycIpxH3?_M^Js_Ij_U$m;6C?q z&L~}l@S^OSI7>wk@EiPq*Eoshi(n$31()*K%_gp8p1>IBJ**=V`M6(%1{ZTeKIb8Z-|3Tj`6TfUCIyMIT!u5347w+{lX$OsYi%ixpI}w823|zI zD9wFnMNhF{4*BAgiK1%vC0!5mhw0(gCFHuEaeqI!JkZ zHvp9YG6|&NA`hKqa&+JR0ndZfNW)@Moxz3)f*@Q7Cl8p~$-s_`jat(|iC8zRr~N(Mc_jJZ;C?e++Qixk`T){WE+MqkPdjOow~kTy-q=&HLy%AwI6xbj z45r;M+&s9BEs3y_AXv0s0t#AWg>ozd^A<#KqUjc4Eykoa7Fbg!0svb;q`!eOX$SYH z4;Yr;6O-e9jwT1XgX6%KvEljNgfOK{>O~2IVCW}pFrMq(GCnF`I~<R==5Ju?1Wl+4;}qID)(CAOAHp7d;5iBq zK?7~A9j;?{!a5@XdnV?2m%8W|?Khq1b7pEvfCwUhaD)2O3Ri2j%S0{|UB<@+r=+gp7 zz~Vb)`I(aOT&V~SQhDZtz^nYE&%j@An`e=)TqFbza3c?CcRkK$0i>jNDFd8SCjFG~ z7EeY}&^I(@m?Znqq8dH2THx?>luy$jrK#>?jD3fe2|u@G?3te;91| zZOO?*D@+Gs^^wYYkLJ)2@7rccPiI_|8()A<&io_~pJ)v!^l+zjOFJRYg$tL|HX%Ko zRr_jW(d7iL%7eM6E|>r*g&LnJ0D0!gJD4@!RX7X=i-5TaCZ}m1iOonsBKy;Y&@k6k zJ*RvA8HI<924_6M~+r*%lU(*lgA+*(D^L6;v)8EYcjFuK6aOTt$X{yprX|Lc7_d(ffocAMQM~GIn9+cw-jx!4N^p%NO(1OXk?H0_HSe(b>_i{;+U#)?>m^*`p%}^hF^NZpDrm5^+JC5Ef&FKp;4S z-^{JCLovk2T#S((!euP=l}JR|M8F2m)EvW+2qfADGlqzP7fgqJ)ewHTwj5$CCPf*v z6-EUUoYB}(F9L%(1cAtmckcxJ107HG&f>j&dCUU~Z0Qee07vLI;|oj~BYf!KFKt55 zkPlA)JEXG}4E>=0;1g{@3(ZYx^{)P?gRvqyY++$KCdXxEF(0CL+2V#U>{JLuj)Kpn zOBXG$d5;K1EFjk{7{K6HWsLzB@S3p(4s6rISfJH2--;!&$=OOZ4Q7P%ON+)e6oxjz z1_sRh6d~*@8dKnefIv{OTLHMT>K;OhS}7Wea=eQtJe-VSU0I>WzcI zjo=$^$5gf9`B=u><)|-LhR1{#=`O9Q#m$Ov=lGXJ&n3b)QC9IlEiJFO{Vgp-KH`pD zb`TRgmQ-!l#V0>SWHYY3>_0fhJd&*oS`B!WxR2`%661Rb5O5wP-~bOrfkcSGdrgOc z8zs&>P`_0V{0$t`m&%0zR9Vodvhkg^Wc{kAG(*qwLtkq2l=^s&hsxw23f`hg{;jr3 z2*JC#XAZ2JR7pNQs}CNij}ZwWq+4Udiilm(J7%N74K})D!vt)1TyLSdsfQ*g7S53z z0jA6Z7;MPuni%6un;pgtr{H}){hQ_(Xt=x9^-Fb#iB}V_AO^uYipF|6v>Df4ds21b zM#%idlm*ym4SWg{q)9bvU|UrMyJZX6)D!7Wt}iV$-qUAAV=$nUknUI#l$BxLdH@0_ zLu!g@x7tSl0A+xP5FA8Cn^^n9>9nO!)JxlWh7jN#w$Gtlm?DV3x>_6O&JIg6n~k{zF+|ShUxZ0A zzf-L-?u-8ZLBS}u{_&azV`jQjjvhT)nXg)uut_C^eDsU*Q=25xX-mQcpLmWj;jU)@Wi;uC4k-;- z9{g74f-RJq1+%@$8ZPi&=Qxp8_megvbQoUd;ZQCz(r{V#v4$lGq;G6&iqTY~u&_BR zllG~N=Qvb(zdjdx_&zi)VQ&u$d~#Hk`kaGepIMpPS!&U-Lsq$df>p?{z&_U-R?cx2f}4x+>N?v7Uk z+ZNJRW%$e;03p=^v%Fd+F=yjN~)) zCkPBAehGp+CLbb5UK}6+Y$ulOq-C|#16$3R#TvR(q?jP8bai;gev5Ba=7?6Zf19YJ5-VrEG)-(yz}As@4^2)Y3e3fE@Wb1|weT)JFg9DA%0A~wUNM76P| zSErxsoZ)>6(bhA!Z(c80jenRWM~T@6hv#I2Quv2sV|n|**+S}>n|lyX!Q`E#>Gju~ zD9gZVql!VJ-yTOv;CIg~sVJc*yp+y?ql0*(7R+h~&sDqRA;4cw2Hbf9+EeWcJyIw~ zf!}oSbMYpP!I@McFheHkD<6sHhF)uNd&(kjz_1uYiCh4qV@poM|HeN>W=uL*T{1os zk3Gi7rFfO7`ubzE5TW29NDrw_pX^N)WPyiyuZr^E2cqj;OjJ0pabdd(Cz?g>9g z$HW4jnXQvf(&s!UA9-uX63RMxKTCbyc@)KX@bDnGJ_;-j zyP=;8ef1b+jKp|n=pFvF-RxN03vM1euZGa(ZBPK{LAxjkd0v#uJW zo~LyEGo_*|spV`NibG!3Co-ky5;R@A%HwLCR==FzC#lYC5oav1nv|Iu{o=1wgAAS9iDu;%6;Pv7|JYbiiSJ{PnvCPb@{st^M5sZiPMcdeKY zvDx08m8kP5x6$~rVyxWGD;jJ%v|YyE{POFwa-X)0bC#aNm z(`g}UQyzC`p*Mk>{7sAWC+UPlWPIFIPJU4K_-Cs&+3%E?E7~VjIsdJyQRJRVGct<$ShME>g zvQk<5A;1Xh2YHu*eH;V9!d5#d46mri2f=XZlJTXBWepeYrXKlxw~?l?8T1AY$_g%r z*X3HD2M^;xwc;1%lh=4Cn%UpmskY1KKAK)#*-GD8%X3;|Wd#00+JrV7b+EeD{pSN$ zW|j`&fo;%^ci~&&b>q?UDiG&O362Y-6x-ZJl%@7 zA{_>o6o&+F2cd7?g0gsNx9{96*uZ3LOvqGd*6*IV86l6~Qoc5>EQj{yT8SDLMau-B z=*s#UK6nsXd##ipdTE2GWs3xt;5NJT(&Y=)Ka37h00xLX_SR#3)G=zkG=%I z*^_w0)vxsjc}8-l>IWO8 z1j$K$kL##&{1%-FTTOG^Mse9!uUx1V#Rp-6gZ(gU5cuv}c6w&5c7Pg!$3`St_6CB=GJ|+Qo{?&?i z_X%ler_}83tz(2ESlT8+$m1P#Yv*WpO&Z8|_^L8yb!#7QZ6qNlc{}uSTqagxyz~~B2b;6IjY#;M-VzCmcU?7aG z$D%CY)s>J^mfyTS3GGoz_8xO^n)lF$vM3~i0z7B{{N0BqQF?C_9O`m^&@+XF7FgNd zXPp{T(vQY#;9g?v$Zr;3@kjcRf<`NpF`-#rM)Dl&?bkAby*-|M;6Kj2;7Xe$eB6)IP zmDi+%FlptxaN%;^yBu6J&%daEOe9HhHCvBI#5++QyrVa?wi+*xm<98oQ!p@8Ob)_> z+GNQEV@ChVZx2U`SbccCw_|D=wBMlp`!2@29?A?I>63CLlPa1J1wanMopJ;9y#l7fi&sef{RN zlt==n3oh*AW@Lo*VAV5qfZUy%Is0KK*OM^)dHJDoWFa^(~!vs8~MB)>MBacI_<_Xq$u(oX~>dKFe1!rXWlJgP^(Z*5q` z-y3gIw!*jsmiD8!2N5c~_ygM;#Y&F+N!G%LVl9= zU`z(!F_|f745z|MQBDqRB+RRC((hP}q8Z^n!rHC` zV_gkn`|8!JSE=@{|v66cq4}PK53#LkeIseXkvq(AMVp3Sa9R zQ?m~r8V*PwZ(gi^kGKx~r4K@P>uVdOyecFYTsyrOp61S2(tr+n9he!U3o(+`Ha4gE z(CX9V1&fHoVid55i*1R}JAPSNUn`}L#*PEu-hLG7ls-s3)>Un#Zy1vLQFHYjgQA zt$VSTp5mMA_Nh<-x$n3TR~Ydjq+jNqjhe);xv?_6_S!4c##-+&#!Gl$6?&A^Mu`3LD;Mj)zT*fY ziphdktK(VK+9||Ckoj!`Ol>A8D5NKml!UhNrP1b-7$c68{_NW4Q8owDoqP9-2_@qS zL2xlR?*R_rOdH_BSXNEm2qcoQ(jYdB#>>Oh-sOq#k|>;>ZDUMIh&aYXKDBP8h~ESY zV@P0YEBi?lgo!0^s13Y^AvnR&w9^9X<#aBJ`oj-DtT5^0llFp?uYY(h6ofwKx#%zD z)|BpblOax~jaakJ)_D+v3Y~48KOaHZA#3khXi2yf4}|HgYbvHpJMhy<1l*Z;>J>%~ z4#FArzP>Vku9D!xG0p6al8+m1`9d*Dnqe8p&i5aM`zkan8J|)Lf zfe(3|;>!am-bq>TMu9iqhy*au+G=+XZqat_WF7hhN7HrlHWmwi$EW*t!@)buGsC+;sEy-Ra>`_;~BmbT;J}6C_IpY|2MF z`YhC3vhKwM9|P}2L-}5wRFT_r+1#l^#m}$f{VrF1-hfceaa}`N56urf6wZw%^IWeS-J>tJuYVOSeC~laKx^#9*JS z7LcQ`K}>-ofYXwmHLyb|Nf9ymj`-aJ458CN8mB>^W;*daw#?&HI$HLgg34s!U9+8 z9)&b^|1BI5VNqHssAj*7qbz!Wx|M}}?{>E1Wrd-6VP>v5S^y5LlM)`mloTjjKG;8~ z0eOOpg$-_PVG(bxt>^u{io>r42jT`ScH=$jt?9?f>#9s1V&Oh83jZ367Nh4m2~})v zu9p|Gv%fQK@9);kv?mri!;8L3(y#i0r-5P~#&SIyW$@_XZiI!IW4yZK8XG~M`l)Ck z0sx(~pz6KwUQTvR_|A8}Q&XYk-Mnx<^_og-9LBgLh24UD(3U>(d~JP+Jgc#r<9K%J zVNCD@;Y^t6^2JvQFWLfs7FMEVWk{^%#R&E*ufH)}x%_&S=Uqu2_41Hw)>`2tI0i@X zVGS4ST>08pFkwVtG)!KwhUZeU74tji($O?ia87 zz%7`K>pnQ#3t-{#Fd)m@)M&yU{bDo<$5AsBlm1%Bclc?~_PL?q`@-3HZYjsKYoRpJ zil-II)L-ZgOvc!F$ZMfv5t0r!n{r>{ZRy*h)ggQ%R~RtrX0$dvE!P+=es*rz{)2}# zspBX(G8VOPZh3n1EAQ5ZvG&2+t}#!`PF9L;%Dapb;!Ub&S|F<;w0R)8mO#jTvP2t7 zcBJpfI@ck4M;^v__e&dY$|$}`mS^%f->d8wQk5~g^3Y!VJrl!K{ulZ@b&hdh z`dpG9e)^gB`&rT2FMs`w_r*_7&zy@OIG!HHf`-U0TsVZ#KR5mO&pt?-PkIspWL06a z%Bw{X*MLz((oi}xm{Q^Md;L2=q;Y<}`QR}vj!!iT6KZr= zM%`5|moRr&iGDkdyO=v=%gI|CaeHA9uUMlaR3cxkl?lVjPsu2&EUgflH5qnAP*MP> zvJj4#Kg+xC^#`{PO z#}NwF8rfq^dw7#uv9`3t?h)`{Z!}CqX*b7|F z`#(FslKO?y^lOLU%q%qc4e#Ji*1{R)7Rnp@bv0ciN2(;4k*~e}z-1gkxN4z`vyY`36@8y4Zcie%2b_%DbCEH8SZ++S8u=Y#Qd(MLm zH5KgY+FCc;&=h=Qk#;NCEsxdJ8YF@RY?eb%GJVqMlNwuWgDTgw@6qFjQ5>NMychaF zkMKZQz!}`{M?n-!rMTj~?C#Z;O;`hN!79Py%FL(?XG95{o8hbL^U+Rp&Qq;^$g|zO z`(@a`nRRBLe||mnM;J0L0;Ap#vNf^7WS{Ts{!!I8(jT1p>U2Je15SD3*7-=3mxKK% z+=4?LfdQQ7qJeCXdlV($H?e7Yy{?xZFIdw9_bW?L3{(0x?Xl6XNdha&ytT9`Ek%Q9 zQ~lcRAVK|j^VW^@Uldx}N?{3=8vE7n)-@W#Bv!e(N_mU`)5qZ+jWS9G&!X{Iwj~dQ!A*tV+j$U!De@wm zA6++vW2SF^|F2Isu6^9J6I@v@^jrq&d;f(gLlo8)sawzCG`v>-l5y~3pe#D8^Y3Q4 zy{8<{>jn4HUgb9lucQaKoPx1R)6HPAXRseLTD(*5Lq> zv>xM0BT*PYfQ;3(Sm8Dqj5VZFm8*j>w6cHl(+_ivc(%1g?h))&Qp)U3=tgU>{3#;; z%h%`yMj@>bqZ6M_@4WMN2&553L11om6DF9^F$8oI+}SkA40FqV-ZK{umi>8Sdkcy5GiFII4B?t z=%Y_c86{aj%Id*n0+odYiZz1BW~!9#D_34ArdHM`pM4f9dAH7kVIBKKzqH2~7@kCN z!7HjXEf%4{g>e9znIfiP)e+E$x`ntw{(iTax7RlXn4+5=^NEm3UpP*Jhq4g`Nobks zO<|>|!UKhFt&aSdn005NekxfRp!C*J+Cx}+hK97oJMh8lLl@_GPvHxF;VhiJz$b(VH-tKYB8;~XA@n4D zgaMbAdoo6EI;%X22~P#H5uTDZ!L7}A2ijY^vlJS9TWlI6r1;Q!?tOdrkAd_oeemj!0&78(>eFw5 zX`JO%p(8>hIPx8xv;o9xBU9p)&iK{h)BC$SAES+-o17i-2P=t@-;oD$&DgCBT%o^rtt*u=SE~gqY zshw8l7pHT{Z+oN%_pMtCNO?Y#M#3;b}NWoE1E;V?m6MnAxiF#jt5J{B4_oD zPwyU^Mn}?t)jK2k-Y#lS%_Rg0MjqJ+*AbXPaO#mCaUn9ZluS7-81sR#QMU0C?-ybN z5TPKS`jt~&MP4H)2@m&JZbBv6h^ZJj&d_%rKfF1KbY*ojbw)9!E$#^g2uI03;@EIt zi=v}PJwd>Fm)f{7?GTQ6^~&Yx_1C|YzM@FlYdOKdNx!&$v%+y==*~nktBMsbG|4JF zJa|}J0NuWOKhM(zp(G!nBGxYp&Oq+s;%abaTtgi_CgBQZ_=Q8TAXIoG{Dim+`r2s$ z5thP=;+MDbS;$oR!ZZZD=Mwz)%6+>y->4aYjr%Xs+(<ex|N+5Kot^xpQJs_VrhRCnjLLly}l6H^w8pU0XZTj@NGhmU5#WrinV zO+ZsT%CAM`1bCkFwv7*8zjd>W75#7R*TKGtEFETq2h*+PH@@Qv#-1>9rux$O3|Krf z7AAaIO805c-mCG<&^5TU(}t<~l1Jk=fqOd&$9Hgg=3c=Yyy|-%G+L*8;ST=g0SErE zJ(kEuZiOd>T(!j^h1*etOM$dQv-;W^sr`fd(_3%96S{P|^m;7@7qz(k@rQ*A@Qyr}nQ@^{oVc&(o$Utpd+s`Lzo zCZo#Nbc%<2BGkyIF0g>%dFuMcJN4eTfA#C{kFWsiN(b1*R-D?jXUz2{fBHYC19A2M z135q|E54xMVp_*xwpP3%oAYU~3IOUG#83u#w>+Z|pe;mx^*i6L=Y<$jIpwj;y3^YV z{Oohv;iRF&S>EfEg6qB6x6$ybI(4TGmZ3b_V@yTeu&HhvELKP4mhJj=0Ol?CJA^CF zOo2$HF!KEQ05~EG6Gx~i+qOQGAWMn;%A>K(it~xU)mGDNEG*x_XME*2f`(mtE}p-Xd{1V|DU7{1Uy~hx!%%q`i;Cc5wGb|1+XN9tE6Xl8L^#4O>f-4gN3d?5 z-<&R9=yframvdrRF;`!^QZwdOV&Oadj{sR;U9WXnl+)EpuUPy0`v+m-l#|XPjIO-;YFWGC<7q#2*w$ohZNeHA z2DHr#LB(RN5LfcT{n4Y{$x+^7{n|y~5_krsI(p{!lPi57yQ zcV03D0H4m{DN8?aSRAc?Ha0Ej4Bh#pJqDw}F@(MHhHxUV2w>?fLnr-gcAcw!nV&mJ zzeItcjac@tN z^rKLfgf?w`1eV@XDA&MBX~@4ETgE(Lne(HZh7zf0ky%N;McP}l6MY6UB7-K0?>Ii zi_w;CZRrSCnGhR&A*rMctK3%zjYkO)FlN#{J>5-b*ge-yz=tXCXnNA8E8zhYCee1_LI~eEfEm+9I>}T!(5>P%+5*>RK^IxzNc6egeVikn$rc zZRGl!3_v)fq`F7&`A{@qF$0XH&0B+uy**RGS$qrA#yX)W{(X1)#b>w6N+d`qCaDI1 z1Fv?n_*hV;npb;65VqNe4=0NzTWx!C6fX~Fuxg!PX~vi`>J!GXn}OY&g>s$MaxWgw z&3Fq!j5l%(VCnZB(-KB11r??>2n`SL!fIEZ2^N?GK3U2<5jaO<2D$B{myW7DySq*T z=7sg{;b>KuLsKxx`OT{lK*n8qVgeyX@DwAyl)$lYc5b@*YHykf-$fq}9`(eRz@u+j z&+_UA-@#$G59^lnqkHnYPw9+2cD@FqXUcX@ANby>J!W;Pr_R(0O&a&W!eCbKiEK{8-^E?ch&PGd;s^xqD_O=7IpJ@D7+F;xu@O|a%ocaQ9kEl3>V&Fk?<(c zw)ZH(nqnQnSbH5t&<{h<8bDqRW7(&8C+!=!KuciLH#k@#Zh5{GKQ?y#GEjKOR~wl5RPoZ(Bh=t#*=KA_4AuN8eNgYX3ov4cf_K zc_W60NgHWHZAu)2?Cp3-F-_C1$cuB9ko2+jrqLH~r!KHaF|PyWbI+&}*EjhFT;TLv zA8YHNtwBS|>Q5^VIFQ%XyN>NI8J}ma${5K#{W8jws@(8qff#w?I?5j~ja2Vf{(Mir zqK)}q|FvI!zd|FmwZ`dm?X%CTGabA}%ig$tYr1*sb`c#R&>Ap>RDlRB-$Jlp>PoAs z{B|$GIe9QV>xkE2nm0?4B_Y=T*|lHPOfSq?3vU6J561lI&pyich1?j-&<%Jw1>OED z%Q_G!qTrCXj$fYTGJW^z)mN)H^*IQS@YJ<_FN7I;S7MFe0ku1>U2|pmb^IAI!xvB} z8XdS;+rh*$N}F^VuLzT}`gmn^5LtwrZGdVou~>(_&Vt*{gJSU^YGIfNt<>dwoO}1~ zPsg#YSTY8l!GSRE-QTG^`+FABZq%;FtTpf=U|t@pn1CS|%Thh%dCZp~pl!lYrq>ea z`a`_|ciP+8h0GFI2GMP_$xETE%yP4#CMsGLR>*h4hX?D7BHKwl4bIP{J{Bdf<|sHd z1_3U(@gcxXrLMu|&>Of{V@2~=?NDF~qu!sdClkOE#U-(*b*jxwond6+igiCcPT?to z>py(-#m!a!bnDm4LwoWh!a?8VuLgG0Y!ssoIPqaMgOM;ntCS$6s_zNDA$%llH^yrG z1Dy~=lrsABDX&4^2wemGJjX|Y83%2g->SCrR`n0zZ1$eIiXSM7(6lm5wy|cc#|zU> z$qPnCR3Rf3)K)wZ-s@|9zLC0x;JqU+LubpZ%)sIFU*14ZaOg9IocqJ-H>H^X2kUyg z730Ka-F}DP=qtQSsliwo4#A~R<()g* zg$D|s7Yh#j>Ybv^;78w-7dG>x9a!1$wjwNIN-B8~Smm{J;vlr#8v`2?i}FoY`FV&Y z!&qO*?p|5F5HERudh^Y-^Od$Sj1Nx6AaX}nW3=YHDIdFx?4BU?Lld1Py)tFUh-@f*P6sV6uvFrAgg6OB`!SiB^2x`amUYmUe)S)IZz?rhe z5{%=c&!e=h{K(wcwozg9zESsGqO&SJz6sVPiAKZx@3vu(6cx z?;O-Dzw$WJcK9*g2;X)BAhgkLpKH~O-eIx1nug75lL%5}bRTzncnE&GpD(k%>ky^c zv&QKvR14tK15Wt|Z@`0@k-}x}Q{wojzDJ%=kfuPx zpDtX8cUPg{C@uU}sBK_7$BxT){Xm4Y|X1oVg&(cDcwS7A@_lTlT z`QC9)xs0gcJ)ygSGkvWLzqLc^zUwGUwJCK`gwz{DU4FQbk3pq9co09iuTO5@+b)=d zMYRcU9L9*V22hC7@PvFn_`whIPK*P_*USs?9=@IGmCLisfsVsMtF8OU=lPg90p z3OCE7h%mxbLz;LDw4RBej+K^ZOtD~hsAg% zZCzhmt#K)NwM+b)2T>@QFc+Ip2maDwGJ!Xb7I%=S&o(v! zt8&t3gn)&L^8Bw?erx*v;s2<*NBXtHKbij9(zo(0tw_Cl2YtkcsqxxQ@#z>e$TJzZ z_YA#^`t>V35?b(UtOYGtXCyBp>LkMEFanSQF=o=ovYghK82BBFFvj4}I4fVhW`m(i zh&LW|uzwh#8BYQIq|J;*cxJ`J5sw=E#ve+n&|8cO$WFfrr;KUW$1zB1)|WmHtaWgo zV0ZH332UHiYKjN6oq&XkmF11;pMLiHg@+f@zdHYSi|$P}I5O!eMj5udbN5a~JP1#) zplexHfFrqc^OA!e(y8-OmxDVrRdNZ{>w){J~%#_9-{Afsc*dfZt5{<=|NaG zk|?Z{YDy*vY4Wgqr&Mb!2;K3Dk_Vqw=3L;dXqr^U&pJH`%p(o>z0kM2KPyjpTHfpH z7z-Nj!z&pfx#NCa{mt?=IP^^atd#G8_}M#U6hfGjISD_SxF|V==y-0T`SC9{0MHOU z?s=mGbAFDoC23qcTqGpb(`6k4Nuv&7=!5viG;w8_dTC|~30ocyL7MBR^Tp&Hom}Ck zSvjLz&uWk|$xI@`1hHWfo=0nOi0=H_3?CE+&HSq*sKx_P%^^k}L)tC`i~JACL#LPOiFo(Fdc*_3(pOIN~p zbJNx)%T!n?f-UepItrs`bF5)ozEGt4*mf|kp1CSR{|~&exZuEd_dM55ZQj0nw?c}P zvZ&?S!>3TY?j;oM!}(S0?n=h!($nEe>wfm?SEIERxLISZ0dC-lj;H8x0Q$A~T(6#VbGc@lc!ZN(1jox?vGz#j`gGV*Z9u2Cm6+lEv_>Gq* zX`ePoa8pVn0zp24uDvjujP@RG*tze;unL|YKIk1rBnF(R&E7@pw?9*=fVTy>RI$izlsp>QolRN8u9aZ4v836HxjH zk2l}!egDSC^Wv-E6yL+UeD3Qvzm=cZxIe93T2Exeh$Om|na`3QOajtFrB^a+*i*BsDHu`PBDhANOYBtrHl7^Rm$M z@pb;!e)XI08?^PnltY#S55`nru>SO?A5QjX>H<=UzW}1}x|Ki2eb@Kd6_7qGvbVnS zcIqN*n%Et^z`8>md7<9nL7BSjb%T_D@w1-=LoAPo>EO~;da+(t8r!vmTY9;6y`Ghs z0_qtZ%j$gZz4yYbcJHQC14{%zEpkmB%vFRR!eYfbs)8jXj-8sq9OufbO6FLFJp<}A z#HJo~3q#Ha(*}Q8wPwO;KkM80Kv}T?>1ixcvxZVk?(sq&*S06!6=Y9DN z6k`{ZwQ(^*4UY7`ofOat1uCKd)qzI6w6*+4Ktw|UJqXy zhbtu<1x1)I#_KU1o;Oqb%B6lhTo!d`!DJQi;ynfyLHxAFm5jp((}xaDMKJVrys6NQ zS*rLGu3Kpw*BVEPn>Pzzj&9+(X=f?Sz-~>Hv1H@N`pvswHv!0)WP7+~KX#u}GRici zTyoFHJNdu9593C=`eXq{KrgrkpZmEPx!3EG9(zA{Bb84>(~r|NcVn2HnR!-^gOmBC z)oFd}^0X2|Xg9LKBqVEoBy_J#vP;CmdcRVVq3c4_?Usc^uh{_n(y`0!BZC z-qIdd`Q-_Sc}|JwqTB;(fGhdzeOWmW`R;F|s;T-lKXRqm$b%|6p1mBxe6{HJpZ~Le zaB8K#j^Qb>&JG@=GlLPcP=5U5KP&flq*{xT!T=``o)nUM8Z)ldIr)6`m%d(|Q_q4D z)haT9sHt-!I6=_LHrvY9|7RnlZELc&xIFD0 z?$y3y%TaRN>(SoDcnlBrShBI|&&^M3Q4*0!Ri|;g#idwCfzw0?mhN&-M{fWC6Xi)n zK~%xP?zDA&BXv>+haujck@oQLI9A?vys4`x(}9XNYnKTgjYYYIbi_b?h;wFK_}_^${Gy5f4Gzj^lX| z#`1+E>V3k(G5B_%wtb2=TTg*`jT@E(s<ZOo8w`Nhj#tf~U za}>+UhV!_>g@JQ_|8egdIUo2UUo6KE#sZ7-k|h8}$txvc z6R;!!I%9&`1>RnyOo_Do@k}1@l+27AkcOmJuY96j5@qH%p~|0Jz1N;6ok3pD;6Pc* z^xOUVo;*z6N;A1a2lRM-fTG~$^ZsxA#y8)GtlbcdDGFuHK0Om;>{l4+_B2q^HB6&avd3;X*=RhP!r_*9fg z)mhNxnzHS7fG|e_;y|v%;uhO9-m+m`Lxi2Zs}PkqHIGLVhVsy9o^j>JxQDe;rMzZ} z4B}YsbJZDUhfzx1$+kODpYMd|QeYFxQ?^cBj<aodMz-H*Xa&8bh^DKVlJPw{Q-1_=fDI;y< zwQPpb9MHx(HF#~zN1q&q4$ein^#7jz<<>tenmdUR@bJ-Lc@sjiGxJhQ{_%6dTK( z_k@2XN(2v+S>B{7vd3>ZnG926Rl3(a&G7kt*;kr7}rC>u7b^8c2@`6D0^>FE4;XJddk<>7utIW?eI|WScD%IB z3zs6F4@zh+#Y+MsnNhMO{I~q-m!>PPT%LAzqok=B(Jcmfo`>6l+Zfs5=SbL+LGI(> zQs}gtF{0BLoh8f3vo_T0lRLZ_$^YcvvnDAoWsOwzRh`L`8lL^Gd&y1d_~O6(Yk6I7 z_P^9S{~OBq@KX0@*KVXRf?Y^qrijvzsy>ZWdM)hpD~NZlh>%5DF%Sua z0I80p<%QbKTe9dCu~eNQh{qAc+Tk|~L_H9+c+Ph5y)}}1X2lth1`mOZ*o^%sOIr+* zf{mAG0VIVXnW%6Q1q`1SwAuLdFpNMM@j$@%@Zcc%D8wGjZb4qJmx4?6MZD>{z}d>z z>TQZ|Ox3o~G-MGxZ=^0KxNa8%8Z0k(PM*XYi}DNnLNgSR(1W(@#M6T?G%1Yq=_l8M z>nTipFm0^$_ABxkgDPeYEZRz-I08W(kcjayy!w5|wD#gN{g`5;@JwJif8j#~EF`#Brtr&{wBqOr*7N&Y&h*z#Kd5^DD*fN5-`n~}(|{A%6XO%{&6#p}bnr^H)Ghu;p(RU1snjA!elwii~>DSPPF)!YY2{6Lq zylFfwtY?ypJo;QB3n(ZCQjf=V_!2#!E4*BM9@-NUwY;Dr3@K~KBq3S~M*DaY%9q?{ zm|E;zQJyF!A>6^A-u1yN4~`58v|mt$Txj<&?J%Pfoja~VNOv_nNx2^#M}h7?m~5o$ zcGR+S!`JnA(oTuH@_I!*t z*PH>cr4Hsd8Q-u!Z$mj7;Zxs7B9l|nvwRWFC3AD9o{zkAKtJh39(Q%m@0IfO#VzIK zdgfpJ?%zMP)yAX8gu>!<807Bnb$AMM|KT71_bS8(AqmrrLIJi6N%QMozC!f4HvqCo zj&=OXl~?<{lvQqSkXc)PR$TfIf zGrK}qw!|5V2neC)vYy_3_bXNJaOaI34Od&DFcGf=4q+v~!AyBPct|Ms6!i)d-f?K+hmDh;Aos!qm8m zcG&fJD1oK4*>UY)>se|`wVE6A38NVw68-PLZu)krfoGebjw85*`m9r{E>Gux-~IS|g=d4D z#sf^z^;;X@3v*q&cCEs4>nrPpYqWD5;j(wIUt!2WN9y&xt*Fz`WS=Igj9zKKJZ-z59R@(Z(edWWeY12Gk@1e~*cW+PkW1R7{ zzVelKbH6=7blLsVgV*q-9DRWnjBmNG40!fkxJH=7iK-gs9bVpezxq3Hn`H?v1cW*a zq<2_D`1x!M$J+(N+}V{njv^2|qXCXRfr-W~bbsT`w<5qhG*)gS<)%{ z>64?_(c%C37)eJ5)t31PzrCGD)7$U9Q*==MM>xmxdHm#I(K8|Mv{iYd_xGh~PdgmO3roM>qDl zW5hVS2@O+(U_3i-yPhY#%peqD+*Nt{nwMP@R3c}_nP}<&x1?Wo_Vx>|G3{Hw>r;8j zr~Tabd%)N@ZaNdX)ZdUIqGR2iGJ8g9XdryI8-wsL#?`}k_FI=;neN_lh-Q>!l*+YF z;|Qdo76FS2&>ANZYG@hWz$5~*upT+Evp+pWd!e7?Ec%+apf+^<7eD#)Y0gm|bCF~E zd&XDre12=L1zQLG|Ia4_;phGiHeC99KnJR^T?m82Xq|BUVbrIft- zGS$`9`}5!Z?ytOW0)zZ4go6+;?F(RTVjue;chp-IoQh{JOK7720ZMYt)85HZ`V!h8AM z>o?MYAqiz0*HJ#74Z(7fd3L5NBb=j0ypZ*Br3qxv*TTD$gJF0BtTRlw9#8Z1Z151V zp=}1=)0#T0&aU08HkNMsA-SB`8H*zJ!W7M#>R!(LotKb z-gG?`9IQQgB%XAXi@qWYO6aHGMJfy#2vM2nfu=0gVDt!;m4}1x0!*zb3LTnJXIAXt z!-JYUfkwP1IAY!ZucY>M6BND(1%L{>faoXSA?=oT_ z%|)@(LduvAO!McKZT&gz#*jK)SWX)@rj_%Tr}JyCOnc$+8h#y)WuXH#{Qh>l7Uf28E4LViNT210ydsF>qY&3dTP|R!(wiuKbTp>Fbm?Ld3nbJ0 z>M2)U=LVDNWU1+ZsxRfsYkD+TF{s}J^&mu+I3=^34g@=k9MjDQK7;jOEP;~yU>@&M zMBuV^!y;r;x0jY9FjLyy2-YjFUJ0>9NF>kB{hbmvi0L2}Z-pd6)MDJWOo10McixQI zbQsh4oRA0CUwu3iZRddzf>wmHv@tu&YcGsx^*B0MWFdyQFKn&VIZVB;+I|@i28@ku z?ZuiS6gRedpm{fzwy@0R)@Fp)jlztxKCz zU(r&^#whC%Oq67W`a;CUt9tfhFP7w`q6heH!GjjmzYryudV<%j2z=pE!j2HFvQsZ( zPB{#eIYZW%Ww;1GxuIe0xM8^${jP-~mQliDPd z&*;Ht#zEYx*>ItA;VX5ZD|N_2;2SeGE^2}j;|0#}Big_-y*BQ&q6rQ{d}u5?km$qZ z&{n+{goXwV7!CTWCK;qG?PRP7Ig?jqoaVh+H<)K< zQYQMlal=~AP;O{U7|&#l1NaPmUAp{g!%qRnfOMQb1)wZ)hG7Gj+Jzr^Bi1#c*|p6W z@K4i*rL)s=49l&H7gKJp)0(rZc)9@vQbsk)HF9$)ZL!0-G9|QvAB6jYkdBghDZfeR zdKTU?t^SC}Unl33_*T~tT`A+cLJkV+WyWjuz`^S&O>W!hKQ7SA3>liPEBzabi ze&OY+3uI>i-}j5ql1C$~ejY--Dh#$?JSwyfH z7n=)i<5Kl4MahYY8_zi>bQdPQas5W&#UO0DF1YM%f7Ih0)5j??p5)H<{ZcdrPK8hm z2%9lP7+5D|0Cjb($C6C-H$cl15RySKD}i})eKCq6?*;}!K!4kyb^G3SF`RrG8(TGQ zC0@R~ycRrDsswOareAU`Je2js2w^RDJf3$8Cdx=Z$tMg$(Kys?f6u;htlL1g%==j2^ttVDYSZ zu0-TvtjY_xGc04~`yB_Z)(mzA}DPf-6RX{xyru7!m`Ng5>d0bap{Ge=$l%z3Btz ztW}=`7IcM%wV&()m*pijojz^aSi3Ymjf_1y+Mh06d1JbG^^KZ1lGc*2sg&#t{RR)! zhTyxV+y^IUP2z-00000NkvXXu0mjf=J!)S diff --git a/images/nexys4-connection2.png b/images/nexys4-connection2.png deleted file mode 100644 index cbe9d6c1874312dcaba43c0654f5204bafae6b02..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 306463 zcmV(`K-0g8P)Px#1ZP1_K>z@;j|==^1poj532;bRa{vGmbN~PnbOGLGA9w%&|D{PpK~#8Ny!{8D zuUA#)jqlUjJ+~zxy^)Xv5^4y9&`|+_(4?p{qYgMYI*K%rB7zo(z`|9-w}ujkpn-#wRk-*@KyfA8IAx3$+=d+q+T-^knE@wXcr zBc+s)b^RZ;Up7VyX(J`Vgc2JY8)bBKw2X|5$dQ6Sn4kWr%8FDhM#}2yYMC4xcOF<* zk@fX;%dvzp(GKzIfNo_>JQY;_7-f|Pf8>y6Ue>LY@$qqmgO6%ZMn*%Y5eZ$>zwwdK zV?@a+74=bg1D=xPqU%uxke>({QJ(PF7<#Q)M}VFyD=SK$a9-*bNPiIUp?peQT~&Rh z(1>jxpgyPpU*w_V*vM!nBXuN@YGiMu39)U*iqXwv!`J zvr+!aZfT!ERQssRhT0(faoe3R{DMb-H1a@Iqk|+@y^x<7eSb`lGm0G5aii9QB4Nwu zJEp@1wVYX2q)h9Yv`s$kN;^efZ&%{@*uuV$<=T3LO~&+Bd_=He{p1v;4?#OQIT@r4 z7%SO7k%jGg{jggT$FH<&@Lv@iB5v6DJuqjB}I45tIo(Dwjo#Iqbo z`RlcO4@p+F!*0-r9(Ib-PjkFjMr{|u<~gFVhOMM;$|Sv)-M1^)yLWGS@k?G-78e)G zp+gI%!=@7x6C#a%Ndmb>B!^tIpjV0|Dzcx2 z9TSv>hZn9$szQXU1aK!;$IlL(OkB9e@v}n%(r~O7NtbYASJd?+_|%S=aTX_dWcAFa z#>WU#rpm^xt;J-R&hm$J>K3JrYrthZp+_fxwg<+pa_Xe7ma~`XDiFTFK-03~hD5%3 z$$smkR=O@-z|Tb%`NZqEHUAbdL5z8zkTa&Z7f!-;5($071}*w}D_;eYCUxrSWRal& zgO5DyM!6Q9$_=1v)3dD@?9#NCwF$DE<t$T=F-@$q(`tu}-Q{^d^W<{B zd*8dP%Uw zb+#9hJ~w1JM=;;mcUthUUMfpkf^_1zld5Q``ie4EES zH^#(Xb;Z_+kP|XJX6vG8UtmKA%n#ZBpoJ@oXJPyZL(^9vE7~f}pvhf7Xv>e(K|+{x z>Ou!ho`6Z7U7hPG{#n+$Pth^)rGA84K$l3KZ5m-FHsyAFbAiiA*cj#O zlR(PwAgXHi`qn)0?&>FfQ(mT-ZykIs$Qwq|449-Yh#>9p{}^>4%QhRpP#?43$RP$= zeN#Wo8vJ4)6Gld4R+|n~CO{JO(QkSiCwl%zmTm3PvPM?+O|Z1Ipi{wA**Sli)9%{; zP^V`;KJqi3{R&;uOe_zd*L|50Q%Fq4mE6c>l31YNT#=l%E9u8$KIzw#LTRemM&U6N5-i)_6l2$ zk7=x~FO~VZ=`yKmjjFvy-4_C@BG z)`Rf(zyE#5PdGvKCQUlf2gsuweJ{qK#<%ivAJtp2k;K zG%Ne$q_Xhp%LKe|sZ8h*S{eh3Nu*`T?)0a{YrXaZ$E$N(uJ0Sj>1DU4x~QM>NS6+# z+w8=ZJ{ZxWLfnNLK*B&9)s}_)WZ1&#HHkbFRX^o2W;!;eN*Q#50kD&vNsK4SzoVq2?SQtV^x?FIKnwLlH zlm*zG^_{TM-5n!9oUQ?~Q>O#$Ap3BWhi$X7WSTo`?NE*fQh&hi*MlBe8VzZ$825e0 z>}^%`uIyS~lqG$@u7dNM2|Ll1)qqdCkOq=xf{8iP#%$ZciF%m6M{k2f(ub(FEPk&% z>9j?wXOs(?^@)Eh7oG7Yhg&QzUr;W;;jN~_9vd4smHVFY+%hwCc-nKonRtyQVZAGx)w0; zV8UNoI^?lCty9q2%HeX(eRh@?{?ZG?r@3k&Rk>Y|y3od{zb8?R=T-IX*}3_0>2v;b z8QXZE`Zt%^HTO(#^@vkd-!F8&^CUYeo};7dWloJXGtCxt-3yg3r>?Gv&zfw#yS(uY zzpOH`aE09Paig+7KBq$bv?rGh>0{B?!;T<*XbE#VX5q>)(bqA;N#}S@ib5_H3LPkbns%nbxNdxmN&?Jc%-e8BKhEF zc~DJQokp4V^Br+iBG_i3zA(zl91P~_TDVgW4Ipf6UsBy?WIdl830i|d%1&8yeZ#Y> zIz+QG1;`rJfbk$y#p(QsmXD;G#hCALNuCzWwi+X0+jQqP#q@etuA>OnYzg*Z8MjPR&GEN zX@ji4>Fh!V4m}zC8He96s6T+;5l&?c>DcPDnZw4&!zIr|$7fT}Hsq_E9GA+HrwVqm z1A}}ME&skf)sI$xqI~U|%gU}exO8CA0;Y9cPbuH|{^!bErtFum`dm#7z~2iiWf^Pf8VuWJ_W0kUp!*pMg(sY9&!o+CKuU5T-(y!k zj!SvyMtGP9aCk$D*2c2d5ym7}9>inh7?oX;-a3784`@8b%t-3uaTz?=)Lr%6+e4q) z$3ZNN&_~?m$it~Tmj=fsM$2=b{in*jpZLYeXKXAMt*i&-@bg~W_{4S?9a%++FT*k9#TT@$8Ne$o!) zHEjl@UDv;CyCypxfPhzJP%|l$u0Z5hXY-W1|jI}`5OL4LYS=L|AHqXp;%Kl}S-b!Dt9uHLN$OcVe5iDhLq z`ZKh1b90)2S2eEVp4NtZ#sViUE}3c>Du4dxza9B&OFqeSku=rN>CQ^`;xWfUYhdl7B!YV+^YD<}sngiaGH3!v%(pZ)j0 zD2t0r<@aCrTK73x4RpJ@rkOUOfF@M#w}km2FuEW%W9>wk(8k}}6g9~D~{-n`&CF@<|(xx<$R(^sX+l>6l2k zFoEwhX!#?aVAy7$jT3I2)1s)+(jpgKL7P5|mR~w|SZAVWbwfznZ9BHxrcP_fZ7cEV z+G9wCv||g^en4F4A2dmu`X&D(_-0|jwwJFGj;GGi_HpU}=2`Vg{uvI??zQ6$p{EJ# zIOdJ^Z*3PXcuNJ&leuVtT-eZOg2h9c;#wsCrdl1kydfRH2`B%P(}!IP;y6XR3rKV0&kF1OyY z%YBJCc|>ECankD4%hW=z&BeFBbDd5{GmbM6GiF(|w#?6#+ittETyyo8%iVW>uk7D< zO<6d6v(|(CWkm}Zi`eSQ;j+GbxU4MgSNxzBxSPw(H{4iu-?rN)OfNtiQ(Am9kI-_X zaYAJg0mM@$JLRG2SUt&3P0e^i_X|Jws`5L(^*54poEF1V%T2f3+07{Q&W(}Da>pH# zwR%k1f7h1s`Okh`{T2y5;D>Xm+_=^`JWpTUq9rPv7bf{`SJI%xm&?m|eVcPhwO*kT z;A0;#@Tv5P7;{0J;Pe(cMmXCoK`c|uGyR5t`leHOl!pz}WmIh#_6kFn1&0NJ7_6}X zpi*<2ZjE~7$8Xr;(-pEUtVpw&Yb{=X@lSsXH#$C1UhsmKXz@Kwd2v~6MJIfZ zVL7To8OH0~`wuE@O7W@k!4Ljxx#G&}Rj=4oWt}Y>Von}T2pjle3nmo#ZJUu7W#r3} z>)4>qAITGB|KRdyf`u?@*dyxWGra( z*p!nXo{rAZ*DLY^7%@6oCsR77<667JEodnCHg==a;(+rQBI>+ebp&xXJ${C<0dl*B ztaVDzIl46T6JK8rfxjI35^paP0*>gukW)J=&Sn%%TX(041|HU_@=f0OAbBJ*J?n4& zl#vCx4MJ`AtgoG_Iij{AJ$W#ZwDwK?QeGW)iihr<7Nv^{s6C;jnTQj|nouk>~nX zM;ye&ER98z2!3oJ=*t^E?JQ{_I(T47x$1|bI)!Od!+3~Ga>;MB%uLUj$JCS-4^8Oz zKL4EZ?eAW0d$XoNmkv2cD#Q% z^Q`lv|KW1yopU6`;m-=UPPkB!65oXj?Ka+#i;EkFH}zp0bx31xYG zsjO&BE^F#o)dI=8W}{l9D6_sXRzCcpkLlDoR}L#3+A=a<{^4!!FCY2f$3m7|J9hcY z7|*dxlBe5j951%ONczI&_!c(Y1nFBQ=9iG%0HsZ8|)7=mN*cO}?gS;+dA= zEDPv9Ao;o@X}~0H)-l5%)B3y|RH}sr+VJ8NmKa?0a-xAI=&(cZ%yI?`4jrrV2V7AI=!EYi^oyGxdW&NnBTNMKY|}p_2!!vygSnC-rOt(WE~Bt1_G@ z_;eSb+{wp&$KhL-DG)9;GhvT=?u`7veXHLi^|Wrw&n;iR`t$BftD2ni61Vd@TZO;V ze`~o0^x^F9X^-ri=*8u>BR5$;*xt~moZzFWQ#|Rv=t-RX?j%-qXvYaI>NBPjSKQCJ z^2#gAp51pjoHQ+nr(E`qv>OW^{1=xO%JK>)ui3J7{vPrrU+Lp)o<)rL-H%yBrNU2LN86yig1bW-~Z$zLtQug#J9^dA+7GK%ZOMKVE6U@~qB%1+!PXn=P; z&A^PxM3{29D`KS8F4`-}o9}iIMWL(&EuD)Ly7+QoqeF(r#(RULt~g*BEi{mQ%5Z+} zFnXG9LXacf4{I=?nGe%*A{vE7%r|sbmldXShn=#qdwpvoxFwyQ)D-uLpit zS0+oj;1{kdj|G*NwCwB<+3VW#vJ*LukGQOf$Z@5Q5vZVOQ`{hzAvQS7phIh+%0MnU zSqG&7COYdU8LU;f)G_UbpLJ`?T0!Bq=E=ebo$!TBHlWP~Bw=|*^vihWEwE?TpVyGE zu1Or#yue8@fw=7|a5*;b#{u#J8)e5y4|nM1?|)`MAAnA)Oa?VfdjWV6y2zCp?zT^}!#<2tGF=($dOTej>h$DeR=Ip(-y%l!OY*|~j3*|9^Xn1g$? zpdIwwz`_BrE@LeofEq7f`qE|PvM+wFTzl=c<;ELtD0keo+xl>S>Vy+cEbGhtcmTZ} zqwgosZCM+||NL_=RC{eLE1F-_tK2WCD_2`MZQZWLnMDgsPE42YU44U2T2v!WaT>K{ zZIzavR$Sw{^1vP}8qp3apmrkHtFl}!VA+QpmU=#=QeJ4=4c&=Z7cWK?oXQx#3Z?^n zU8y&7Ehp$Y_QltyB<1>p334v0G;HNLkp)AJI&}H4LqGD0RN-gzG>;~;60 zuBGpN390FH65=#WTMoMA)g|R4BOVPlWF#hOK1&6li$#R`!8aztd;%!T;nUE0lqTMu zxNHYGF1@0QJFkkS3m`M(Cg0YUnHEU8!F<=B1qV|3LD$o?V7xSQSBK;QkPqKM**!K( z&ot{(v->(KjH6#ww;33~6cGpUY5Hdcys>ZAADiQn&Zl!ZPabYV@ga+Lln-y^mR+~M z*!0Gh2%A>CMmCOo%CM~)PZPG-bC5}!i6+_@DdG3_AFc;Td5N>oGMZj(K9Wo?=;0Sy&Dp+E)%8*jMhlb62_Jj@$g%CQd-36O)}SQvM(Y z#|-u6;S*lT@O)IuvN@%HQAdwy?b@FIpZ;@fB4jr}UEEIrYf+Xe%U_a_zKO(eXS>WMjZ<2w5hPdQdF$9Iq}A6QYl~QK2Jgn)Kw)&0_TJ z3}5y4ARU6A(nNLTc^9P9W;_lfs4TK`=VKk6TxHA8aQf@3qV44Ne(t8iLB~QmSf}i; ziOxpm5wtCQUr5QSUBsbPFv?Ii%7+cZNs}&|@>-e}<~1Z13y{{eN2RIJh~uNPBB9Hm zqg%U&ISy^mdvTLasY}!knrK%gb;z{DfUSn?Xe|FkS*$^DrXR$REQvhxoR>K5XqcJ* zL=6Rac!SeU_BUQ!4f(weyo8+^r(ILGPR|C!zjy5mYpj$9p7uriNlRbGUWv3ny_LLl zq{l)}-E#bb=yxD=rMGWrvEhCVUyztB+qUjhO6Z-u;u2VtWsv~zXP)8e$He4F*}w0g zzjBfD3&@j+WjQ1b6wvw3@>V>z$WGcc-+Jq<<+|@*Rd(NbOIcl6EXN*mVmaaX)62;x zo?CYAxQFD|2So%PB4eRsF1+xfhm=bm_Q-Po2Rx{pdDgwkyiRL}4=9EU01H}B2uw6ALGA^0_n0LoUb$ZlkBrb$O~R zFCTUt(T_z9+e}W(s$@caM3X)XTAaADO|i84kdnCU85^I9y6JLR&}^<-*tWG!gvrG* zd#&f#PDh6MM&0m}KC<{bh|EjfVgU_Z;o*KzZIw2_`9w~ER4#o)vKZB9pDrnMj*Po9 zq)Fj%2_v3w+6QuC4O$wQ&XfjAWf-3rI{0BArEv@-O^pbTbS_u%iwVrhwUY*h`BSuYlmlVgD9FGXpy@DVGm&FY{MKb&hKIqu zEG`B5ojYtT8Kz}dr+5rnrRh)SD2IHNNtrDuN0|(Rtb3;m>dTQl>{KdjUukj4C;dTe zl;2RiN8^6eT61UE8j?&VbdY87nXI|rG%zWOCr=Qv$T1#w-+o(JRU2%Xnbm@IN;&C- zv&#I`&N4H$#S1_@KoWfLeex4OZ?+|4d38k-|CAQ9*>dtpr!6bOUsMp`fKklfBGkXTYmrd-mLVwa^T>S zPGfuoA$aB`ZJ!3kCwN8J={l*Y+M1`U3>C{Km7ybTbWQrGu7G$AgfAp-Y|A|hXp#;s z?AkgijGwnWIAytvNumz`%Jfng+A+R@cU>oHe@L`p?1x@C7MRXmk+?3|v*x8_=33Sd z+&GOOJvj1nOvI<$q&VPcpj;uv$UedlLOJ_#E31okYic+ar}iVFNrN7K&#n%RT)c8T zT85B5^i&F?+i2)6dZ21LOrvL*a#=Rf);)k8_!vs{Q^WA&An$5;p~DVjvuLaSJQ?Mv zdkGC5{82+zklvC_yt-^81{Z@Rc1)okc1+v#sCp=ESa;${i;vk@;JUA2V6>W-bin0A zoF^-sQcOc0eC!%~_T_sWa^Xq->5xl#Y}6ucoxGsoeirw&Qde}xvH8FjOlmwujSDDX z8}|GX#Na(F2R%YJ${~z&cK?Ir0UI9cUOOFePC0Qx>zzvyR{G*ye?hF`y_)Q%-M)#h z-tglt1JDir!@7fP&#t{|n-I^ok9hEMyH$H(r&0P~Q>W~E!OsGRCkqq2fF!DnCOq*(SISdQkJ%Bv+WE zzp;DYzkhGJb=QsM=9_O&*=x%+*Irq!x#p_!&2N0WTz>f#W#9fi{(3wQXHXAmTDEW7 z>HAV4G&Nu%mQBVtjOKuO@!29f zXkJnO!xk|osEx59lcL}gj(p22;;%_N+aW<-VtquL2-~|I)8t3HV$W4J8alhek2G9t zY*a5amJZP`YI(I43sG($NKKv+%%7n3aKZD0qG0?hXGlw9_ z(nP}p8d32m7g|6=I2t@VJ^B4W?;YIePq6V5YLuf2bz*d5Hj`4zBl6*o9Ck^3#6=xO zjVA&*^u$p5kb(WnOOnCM4&OJ4PNN9yg69VQ)d8pL`uX#kyaGr$Ch51`2~v`LR| z9V#FF@50od=^tcTltZ^DPZ~&m31qc0fbA-tY1huyiUZraBKaoZmpVAFsXGWCIlXPy zw8`OP3po0l`D0(GhV<bdr^Z`&K(l#a%(jp&^kLH189WV;m+e%_ z)BQJ2QPD;!=(J(9vI%=ZZ_w5wZJ+%WpMRy??EF$LaN3CM2g!}i^~__%yXBQ(`Z;6Q zW7#`(%?}eiu3evcYc`0$I@W%NpK<6I>-2BpZp&(0ssw$`5!okJ73VQ{=qVeQk3_2y zOG`Wu)%TTjXp#C%XfpZ6*S{rxJWj7og9iWTq{h(XM46qN&`Igea`n|;FV|o5&2sZi z-zvA6V6sMQ0d=k&laVGzNa{4?*ImM?jtct$jLL&WW@KH9 z5a}30NV$LzZ_nfVMZRXDV=v&Fv@BF|ropxKZ;mQ(g zL6e|HWW%H516T1%m;BtcC{OzPTgF)0U%9;mtP#W_^oI-;hhfP`d2@ki0K^gJ?{-GML$hv;*npfE8 z=6s%9QvW>%2Ooc*m8_5vb;9=85ci<7FYerhr@!5L4eLbO1?N1c#=cV?a^54#MfbX- zTyp-S%4yBxi}$|&FV%@c_4dT1vb7)aa0E{T@}+oqvxu;ukVn@hpRzd0u4*#p(iuK! zY)o0`D7T=6fT#Q@$IbrnNu8i1%fB0@IAxH5qbxOu7Sr4#`NYObd_g|w!am_=I2@Pe zc?ct_BQBbl_wT!_+0OW^VOPQbDqI%JK6RJ1%)d|J-pw$PUm(SvL(~Y-?W~?k8KCJE=d~vfXPoFt_ zNDH~hV@^T{vJe!DPxe*!^V;u_;Wo2A&_^2s(rB}6!%iRUrgniX_tX*Ubh!~O_ttjz zC1AN#*#vr*SDERpI&#`Le#eJ_s79I9(cLGQIxzt&Sdp@rbCOq1o-N4hXd8Ldhr#0O z!X!6x$VVT_v@SqccCZbq+##7Q+VZK#5m4vO4xaXEkJg}-0bSZ_kT)Hm-62)^je08O zw8U^2mvw4o4#VMWSt7!95Zi09^#pI)JrD3PY zZoRUjHk-kxw+Ps^br?qK(&o4H6Tk=@gj%S$#>amCv=0JG&aPOQ{(mF0p*ED^!v~Oo`B12 zECL|)19^H)keB0&{g_ylL;DYC@mq0y=C^P2$pt{u+HQEf;drovI&uGNd~DK-?6Jq( zqnv#5$vPn|m&FAwq!MNNMi0DeUk1%F7Ht{xZ*iV-C@`R-?NWUlB$3a(w0P1JK$B?0U^s6$AHK9mxE`L&S@0zXS=2H9 z1fpCI+Kz`;fOSY`~VTMe_ZT+v>w;xF)Q6RDU zuZ41SOf9>yT=wqUT@EkocRVJ}utm-rU;gr!%QwF9jq>fwzf-Qf>bu&M?1>9<8iU() zV%xgySktHetu8sylTVz7Ik+cAn(flq(w|0C*tZOX^s`-;mlsw4W$SKva&2F20l#)3 z#9=$q2w#8QHRXHXyHcBrn?$2US*qasc8EF{^6+ypA)b0UiF!p%>Fy(y2C&$O zL8R4q=HpyWXyfRN%N8aL*OtRYo=gA>KDO&e;=OAPrmU%A?HJBX)d^Dw2u2u5l}ua8 z584otv_Pt801l?3s+&TF9aa?JCqHNc{p1hGPY00WuS3*917d;^)M-E$H{jLpYNE!i z3wrc(`N{+E&;}Ba10@60>C(jwSdljw@f~k;Z;=fKvW|HZw$nY+l^FF1`WC}{0cAEz zZ;^IEqMb~xQe8Sc;!<2wH_|Fv^LT){V6-d9&5wVTK!juu>qJ_*7Or!X*2-xlVFlYZ_iq~TPSQiB_{s;7kHWyDHd-R9VHcmGgE+O#oUm)BwP4d$IT z!Rz%Hr0wjM{X*X8mVa<~4`n1M`yg^Wf$SgrT6_Xtj4z(xThxhyh02pEZPfAT#}mkK zST1;whGUVQ7@zTdbGL!g=-0@wEUf~jS81w|WydF{EY}O7PAYuIk9zRHg(_+}3Cq{S zLs_u0%7=323*Y|aVUEaSUePIZdRmL%vKPQR?zp2YFRu8~EsKmNViCbF^cXF((|n{i zPCUy?t9}gr?z{Gur9~b*5kt{;U({DQ%2KzOqv8aL-Yg1$9|oNmjo$?G3(`i|gZcNk zLrlL1RA3r{nm@sdNyFNEnj*K0P~D zzsQ(}(4HE(q0M#Tz9W%e%gcvHZF>V29iJ*|7)hV^bP{bje;|~>LR`*I3C)>7QRe*#uDADch5Or;Gk7 zBXxy0a))gKGL9wNx&g|;(|nm!Yqssw(N8kCnm}SZQ6+xcm<2# z3BO@o!LEbhJ74s+sr1G+i9OZd3?tT`amOUv*JS|NMxxz5|6Yf=#KCZ;4+38WAi4BE z!Lq&X_5tI>m!+iF{Onwro||=jaJh@m`cVe@jMJ{5wJBj_l84#gv05i(7B$N9lO`*x zOUjSMgSJ}Jq6sZ-LMOzoKnX&@=_0INsD+9vm1M^+c5NQU~&F%Zj7{^V_!h zR~z`%X-?FK4jkz6adcS1wB#x zQQoicNy`Q&8)eBvSU>5fkT9+c#-NZxpIGd|N0^Z#RF1`r^2x)3YlDFHRMf!}qmHum zdM*u-Jfdu`7p^so4UV0y91AX~K`)K%x07*VxFM1+vNJCmH2rq)L_8jWg*%QLiH6cs zR~(o8*z0(gK}X{ncvwor`m5cI?~N&(mj`7GIN7X;47c`V(dO}T^O0@y{s3^W)*TSX zCP~ukN1y2PyF3M3$F`aD1obD{BpHaLo6wwo3RU6U09anFd%9MSR^O!U$|*n7m^3_b zz`oieo;UM8W3ZG05w5%t-nE zHJ6#Dh3O5-GnrL=hd^5P!BQ@(FF!{*Rmxp$Jct$H!~@R%1J?t`DTjq*Op}@y8 zYvSKg_UzkR4jfvD&9-%k#RJ{b2H_YVd|gNN)#7JL^?P)sj`8U@bl>p3LGEAiGd3*j zAU6!qlSUn4UZ{4ivdB*dNC)sm2Uk*#^4oXrEHi3nKKRQMjH_!r`mQ=hQ94WwNK`Eg zpKh#GKV@auaeU=FT@KwGuKAQ@L2Gqy?Zs22lyEuG`&XoiOQ&`r3<-h>EsBodq)x#W z#MQ0TSm7ME;Na1&+HrcIbB9tLWCVMaw`qG-oF3&>S&?QuBFQJ{lP^B!!30AGScY1J zMWQX<`IUFeClGd^!Ti{$__Z|Ftvda&aq+nV;A_-%;Yr>pCv2*TLfP$8gPMglrbsdYdpUS%7ZSV5jUliJXAsZedr$By#K8Y=4aSQs1G4Ufct{+y61 zP3qn9ZFwCH(5EdDt$x&J7@bU9rAzspUh>Hbhm~ohzFpcr0P+$La9KI}S^EVIkdHMZ zmxUG1v6(nh&qP}v+rP>^!afXW+N#p*IR2$IqeoP|+FBt$6xHE_{_8wB`0!AIfBk!W zqCED8f2f>##_1h@|CpnEPG+RxvKy10FfDp1Gx_3pnhs?9F)?%bil4<#8EoR{kE=X^ zsR895OO#IgbwU7~2;=f)FyR6dX_R5|r!A+o5FK7Ps7>Tj**d?iY~8Z0Oiu9)!ZE)H zjjVAkmfQY?eQuZ-dSFw{4obfS{F5wKi>DfQ0D(rj!rgbTO!s zhJ0M5$0-Yk4X(K388GbN2Un_{bHJv4sXKoA%gGm>b+OBU?tLD)ohJ@{J_?>`A9$QK zA7MbBM&25eBlSCqA+wIXE^(ED-9Hao;H)5$4; zbow;;uS&E@_kBup^y@NRY;EB?nffwe(cgF6&(5?#y0X+YZn-RD`3R>C?br zr{Iq+lq8M1`VyhSjIa@PHX4eZB>eo961b*KI++2UPV{4?l2H?aPQbSgk``$-T@0;H z!z#;=uD_-MS#LWQG#Ni=fH?c|7=u*hsh{$oMJD_$qfV-=P4YA$hMnvL{4*_oBor6? zojiclC+h=E)-Uq2Kw)$`U{ZYxV#BpA=!JM;TeIuS9y;ZUoc zH$t`ws;=ZOE+7Y)t7Tc`*06&nRWTF^^BKR=EIl{kiMp4*_}9d5SqqzVV{wxbwu$6~ z%xL$eg~hVYWl-LW61Xyx^AIN<>U-=x_-+dUQ%4P?Ei+fhRQcT5Mn~vE+%=-2=!(6CB$-a?HFC-u0bP$B1lKP^< zUfgI1R2(Xk&PJ4R+s+1UdtWU5!S386jR=AkN^w>)4*p!?i6d?{Sjc3T6xVrsWPQ1r zn_pP=4pM%5`OkH*kyKt~yhex~XIm)0Jw5~LCr66*WpWB(upxLdH6QF0VH~BRj=jAi z-orcvo18|i?OvO}yqT~y?(C==0Z9k~A@5IR89*2sxD#&W63%*#shs-&+2~yPw7jw` z*{K_8CimdO9f{$(0+&Pnky#C_b?uAv{0O)FqC9l^TkF!d9Wu}B>LT)y>35Wi@BN5MQ8E3e zm`RvZBmD?I%mrGW%!d;f>8%~`DMNWK#bGPT@zo02J>@LvD1_s2d4Ba888=+NE96Oa zWQ+ zU+d-2A)ShrHEu^|%f!r%GC6x}Sz5oiy!tgCEwB0Ye=fiA8~;#V^O`>^lRAklX|bhW zyS}Qxb>F|ir@}aK$u8B8vBgy>S(^>3>P{KIoGpiqSole2A?75QHY5+1$Z+~u9>1k` zFI8GBRLH5DidrTRJm?Ef^Ck0e*-oHsGefk5m`~XZfcD;0(!rDYHZLn$eiH`J(#O1J zQcezD*ly$<@BK$D_*E#36y}7*HP3iNexyvW;wl@%Gf|3zTqwn-q5wSd^bsy906fX& zNUa0kyGYT&i!4&RIbSktP^F8?agZ!XqjEH0qGMm7Wl=Pt+mWa`>tum$5oV!I!!Z!y z%>)*Jst=<<(`me0i`=eb(r^{z`AQufQsJy7hzBnMVYl9X*fZfeD@tCX4O?c7hfiBl zF7#kh^B%JFrD`o}On1w+%GP?XogJnWlasLhl->e$Wj2gK~m{ z>)SEwF(|u7_6htf>#B)2>`9kZI$3=03_9DT(hY-_v~Nao!k|vIjQT)MJS`u}WnXSV z-$`2249T{(65)x^yLvehTn$=!8#CaM&Vr z#!(qx?o`_|k-!^%<|!c4r>L3^{PA1qxMl11^4#bAx=KxGk`hBdm=KSH!;^QDD98OK z%jERNr9k~%^$}W*%P?bKo*M~>A-zOLCPST1;q<&ElU{Nm=6oE=o#x#UlwJ_ zlHMyzTJe?_%flZ#SAO`hyjXrv^_VCh`}ilzd*A(W%>#3?1-8-BIXR=najneF&G@wW zV^9CF@`+D=O7U5hiIT9uCJg5hebhf8-B#sSjTlvJ3Ci@ad+uJFw90m>< z#0F0>IaCaCHIziLx6UYHMj5xJOvdCS>0Yu#OQ2E@kn2!cCVHLJZ8(1!j7(;|z z0Uf(8Sg9{G{$xp)h8#Ls%eSf2BGW-}h^5!GxzMrLn8+ z==_lHm~=@O8<5Z^^ICd}Fg9S6dIyqDf0fs#gPup6{)6slNLzrA84i19*{BEk{0-fK z`-g%@)RVp~4;!?uBbI{)j=l@6oxS~8eX(oA5a(We=v@rb-!0}Cj9KT&}SJ=O@woN;A1<&zHc!SznZ{h zK9`ZL&4cd<((#2=P0$Hw(3#7ByfA&>z<%>2jd92$-_1(4WHq-G0pXWXnZrdupBEp#E-W>PGXe;cJ{e#~tg9mZaS;Vjx<*`RP(rkOV zq4FW#(7`qZNfi>^&iWVn@=b@c+*qyysc zYVFY@WQ0zHD}Cc<|D}BDgnf>NBW3hy?fnzb))BOPL#N)~37qTjaJY=>H0Lj?3&_=U*M*mt z*2}4DNoDa7<*N|#ecM3|w6>}-%GipX zmrg;dHEqo)(U-qYKfI%c&(ns~ z{kY?H)VU(sk4*=(%&ROyJjlSq8Ou6pCJ)LH2EFBH9#T%$p2&aPGKSLKCF7ICGcv$8_>;GOyb(i0>(*^Beh0b4uEt$Nflsf*=lAUXBzQ?mFIy;9)q{)LVD;MaX?yS-GO{21||+BDW3|&8<$0s7ijsVE)F+7E`MJw zVSZ6P>naP^NYGnmPi~Ob`bh`udneWN#m}cN>33jQ2ON3HC-ev&!}VzgZl`-i(gmMB zGx=s(%a1%y)*27+jVIiCU7*2{2Mym9?D-9%Pgf0Cxdbll@Lj>SUnk(pWJI|vi_c`p z*C||APv(`*deJvDk7z6`E-pDQv8*@~H`u0Aj6dPU$w((4f8bkX;W;}$QU2q9c)iw? z(^*r>)bxbMDZG%+4?{v1lYiJEY!g={7`vn~uQPUOR@|y@?uVRJtw=0tJXA!OFK6gX z$Smjl(T%CHys+U1JGRbmFI(oeN*|q)Mvf_0Uh)01sIuR`;TDyT&4A@`LRuj#J^b*& zXu0dIyUNsf{T!Hd?btPwI@iL|g4+9#(rSN^4*Dzk zw9{buv{B4ugMB^i>bPtGI{BoNuOM{TwmT)kQ{jZ|AV*5laV5(a%ij!JSOCXYg$|R6R0BP{_ zK@RqG7&Hw9dsUxW$d~rkx92wu%6Ip)D!*Yw`F_dnpuv^!2Bn6RPddsoPyk_0Xdq?9 zBdDQ&E{>Tuj3d+85U@~3hdvruuoIi{ZYLflkhC#qegC+lYFbTZB)4HZ56j7X(&<&6 zxSD=2Oh1g;bq3^QnM~{R`u_0$1j!K<|?dFcRl*i07?awG0~R{JLXFt0=_Z&-KA z;)cs4oUg25omN(s%@-Y*!0~Y{9bwX#_@Eu;k@3)({>&5=dXR@py)vHQY6Q-FG%k6H z4p}Q)wyOFf(;Fr&Mu!g_(4w(oyRB+5O??=FELOZUy|}#Oy6`}VPX~1@^0e-HVS&&F zTIq~!KdmQi;g{nubxruHiCm_KkKDNAHf)o)A0PZkXAuO<_xub$`o-_xjhDlVE3S8J z2*=C&-v24lvB>r9m6!7U;%}9MzkAQ#vdVo@g>lq57hImKM9z?kl2#pZBfsS{XqUmf0d(_N&8KYy;#n`SX_yn9=+ZeU`2f*n`+=4xe@E#Y zB;YWF-)%_dA~9p~YKode4XFuDt$=e_=}b$0m_rTEan^IEIublNtw6=URFrh{ldk+U zf6{}jfN9kMqI8tW@|jQN*nRuQ9MzcJVjxyQ>uM_AFL(*6nRO2r9SWne1LJ6(_u@$7 z<|IpGf-<2?q`@C~?p%taFYhOyBbNwBYjuyuAu6mZJJN6rf}{n=vh`(iUL0hgASYc* z8}9`*!Af1y*;Z;_Y$ z6B*AsWZe@P&-!&b*SaNKA4M~t37350JdB|nhu!z&+g4Hy8q#q5I3j)``KPSxBk99~ z&27pAS%$@A_>pPK#XUC3*J%m6IZs@?0F7;w9mni2-*{h3nN0W!aQO^d@({+(ojc8o z`&}FBY@&IZm+>)C{^~FOUWMj89%d%zRCk^VWUOk!*KoE>wNEEoyd6?|$31v#q!XI- z&y!^fv2uIClZBIU$rYG<*NgE8M;0(nX$N(xK^nH5n3*bT%V(9pcZ-eRRX+19zWb@aUV>%9 zp?GSQHo=YN%8koJb~~A7fhvjbMM@RYUo-NxUfVwya+D^FQAj6^!hQ(CCFDnvX`G@A z^QaNRc?5QO869-=RmZGEi#Xl%^+1k|C}5ggRDfv|w|qJ4On^M^7zcR+`Wl^*9Au$G zrdu{~>{IPV9NN?eSTChBaO*j?<{jA)vJ8l}sO=%AP}fGdlo75kYkCKx9Dar)9%y9& z@`lrxkQ127mkygW%Ai*Qxygf_T{UlKbM$CMK$~^Rc#G5*n$3`PqFmQ}(hpfNG%8J4 zFXBozt@LYk?-4q-y09?2Ehy_Y(FR2m8qU824ZSC(%DV;q*%Qg;b;)$(rOd3?a67j+ z8lNni;pCBNAZc2dr-x5`;<6IIAWS<@he4agJCrdzROJ!dLbidBQ0d9nUtOn zI8Y{SM?F#}<_sozRN(drz843{fIEc~Wls zj^#=wHfMgy*MU;k(4}ct%Jcr3^sCd1^FiF>>%U5`((*&6VI*IVAaCr$R??=6cLF*@ zJk{?ODCzG@hm|LiQ)m2ih88|#cmX7g%RE6RE-9kU$OecP4(U8x5ri4e)oB_zt;w!K zUBag+&s_|B!ZeC#DAN7Zd6nnl%CJ*9aW^F8g;6Eopl_8-T^R7Dmkja=1KI{=9i5Oe z(|1RZ&Zq|{pSs!AvVA#pgg6?ET>+iaFKuds(4lOA4>I!qomh|ULn@>_vUDS%Zl=89< z21xB4=>u|D0Hb8opYrew0zdi1i>lnrr#x)|4fdgc%QU;tkR#Og)X1?uS&yh&t6wh@ z9O)yE$$Y9zYT~pO%FFz&FA;%{J?tOt3kZ9hs9!UoW?A6HN^=F9)r_~NwN_Rj_#QlX z$PY`HH+^3dI`bW;6&^e16S}ejC$6=%v9j;LLRntnzLYjIvs!#dCbi&f5uL{U`dC?6)=5XE@@*I?YMtc! zC2HiT&R#UDJphYLgv0LKyUV;p>P9EROq@b}^4Ek8t((VlS}d*ZBzNI8HVS_u&t2`fe<{%6%8jj4ioUiS3W#dmnhJE zN~Mmk?8pOfMQC`snaZd_YX9g6NXn5XI^R!1mh(+v2S)w#(1fBBDP|+5v0G#V;d|Gn zXo^V$hoCXN_09$hr)~zR5e*POd`IU4&{|6wp}@Wb)L#aUHdX^++}JOY3PDZ*+$Ck^0Ev* zJQ9#r)dtZ2v^@0ThdUCGeem@9pe%7r+V<%vlO}9#Ut=LwF2xq*?apl7$ zDJ?*H^zI(WwM+;*qeVSiIoU38`o~3H=pC-DL+gW-!v=s$v1B>B+BT8)iSZiz((dVi z`O!~n9G~$)-%nZi`6(uVpJ66k#%RXT$$eVGiOHVDibbAN1!Hpi_U-0L9zLf579h&U zNkk`usqwP7v{IH<7G-CRsWMfzZJ#a+hjzNMeymzzSn!bQOHa&Yip8W0Kk`&x7EbY| zPWiA9GzcPF>26hdI2OxMFJRF0bEOwB`F!h9XUvlaxMI z{A57a5u={yLfu)oV6nQ!N4kSwE^KLkZWH(tC8bp++au^=9^)YvE~#cdF6S<_rnU9$ zAN3jR-SMDk$1B=emZ&cBa3Jz}IL^YtoQbP|8kc>JQV{~dn_Dm}W&`)zea|vvcBs6` zw*{@Nh(Z|^P=yG0%B%4Z(LO>6yvT{xWvgM+Sp!QJudbaU-IJv{b2N1Lbh1kBxOr5h zE=)#*bD;sGhp28T6%D>(BkBN_*;klLbdev8Gch5(3xkl(6TCd>!5gaDh=~ldVEK}V zz^*KIW|Gs;22!8kXBz$v@QnsTE)H9ygQj)R#m(Zdi$dn$x;a?s91k~)HZ2Dmb_e8T zd3=zvGd>K{Hs!-b{0Ei!LCC(4X!#ti>@a=O;k$e#NM62t+40Rfk{0Pvesldn@`pCt z0Z<}JDNQonN}|nv09>@Tb=Ec9VBa40TbWs}OiRS#SKCYByoZ1bJqCFUmuF{7{{)P+ zoIn}p?WBgEamx~r)biZ7KRzMiO>uod!;6L%J{E=5`ankaO+roWq#=_>w{hgbcTAHu zlL_~ee&LsXQ5Bfi0>a4wc^b#!4G+IqtU3_Rxgzx=&Lj^1I5kE8XKrIr@=qbDT}Jsr zzS4OGNW``&a~$u_5whOjXUr9US&>MZ1c{*3SfW?Ic>kX2I8N(AqeMN~DS8_)K)?_CZ&$!4- zyY&4HSfAvlF!N$wF3o&^KKaABSObiVKDngPDQp08y#;%B)`?ci?eha zn$a#UGs>4yaQW-SIsFo*qv&tfScq9w2gLw5r`7uc zglQ!F)Xn83$2MVMscPng!A>bVt1B*tqG<%Kr*DwY6F$mHzQ`FHjcd#<%daM)x3bZm z-9WrC9yBB1Wz42o30~u#ds6hkAPlPG`{6D0ab8C`PQ7-OQz4fOre^Z$W%G zZ5Sytk@Wap$R#6pWyA6ucm0&7Iw-+utz2J zlNW=>Itjr$WEdpN5vT9<{VC|MzhkDS9Ffqc1^MWieNdDRr87U;3I>{NJ#cC+Wxd0s zUX^$73R`!&4#DNf^Vl4!S4G5oyT-#&nXVktYkau<0h>VUC8RBgXIhrSt#Z$$@=c#K zz9R2&UEA3Y3#gvZFgdgNVuI(9Z+7a_(^K)IlWYL%sfhaV#Nh&$))eO_{MXjdeZ3sK z`+jA0WQt*}MML9Brz2lhQeXASh0BK0z15#Kz|QT?K6M@!-K6FMd`d6}qccA-LYxI> zV>RkMIyO-zCC9f)c<@AJ;Ki*LPD6bElT+8>16qLB$9lH*`4QxmrGTQ?0aa zM7#PDY=wDMFebT@)Y_```3x8B=(ziLEan3|8b>-ChyDBZmA!lJDtF#~hx;3fZO8~z zA=?Zv!n8xM&7G{V3XswXK{+rWlu9WcPZp96y&8`^cq%^|E+pi{eG-xzrPzo^;r4&6^d;mBTuKjWCy}XbHPo*D-%ehxyrp6#eIgKZXiXMT&}c=89WJOFJQk3JhNK|Z$5 z_8^>n7C!+m#_(_&yu8@RXE7q}m}8D9E2|6Qp>|PS7Su0jf1c7iys)Um@v^AX#^I&I zWo(kqCT-C^K;u9>^5x|m3yiy%Ohf0a(}v8zoC6(>w7f)_m(qM%70)G}wytqbV!ooJ z@$OR^bA)7YsW7UBoRAeC>yAhJ(SKd#XJ@u4TXNLbjyd+UIGw4WdaTMb9|Z`q;A0bj zeOi0*nXHx7Wi>$G9bHmrwXj*@R=#gE@Fgi}d{bw({NYez%NaLpBw;vFJ`$n!~6+x@TPVraz7HHIp7{ z&>D9mijc?Sqis}MH57|u+ew+2+zl#YqZ$Ps$;V-_=o&)?V;A9LyTYWoXr*uY;nN@; z>Y@@qW@&Lz;kvsE^g6b@x(Ti}GDz8=4MPXAd^`>xu%7s;k9PxdO6>GUKRfcVoq;a0 zS6$@1o6#_Z4pBH3+$`Jb0{S>TueWi6a5^>tiQG+*9%USLco@O2cS#bP74hb;(s1r< z&?iG#B%)OhO%gmPFvYj>D62A_JhHse*TK3eJ!pFeWW}nB1vrhew9)O_wXq117diUN z%dR?ITaIXLwW~*o#+{GwhQGP%T{|dG@p*(g5kyuSL?f?a}v{ zK~ zQ&!ALvJ<+q@X>ChVTXNt_UhC%;XF=uoYDZpn>iR>1NEWeW&-lY0r9wFXL3T)h!d}$qZ9+203S~O* z&_s~(vZ5WGK%OTHInpr>a99qx8BQd>MCcXr+w_dL^sS$b>&n+~AR0GUcPi_r0BaqS zAAXj{z@$;flmUo$U8OECIC$HH$S}e-=BJ#L0bGWKm^P{H(%LfhXv68CZGUPSh!RmV#5 z=N!6FtbUNV_?)eD&dNPa2{I*?t%5ld$u&i#VPb%B`i4T{)2!q}ao#H_d zrD;t2olh-#YfD-T7PUAm>BPumT~gR__)`V zWzxZ?3r7zK!4*mt`u-F5O65 z@YczXgA1C1Uef@5A(1+}ocaUtvFHBybADZHnJ?^=>+8JU>AK@cp#Ft2Q0lns1~act z5i_sC$Rd4ID=I!nKN0-G$CwPq2eoxm*83cNwOH!oX zSv2&F!41gw>8ptY;^tNJGCz@VJlZQR0!5f|zBgPqnEpj&+5z5{Pf({S`8VEtWBKwI zzpr?l%qDqlppJ7mUijb#mfP;QLnjp-Rk)m{)*07A!!Sb2WUMZ(+$y)L%x=-5I(oOV?$oK_P??=Q zEZRFY_V?SZD@^{>%(DD_D!)$)@xHREQo~?NAH}d zKcdit!FU^nI1T6ld`ZwU20Ld0KF~wQ#N_um>_`3)V8O8-p<^J3>t`n2e})ltfOqtf zoCN#&g==BG^PmacqRtL>Wm`C3>8&k6>H(7GXyw!8 z?jE%KGOR6v_3Qg@{N%bPR>mWj>=DzPQiJlY7?CcgVE}#DNXUeoTi3j%| zD0}a|tK5G3u5#P$xB4{|97AkW^lKZFWnBxynr19`aWcb&KEaoJMEJMxG^3nOyOE0xeR8pK(Msndx+dyOeqyxOXfsmdcKm3qL zeFhP6rR+6@|wUaw=>fWxzN2(w=OBGKE5K|$HB4df?Z(AhPVP@H}- z*a=y%js|376L{jNH!{1nN&W+f`lKGvWXBKtvQQ8RooFferi?@zPQWW@=T~hqyR-hMtxsx?MNlCP7+ACbj4+9WE;i z2g|-ax0OA+Zz}7{hc%xlZRKt)aCd4Uyi2E*Vetu+X zVrM++&ZR|7=J|nME;X`oL=U)oN8To_JavEKi+_kOl;)EM~he3 zgEo?l^xDYJ|48tX=`<*tgbASFMRGY|DG3Z?!F|x>--9W+L-&q zb6aM-S)k7JmGzC-ICLFOGMLY^4RUOSUh&XVyE!C1+gA)EGtz+Duko{wgj0pw;z#zI zgwx?&!{m``Uk*FPd=qfGr%3Uy^DGB|eBy9`xB4ExrKbi}Och4}i+4h5X(FZZ89?#K zXlJB~Va3}D0@<=twxt~|cQj<#&4E^f7^cnmaJuDVl!(;JeLW4G59CUZvB>wpkRg4l zs%YyXBFCX^9l#cJN_=R#@Q{N(dA8*f1M-f7;WCLVn+s0Mt1Ux1y0ow^_$rcm4a*^Z zH2r$nVIS)6NkzM1;yLNG*o;>z6CKlz@N!xsCuLNj!&9ug%b|f@exv&j62VP+Mcybw`DFo`=MnASIuf1wE2KAX<%;pmM#nk zvnb#*`TGkMnq>Iu1#(HJ?Q+ZzPu_e;c6y30S+EtxEz2#Dql3wk$}MX#;Ngfx)pSXD zI%SP6YGFB~MdW~}bYdG@FH6g7Wjm*obuLfF10I$weR!yWZ=`};yi)(T#Ew3p6M2kf z9xWf6m{ojQdQYh`b7gI0T776nOs2FpD1Urbiw$X0k^>D7gov+Xr0IwwIe*sQCoaKq zL}WX7p%JYd-y)pygG36C&g!oc)&Iw)rpwISbeWi9QI3gyWMo(Q+9&?ecFj!0s^Cgl%7Do=1*2d5&*C#0}t`8$!^AT?YZ4=>pKehm``JeVLvn zkURikx1YcQ?aiCUNG{em9|UB#gzMAjkq3nsRH~`^fx=-V27y24uS($jf?iWN{Q-tj zh$nKm_v4?QfM2CeWn21|Plgl3t%qD1(&1Hg6;(9!;?YU1%&71{g_nmi_gZuWD#fAOSeL({F5I-&Vg*6n%ukpuomJm|JWp-hC2Sl^VB}mI@uj6hY#*8Z+*-EEc^D}Rj&Tt zmF2eEZ!Xtf7cXyr>7W0ueC~sP=hjQLD~lyl3mS5^XMCj7Mb4+KOxAov4UUJl8B@WA)Ox+<;w@SMiNM|A#UYrdl^}2z9e8KI92s!LF z4DwZhBjm$}U1e*lM9RoAtxpga?~w!@4liM1cD|KU|8P9|NnR3h{|mBB(DQW6Bi|Rr90$mNU-YG3e#!>qFC2VD zL?+)GKKiSU&@s71N}#Pb{HAxlwuT2mom)Aem5mRtVTZ2i25~|8*6veGLs|MvmPwF) z#kW3LV>*2u|H^WYsi21+KBtQANj-r@i}&()Y(4v7p3rXc zESI@0EGCOiA06Yq4JWeY^1x@_WL8_Y9o4VqZ zFO}t0Es~leCbYot^C_chS1%@-NDm)ggiAU7p>HkU`oOQ0Gf%j=oO9akyIe{jhT772oj#WXnsdey6MN8Rvy3oBDwXYN!0k`8Sn^pYi8KOL&wBx)v-u)7?k~S;XrlsPUhR*eIPWFUK-_Ce_=BHSo}XY+xLu@D z9;dC4Q*BaV-D+cGev6iN7{m0vyy#>pJL)uuJ};1Q)CoU#-<*!s2b7jCMdpNSdF(K4 z-fB~^Ouo4=9IdT~k*7J((i09D!PE8Dzg3;8TU}^-ewMzM7d$qT9V$!Ts$|fFkhEhU z{i?tb@g9@|l+AGJb>Y1p=6G4TU-{$h`lYjIp)8R46M^Elk?bvpp96P_aEF8F7by_aB-0}r! zxv0fNbB<1bT!LL$;$D^(toLv#EahMR>jTQ0uGKkka#r*4c)8?~bIOx`;w|NDUpYLt zeCfL{HXZYr-}TbCzVJRTa9ZLU-}q2DEPH{p9sSIL>?>6BqYXJxLKka7Kd1E@bU@m0 zuj47nq~Q``lnYwgF%j{8F82vc;BTfSJnyooiDu;8|MYhCyXbr^Ar&Bw%Y`eEbS-_- zdg95|QgKAJbO|bzJX_u^GM(~tIPcPgOlJ&)VS|6r^s=EF=56E4XUD3#E{FuDa-;=VyB}3!To60xuxTNWq z*fHew7!mzN5j^z9^0i$TJJ`Nc$zm~~6UP0{dqm-R*Dqf6S#6LcuVIrsvo5WF@x346 zyoKHWSiX5@EL?5f(nn9i>2mQpbo<|y&)sx<*Dt8++$k?Dk2&>9m;0wrzu%X{D3cFI z!JqMky{FdxwfyB@eYKBJRLodzrmpx6KS@GI-W^^kJjlb^0$5p~RdH8H+c7M5<*>2Jbg z|Gn>iQ#sA_r9AjqFY=4>=nXwO@@1Ck*=dJ;=~EjB`Vak$P1Dlinjg`h7&%#G#ymeP zuY9{)@!`KR&rkm0@0Oqc6n%pCmCz&fnbe|m!g2Fj=$Fc|cidbqUtK9zeD}ku({h=f z94RNBc%u61xE9&Fz4^cOTi-Rme|hbN<>x*!;a~6I7m%O!)Jw|qf9Vg(S#is&{L7c# z6SfuRre`dxMaJ>-G=m*_#GDpAIOdad!wXkBFCg-b^ebY4Vg3pp^YimQk-KkH8qk#& zy-N5*9G}=Duh}?5*T@(p5riUrn1)X`oYyg`ae#6l;|#0}1Ii?iHrxXGwoHawc`XkX zvXnbalP*E0V;7)A4fsC2ZH)Gm#o|TY_?S*#a$MU^ex|QJXzLz}tC~Pr;q-?KwzVOX z?gt_GP&is38!#8{1dZ$z_%?NF>)r9HI8rwGgBuej8%~|b4f_l(T27On4y2qT`S<$t zc_EYP*j4^H@!M`~9V%Ud3mrU-)d4%%R=sS0$QkR%Mt&MoG7{(k|8$$_435x2Wa;9h z8mA%3v$!yEWM44me%W56g$!s@K4|H`e%mGb+v|coyphr*e&4!if%|U%zVnhtTZfik ztA7j9?Iv&5WO;L$nYzVpcIxJ`W#(3;-Bf09Q&Ijqck84uP2MeKe)iU~eg5`t(E_<4 zK!B&!%icCyt8W{^^ftT&{YvHZ>I+Ci>v)mU%5I zCv+Q*kN?-tdBJ9q1IQ$=1!;_B9QW(J$V;DbErg@%Q)O;;Yo!eEaNnWBTG$ph%Hcy= zTvx`lSdEoK2XvBLnotF|meJL%Wn*cJ#@yDjbeJEAoG&vICv-m)>mPb-cM`SDC+ml} z88M*;^&WBEzCWb}W@ECPcFMg}=NZpEY!+wdX1u_%7`AY~sqcP0?2?PC1p?Rx+vZ}P z{UG~A3l^;Yo3x4Ep19$Dv>BgF!-por;nN?u|0jNmA3At2(*1Wcs9$KT^2|U2p5_XF zymat<&wJmYG$swL)?6+ku?h#N)Mkcox|CCCH6H0&U58Xl`s6!Yo;W^@5qWj;s)mjH z<})#-L6;b~Sw1KCEYD9u z2bkK_KRu%aC6YW)mbwOer3Y^&^35iZ(6`5tmkQ0jfPmu_kBzGz~?^T^1$i!!UUI+X?qrMz<6U4gZ zcoF{OAO5)f{0n}*oP5Ta<)ttEm2&pkXP42r?d6w$`R(e5JGC!~zIffoUsFqz^6aPm zVfn7AN`HFmM_*l{mJccSdD1ggrrvH)KKH|b7Y{EotL2a1|MK!^r!HSwtLf=PYb)SWND{FHMh^@8up%D2iDABhv!_3wOHdE#FmD}J(r$NyS)sylYa zY&rIr9p$DQzgLzP7R7(LT>ZVvv|t@7w|z5CSkHUuQ9gvTUlQYyDt( z*-PrBLAB7BFY~XLhurrUod!PhwGY@{ZbR9DI_E7Abci{L{y|-$ZA@RwXPgJ^@Y^(8 zl<(6y48XU`m*VP43b*4Z$|qX-;c%i&kGy!*VC3EJ`9~cJqJnq$MBTDHxDX9>8zI{i!15rzr<9Sf;I5q$7zZt?)_1@!-YWmMvZ^LIEoq(3qSms}4+(Nykw)?Gxl3AZJiy9sSgdz{1tib|w%qJ!NN}>!hTVJB&6h z!>!#x)TwXhETUBVWVx&akuospcMC(%*HocVzM{1q=ohY5_n;Z31p_h(Gnxh0pMKIA zjK0zjuAqyu@Qrrq``gj5nGP;OT3x8fcUvl*{+($cFBN|C`(L&XrYCN;zqoQrlu_CB zx!)@fI=(*4aqtc0YqvctMIJBz6E*R!gc%G%Gd5Vxjabm zdWETc_S>K8^nUmSk1pT6`jh4M!_uzup=#9cp65TloXuT+;cH+2K)K~`$mU%xeCorb zxT*;%@d>L(o3IOE_v8v%1g9x-RJxZ z$_rom<}$P8zT!FVNstrBRUeLrV6MOHdF6?}b8gHnQuWV1{L1n;=RBmG|CDERvgiZ0 zi>gRHNn?!u@%vudEnNSf;0r%f&i>7_%G9V%P4$5T^-Rm(K0jHGJ9cNe?)z6Lc~z&k z!)5e-Vxfqx2jqS)|4uB5(dITwq0}+nJF3YA11f3GX z7o1o74_87u+Ip{x?U0`kYyf{`#fCoUNn?8UoN6BZI2(D_yZ=F(nJV1F1A+2KIbz3C zJh}KJQV|$WjK?o?GRfIjaWj@nWdJ^LkooR()pxqGkrxX}&%=357_?!KC#IyEkZI`b z7`ucWYqRz>^q7O%Up2AYk7S1<))RADztHoe?l(U_T zD#LN|i18;T+1!;UT3!yT9_-*ZL(m3&7Gpx_9*i4?Mkm`Kn*B9@K;S0OUtsjXs#pzZZp#;8k@> z-5zk(&+G3e8}o6(lUjoT^>##|} zanfr%`y+mgujsFlDzJ#<;?-XDf=0Aio-~&eO*hA@oR(8{ez6Ouzv97VAWz1163hV@ z$_7a5oQ$6|DG;>uZF-giZ8{A^dam$o-f%pDjI3BXR(Wj%rB@7WPi!mkRFf|sGn3y{{z z>k)09ivnnAB1|3N;gl|pe!~Nqeg#5@D4%&52lO@4PCPXitx~SJ@@4L$4?6qea?!mm zDHoppx+8R<9KKVTz(^xr9r?Jge(z7qSFZYFFIt(`p$P(X&T`Ow`S$Z`PAQMQ{||L# zTOPN5_kHC~O?U=RnyN0?KgU(dblo-4cp>)KC}nkgsw^K96Fc~uSG}(6UAmzB>zAbW z*AJDI2g~C6a`y;z+8AK3)IGkO(t|X%>T8;pR@ZOWW1`%l#pO;dBD;0!I9w)lI==d!UteDJq}$7uxrH*tw+kos*q@o& zSLS98l+~48<@npd2waaP&2J<>_cc=jA)^*z1kLIv*QuD^p=xRlDT;m35iXv2xNJQ&W;GMNs2J5C0u>!w`9!MEGU_hp?fkk-b%=)Lnj|KLl7j@ws9 z;KvDgo|J_^NyCG?-Kq6V@wwI-^oh1?0(v@E`fgN(y`akH>S$Lnf%3@{x>lD&@&ZAN zT=6B3H5fg_*1NBoPZ{I^(^+vgXk~q^>xp(iR72z%**sPt;NyOvRqAxzXPOgBs%Ueuh2Vq#7d`tW(jgW>X>2*GT3EjI-aie3n=dXG{Ne?EaAIW{qswmV zW95DCc~dz(D2(%-@P~!(`mTsizEZ-xNH4;NFZ1K}`ROu0KUeO&^QN-AcvyDOMnIcf zen9doAB+>%|1SRRtMBi|M)n8%7MaJ`q($?4w3$wMk0mD1n(jJOx*Q+G+tD^0w_nTj zJ`1yS5@CO@jA4)^0$vLQh*MqCiMRZr z2Q3e7jMCF{2bqf&W#M7t)x6G0glQ|CB66yv1{IYRH>Z!PLsADX!t&x0R6han*Uoi_ z1_u6H(~^Jcp2&Mtbb89=qLm0PXkndt94RYsU$}aqACnSgU5>BO2zUB#;IdtDS@Gd@(Yof_AN3_SCK1X!<55p9=bipP z%hpDB(gA##vNzUO%7MeP<#q4=Tc-oRM&eB+er#CLiE+<__J~LQOr;b~y{Ww7M>Ho% zy?hV^pgVeGU+Xo9@#D*D*kWyq76)E(o+>L#W96>h2g~wuOw@^uwOO^_gfSob8y`1wSzokmA`sYHhKYHP_ z7-ai-p_NW7>U;{zZOB}wIm_=+RyuI;(_U5{_1ssMANu$IwLJRAe@)?6mj^%jMdgyG zy{J6w$uBFv_TPS|eE9S4E&uw(_xN5Gu7!CwfVcUpuVwoMO~_ zrk{TL$xi3PoO~)KKSROk3_M-=ua|)`^h!Sf(-w!(l*19`Lt=T&mfYvpNNOc6VDSD z)~@|_fR6ByayLi6xb?Ol)NN3wo^RN@$FRKMm&mehy)xWCMYuV>aov|pkGsc74=yL< zQ_ct9P`tdxYCcTmuX)%y_V(rkv;d0}(-}h%#PCM;1FFM>B|M;j!y$-KD3mllScx6KT(EWpx4=u&Ti0}o3PEfWFr2#ki#oGP1+S; zYv_7e(x37U#||^|64Cx)M`&8)gwNlwU$kc;(*nsOcxJnA2J^Arjq(EWD1y%ZDgdau zfz-XVb&ElL0uhh?*7h?7SzDK*fm3VHG5N#K$(;`H4c>!w0Y~bS;f*rA{dwh_?cb{L z{^>%>bT20hU%mP={q6a*3)h#g`n+;v1NG6f&wNDLSwG_PjqiNA>{Wkm?bGTQ_d()B zqd(4j+=HLsyaPAqwj{NS(IKkJFF@(FT9 zCp6xP;yZ*leKH?!f$@1m*{fYVh?}EqSLAGsz?`IO_CFT*GZa|x#;cgC69PQ~-gyGlsJ^UDVS+4hE zj>I;6mymkrcU_^d&ANFst`Ov+#;I+h_M_oXL?N4v@5tNV^){clG9y78X94niWl=W< zyvx-w?Gsw12gCd_J$)9bmW+8PUp00!Rfd@2vK>*&#Gt1$(pph7e{z3o)-|T89kfRKI z6JDrQ2HUsDbkO-Js#zFXeN!jU@@sh|BA)#q(!6=_CD-6JTn2jOIMnB7f6}R7IiB*2 zI`=XH^#oCEy*WsSe{F}lF&GAUoJv8~v(*vWhLL*Ww-{Wwo?gCw z?JFIEPrGpazr`oM^3RsrZmME)7N-XFex;~RtX;B8|3u(@*`FO zr#u_BA9`@y6HEN_m;c!`$VhqE2U#p!q~R=E*%@9@WOQPx{L!1fRSt2=7LN^W_VVR^ zc;>=&=Hp)>*>UfUCmF{lXUcUS`)%iyn=UG6z2G@6bM=Q_>ZEg@{5o&2En8#mEg$){ z?jF~Dp8V9ZPm99jWSnAW{yn#{SRYQ?LJn^1@H5 z4{EN9g@O%cDLc2#m2LAgW%urz%l^H0D}6zA8_{AmTR!uSc;D}#PknKjn2IO1KliRb zaGG)RiRF>M`0vUC?>AR2z4XE|!qb~-Zu-KbAN(|vd{w6{KF^E2Z~)nWcFXiUZIOqZ z*3X5DCkh!`jGyE|*$hJuPx?;w$CSyKViAJYj`^(KA8kR8Vm^e1@(Fly6#-Z;CTGFC zcpN?kZeKZ1`cgJ0K;G}d_z{munz@3GlSgNGq~aD*d4(cLBPuE4d?mZW<5E`XR$6(f ze5S<$6lH){Wf{Qy8zXQpi=7bk(0T~+QzcTAbeE@GUETd8kILtlGB|0m+hX9R?s?(@ zl+On9?*OT+f7^#sTiv+;c6p{%+J^NBUI4j{OQ&pHXi017&@uH*z$=06pofNZcqN}U zUrGcY(%eoF1}!aggXrU(UUhLuxmnKnv}q-a{H9(Co1~G=1UQU50kW`BuJ8PV= zjBieBU8I0@t?0`~e%B@qy83}?Lug2A+W}}~DB^{TFo^7Bq#yXG>- z6O5w=oZUZZ$cf8?zQzdy$}qVr5c#zZxCBqsax%#K)a|3};LzRwxqRlTrj9`lXLrcOGs4oQ6i2P^3e)qo10sc0dhIEcE|XY(Fwv{@@Ltw@xc{ zA)U}-#vFpI?|t~cn#Va$e6@JSX$yJdV^d{m6*<~{YtfmRo41UsJ`gY7p7W&Nw>%m} zBdz?&2mAN99`N*Mi1&@=!{g`ZN?9J?XQRq|@RfJ>Cz}hO@j}TvP@Ztfo#i8+zh~E0 zUwY@8oPW_Xe$h_@lIG7TX+FH*-@U!O_0ssN|HFRbrLH&MIi+69%d_HloaUB^Xe;-j zm=|~eV^UMvvBzyK`|i5E96ofQEUoM7 zOw)DozqcDT#^o@SQI2AX%V!l#Rzoi)ny$1I3_ZT6J`$( zPMWR~wZWOp^C*cS{CSufC!bwrEOKGsbP|S@KGEtMw79BAi-u2&quOb> zd`0S%wD{1%C(|6S{30#tjc%;*Jt8kY54G<5U0=WUi*B1$jTQPgSgC#j-othPna;h| z1ZnA~1L!qB+rI=2KL5p!mQP>t6o-=+Ko|Fy*}CFmN__OyXr~M(;`N)*5xW7? z)DBIUI<_E<&3(UUp^WB61s#Wv%I8zmq>&d9rMXRW(}Uh2i#gT(+(!vkujnh0D|#M> zhad_BbC627u)|6d36+XdRxivQ5JM=Rv~;~9JrClM9s{+P9cbxrYzpw5jsXVpW0J$^ z3?*NCuWA+ND<~}@A0A4_z;q1!+CQAH0-g-u&na+xW5U*;Q(&j>CXc#+EWc(Zp#$j30<{IGBsGsV2_057 z$QZ!M3*NSo@`4_@VSm%eDQI+UQQ^EQDCH$u+TaoKyk7#MyxJw}I%u*1B(Ke2-s&t+ z5C-P$kiykZ#NC__mb$f|E=&XiG8w?KZCkGlClCC|t^cu{yCY9reD*1R;v(8VQfULy zxL!Xsr3q03yZf@d@Rjd2mE)9#>BKsY^Uo>93T_ z$KkohmHLlraeVWKUR5s@UR*AC`qQ;|EtCnh71vPEnLft^>z_eU_xsid^V3lmmHRyT zQZ1}u54E7ie~g7IKl~e}xZo+j>Hea-jjb<9Y!{i5%cp- zV>(rCa7vX9%$IDM0Sm_5)SmLixA*t&{{M+DeCs2wC+*E^yukIQU6}`KoR^<%U*=SK zj0fWBp!d94(TBrlBz6mwXVL&=SJg4P1rWQ{KE>vOO+b4Et2?X+P<68T)4CRF0?E`7 zPI9{mP6`EWFiggzCDX_oT#yKRfYd?IBFfNZBqtK=99Hd-jodu-B@bVkgv9jPeW-7s z1Hh1Um^4CYL{q6FRimN1KkA6AY&4uYCnq*9AWWz@46M?LR?gh~ylkU51I7BvmxxYp z`3`5}*2xcW&kb|I-7jFvC&y0d=@RhKUr+Soa92Ea3BXMC3HA-JG5SQi#K%5+-b@xf zuPEHNC-FWl(ShF`w!B*;J$uf0e};I^6L<&Oq_?@P zjtQ#M$~V+*_8R%ab-}M>9fs=-#`sY`b&{FsElzJI0bpA38rBRBm+*w4z(PYgXpwvT zJ&(R<1+Bm#7z18%u_B};8DkiKCyMsse-59 zG(KPT%D1XtsqARHF2LB`48G^Av6^G@+F$*8DW`s{-1;|{Mg1f@Z4CU4)Eeh!@q5JL zI=ap;Jn-NX_sFG>pC}&J!pN4T!58AQQInHX(s!avaY|d24*Xzb1$y}_LN3UdYkL4G z)SdYzbY%Wp)k4X9;kio0X=8p!adhOk@(aKDo8^+{{8qW}DX%COUiypWVb6SFdBn4S zxjf)UpI`3x`2Q4)EB@0@ytX|2S+6P=KkemyB>oZ4cuD!`7r(rG>?=7-B?zy86nWn;&L^^;E>@_jDo*cjzpl6`?X9gR;S`W9{7 zwzn-68-NPS5HH-yicX=jaqx}t(8_?NdadlLx#}J7{+sUMjJ{9Rla|V)vS!W+f{Ii@ zA~7>qyKyRgt#RnkGxKf^v!U_0iD)yk<$?|T>iXC)-g~|o(wQ-L4&C?Cr^Aa3twnYoO$^KqD;2FO1mDQb)YI-8#;u+B{gbZBU{vhdnnpLtZ$rDN#9(Cfi1GbHF|@T3-HZXNI&yhx)!vX-xU(bw_Qbxo7wUF=HZNI zmhaud?^-o79K(-LEUKm4`_vz^4=%s{9bL`x2KD{#`Q!EzpZV4&JDKSo`=I{83VuV2 zv?p8?PZb`#=`VERI;os+&P&Vj3y&;cyXk4&B^gdLPkczdw{_QVln;F`UXW(|`s#!h ztEWCPE-`|Sf9jvg9yUAGcImh$#r-la*Af25cU@LK^|t?BOZ~sc8Bh3KpSr&Hf%xRp z1<&}SI=ATbs?+12e{|^gxTKfIr%qB#b<7FSqvHkt@vY?@&x+I11(*JAT;@|>A&;vz z&{MC=KNt_y-0#xYIgNbAJN7vDr`}xN{p_2ZfA1&#j>Z%%8sqZH55@NsZ+O?QmM8p0 zJju+%8G!KI%y^lfpD7Cm_mo5X4=DAp(pP*5^E2;wqv--Cmq$P6r^>h%RZfhbd52DR ziQ6AgK6=CNlyRL>*|7b{L;JqNqwskt5XbbuBm3BcFh2R-#*?o&Ae=C*98}PP;ZJ*}PM~d*q@x&%yv~27!z@_sq6N-B<2mJm>R7Ob7cKC}bKWI+^k*gjbRI9CxHc~J z{ma+>rHn}>T!MEQ(f79R{gD?fu*xONMt{JfmA1?JZ#g{{jKuz01{#XyRTe-QE^+ec z^`jqoNjdlNFE3~R$ji$ak9|cs?QyRv=RE1x%e{W|wdMS${Fdp#IZu3LIp+y4FZcYB zmzE2j_Udx~r@yA0_Sj!5r#|+z<&+=#O+P%vX(h3?+K*8#F+~XU?T3Eo!UGW=r*b?B z|HO-9(E?6KU+Iq>S;+b7MvK0`XaVA9N;I~|J$?gNF6_77En498XT8n~CKEKgk;CE( z__-5r2<#))K0O5!y z9el&J2s-l3Bbirt;5XpD5tC+%v}KRJFSj}=wTt&U1yW9?WxoY2k3mrz?mM6M7v1fyezqv7M|4j7wcWqu$JhB1 z22b_zUAzR}&GZ5;{m~tr{ZhRq$46fLq)HVaFYAOoA9q^+`&;k%{3pMz+~?BYx1Y#zt6ZkFE>VB<`^pF2RKEIwHoR37$# zA1x1mz>~^(XS~n(m=!%zucIOJlNNn9OB+U}G1dELq!f?SQ=Kp5T>naxzmlB%8He;G z+6U)3g?=P*!s;J1hB^}q3q0tI>NIqC6XOun0Oo0Qm|KwI*@@EmS3@O=o5-eV@>rN~ z$j(Z(U_p%q4*lv1%`QhScbSyJAU2Hjr87VWYyhMq&nY!6Zr=FBQ^7(ZFw=U z@a<4v4%;~2M>p(8B9|-cD%XK{H9mD#+^#p@) zeCpYAZfOG%rySr?1#j-BZIn|f25}^xz_2c%5ABZ|F4Gzy`k4$PpFWUn5_!1ni^+#w zZB9I)YhciB0s7ytZtw%cdUbKNnfZEC5@_WNi=iAY`V;nx^dAKHCC?1wvX20GlGf^( zr%1+Ko1RD>Owb+Qoqtm1YyLHSRlEYaT!<34eD~eV^<#fCpyMzFb=a&=Wu3B)J!rL{kH6xm`7dpW-<9dsgWyAp zceYA+jt$hV!UhEfin3E$Q(>NpQyES%FiM7Wis&%0+oBOOkF-`8Pw)BBPEH`uI5mud zqmv^c@&Xet5^c;>?Abi$(BzJ0s> ztheP!7r1lJD;}s2FUe)WAfLKiea%(n``2IZa-kD47~s;Ig;sKXx`G#WN`q8z85Rd} zuyv05i3hc9%Y`gS!jv79rn+ZdYgagtPhQq9@)b83WqJW=Yj);5PRxsvx!@(0?O4l4 zn^fLC8zE#lB#&^?fy@g}dGhU4FXWlla!3!INBk?uArB1uMl^#!TGkOne+dVWL1xIZ z{i+U|!4r4=z8}c?fJV9yb;J$O)U-s_zk}rGeSicsImR-c?UM^I{fz#dG?||u4o`eL zEm}`H_oFqplr6`6*?fXmjOnL7qW?V9=Xb4pky+Krfjn-g6Hk75KZO3UE*l-#bC))K z%2SvJDtMIF%h5`_Mw0N8cPfwluf6ta+tzEBbfwobp817`7RaZ*Y#@5;ZItl|<_&&4 zkq-^ToCU(>J!OvZynF|^vV#u!erG^79U(sEchPt}e!SVV%uG(ZUhsnFgfGj5T>43C zZ{lBk$IHw6{`hCgqt?$U4}9{=%7ZU`X?f74FDM_pW?&GPhduLUegwDJKu(m09D${>dY?aVXIDzmd&%F>hp2Kb4VV+nxS-F2{o$IG3+>$i7K9PiQQ>EFvnRF~nog@gNA3oKJiM)ew;!$qh;t8J?A`lH_EJiwEZ%S)?e z|GtCe;Qm8)d{qaxaQw$B6)zU=D*N`|QugiJRSq7wy&TwoM>*@{{DxtGR~n|qCp>v4 z@>IL(NxUD!;6=auSLH3A-Qh3ia0F`Osm*I+#6*k7T zdGtc|IJ7%ekFF4Uw{1u~9XS2u2bcSu5f0>it$hlUcK=g;PjdP)UEQk9haU(4$s5=n zRnJ!6>_4q8Od^DR^6E*YeSc{`{m4JDTzTVv(E|2;L7q%Z;D-jdDNrXZUj8?)^6o+Qrfp@2k1)pQxwZm=oM@R8J^YR`-{0za(DB zzTeYcT9%ftmtMD)@u|DYrl*wIxzRE;ty7rX+^kMm(<`Ol znsV7wv5mf7**_F1sGk{2E(1A|L zj#nRMpz>9WG!71s=l4fM&d$y61t=_gxPft)h|WV9c0~TnR4tnhq-_0hIr9+k+ryp|teGR(OBJQ`aT6Bms}{h*0O02({!gyB8IQd>cWt&J!KG7CI2Mu}r ziE>?78R%gB%z%U<(-r-SeP_rEAV`>;5v{_EQxQ7*XjCFRt|zPz0FL$4{{k_2R}Y65q^ za9hDjZ50hwzJIfm3?_L#F~vz_lFN81!v^d=KU05N<>n`e$If!>F=uHJIm=4F1@ zf8^7igcBLY&$5YDp5OnHW1dt4HBNc_QC`9iw^cv+r7c_j8Ai^?yWah_E++-Ieya?~ zG?=svlRkNLFpy=vT&TfZmdb20u_kR-ISod*dX>h?4cGXy8H zGEj2l^)|CFvPh4Gny@v8-YQ03wih6b^I~4>6KKPf34N-n!J}%--+V_bd1EsV1f^%a zhIgVA$-1OImSG-Q??^imA*bc%u-i(W|5jA9R~3(f;MLt%8`8&NdFY$=JVz(0{v$%q z)WY?u8t!rYanCEq&$X8jdn_*Bw=685UG^S)ly=c4D`m7Cl0Q3nllsI)nU;Ud{J)ir zsq4xyQ{Ra~4L&wA~N0#qg|7_bnzg2kf*1s&Dy*Ykki#FgP z3Vs2X6A=?3v|CSqb9v0E|7;rY-cP^Rc}yzgKjSg+7=2>@?LSlA|FtLBKC7IxYI{Nd zxW~S>oH>7Wr93+R^1uC3`Q3l!hb47l8Rec(jKzZsQ%d7<+qfU1VuQtxLb6`1u4$6j zT!L=My`sKzi7en_BzEmvFy>ryr7fyR9QZ(laFk2!>9XC zES>u37sLXjMqXbVFT3yDQ|^1ei(I!KdC3dQZ`SW6-~VZ^myo!ZMcw^yioziKO!rZ7 zMQ3Nn%L&IFTlVg~LyONsSvtH=`mB|0TPDh<-`T&%^|5t0AJ8D7$UOsO7EsnOEn3%L(W44IlkaWQ9rZ_(OM_CRBu3vDvNnT}0>*`ne z^bc(G3l~6_R__)J5YSr$^!jZENvWY|;1YBPHm`LK1t{e|+ zh@MSA)Fb+&0?zmSf~p4=?-BhYH(1C3o7E}PazH|R|I_Ow!W+NpMI>oN;hI>w=pNAg z^6~#J{_UIp>NW*<@b}o8+f^Qae)D6D75ot7wTq!wj#aQ)H=38~l-1*$^6Ah0QQ0S+ z&~dQg5+UIqdGyn|rx3y4|MS}|8@J2?3Yeti{p_Vb5%D4X>d*gtdCxUFHJQd_zp{Er z<6inm#)SNdnbC6R?b2&}T4Qla3s!vc>8cOK;&W8oa%s8XzdYQN>-x&F`-vA3$@uEK zUtX?z+q23u-|t4b41Q!k; zEVti&TRHytd+JoSt^Cl-e!0A^exd7u&-^3F!KPsYF7M^T9r;E2>?bU?Q&S`5j5AIv zdv@>ghk4gm4yr7l{#q+vet-S8pzw%iz0CK(csi2y`qbO&*YbrY{kvC{$3FJ-^3aFB zqde-~pQxz;zMg?R>W-gIJ=b>eWk9+7k;`(^@S!o^dhi~jvXuv?vY?5_*DgZP=L7>!9B8le(ohMX z2x}PH&L-$lZJ-=doSsNM0D1z|&i-}RU02RL>z;~B4mRurgzdQv+vz1983qG!+7VB> zXS(w&SI)e%tR_+=v2RFulv&k8nfzK?f;iRUCl3&|&AfyqS}w&~`pAov6hJK6G0V7> z%(thENg_U)oL?p%1~#RN(5ST22oLHI8uWSuO{4?bbzP^aFO>66=^umszlRI7XvGf> z0xwovk&zAkg#?M9FF#x-t+^mE{H6CQ-rqUY0{5f$eSRcV?7H$T<;%NI^h62r$5N@U zPZDYaB-im%LDX;$ATG z%;Y#9KL`tMltqKPGM_>{9mVlr%kcV)>P~zN-8ThhyOZPk+5mgfY(qeT<2)VQi$k`D1lO zo3ycV=BX!@ef#dzscWy=?yxde%8soQ{7tS4OZtWp*pUq1DnUr-!b(brQK^oq}ng&x+AIw~G1^!*G)m@?k# zy8c6RX59cL{{Z=ebF)7Ymf00KPSRF=IOKrr!-;GI;7#c}-ubr!zkmz_;<SvdoqOyrWDQBiU3gFP&>Awf1kFKi_WdQMY^mLJ3s51F}OsP0bfVM@v?Ujh3XqQSqym-(vEm~7w$ zR_kNrZTls1@f~6JZJ?`Y#G|bj2yM|XCR5O`GT@zcXeW?Bzj1(uykQ#Hrhdr>B;Ns@ z)DI|2dO{_tMItTpkdwR!w|q9ZagQ%Y9u8z3ELV=Pmw9Rjdr99&7e<)jK;y1Yxx83xD@}(P|V*1o6Ww3DhQ)-%kydbeS%I9ko&-$VI%f`3; zYI)l?9$00MdYmn>nxRHUmLyN*N0-EZ z!S}}Y+)Z8Q%EZ)6nbOH?N+%zmq@?2V@}kS4@8$20Q`ld===aK-Zi+DsFRrxkB%{>v z6_h>uuF}bFq?~Y%`CGjA}e|_<9mk-_$Jh?wUIv)0dZYUy4HmL-1Dr{%E5iR%N=*zrp4@# zPL!o=+Xf8_xD;NWQJJ_mI5QnT>GCgci^UZ@;U|BwT=K9}$^-9vig{xfWHPOAKaVlP z=0CqSf?j^m3VYXfrkAtH&fZQM@dWYMz|#}ssuaM_aL_cJx1vwen5y!C=^Z)}DF+%t zgLK)?;l<{qGR#5XLdwkWFi5vyD+otN(V#`CraT~y3%VFo02)Agmf<(GM!aK;H1cp> zp_NY>;MC!JJd}|EQ%3TPNuY0_q~pO2Ie&@Gh(Ng-^XT8RY*1^N) zm4EyCUz87h{v+kXU-)SG`b|&k#t}%K87FVGo|56sq6OahukR_BU;8s&v;g^?PEujQ z4=kYPs=r2`cI{-*w-u)+aAA>$oA^Z4v}W-YCUC#V8<+iAh*t=^Z^Wg|cD#YtU*FfA zAx78}IurN=8&wt(jX&iv$=~+{@8}k-2R-w5y=X~<+F$%> z4Y~1hNT=B`*~&u%tc5(wmKfW(BEzGalg6YgKH#CHM6!WL>p+&rZ~20#C$=9<&$1xH z=7~>4eC*4{_G)?4LgzAQm74YNrNSCEx=sb^r9E~@DVQ9>oJy=jZ5+`0IE+7x>}=$> z1|UqDxCS2`Mf2vWO8GCp1w=TGjnKt4+qfB;Kb0UlIYQZa{8^jIpGVzOHl2uk>VjT$ zCenjX*g=0`sc5fj3p%X*$}7rB2YIeXMWpu);3w69_>u>0>IF~7RV4G0FX%6)1)XUW z=Fm?Z3qXVJzPDr^J#Cf^Klz4SwPuqB`Z^5ik$#^KLe?;k9_0B!0>UD39rXv>0onkb zUHJ`qga){JM)XJ8H6{Z#8Z-+!yRMpPauV=qua4-jiR?4b_oDwG7nwWZ3A(6zq!Ug)dEyP)DAP`0tC|cmkJcyLmS>XpWLxV;8X(*{ zK)KMP*Li7i#gCTzq*amqqoqd=!ld)tTa;ZvH?_giaw&iI)-RT&m639IVNv{Mtq-=v zW+SZDg0^)kx1#oBVI1{i;s*|^1{;js`7$%R-3tQF_c|K=nJ4UvCzp9?=hV&VuK#+u z^R6qcpe_^PMosvv6dHkdA3wNh;B?*0T#1n*(A6Gzfexp?6;jn@2=A5t_ z>Ae1JdM*uSInlA0+a~7Q%VJEC=CE9!)5G#=cmP~U)4WLgG8YAsf7my9t1bG93}bXd zokUF|ZQN+MtPp9G%AE}kz?_ChK%1WBG7j1>AfKHA=?e=>WpQzNh$fVd%7j4~R5Vob z?*_Z5;yz42(5bvAYPi=4m&kCnPjuj#-5aw<=KUaE&QsYo513x*aB6>boev+P>F=hK(>ud8Yx&~E6> zqrBrv%?>WQkh32}6# zy|--PJuDUjEq;DuDi&y*)72%o3Xyf`=bET*&Od~yLn6c6ci~4Sc^4`k!phSRW2Iey z>U(D!A)mg&gGFgmURd@eY=s$tp#wU)xWPh&!&aPB`K`ansn`(lP!b<2;OY@T9(s6D z7ZZ;U>$edvRja@A8W8p5cPCd@IKk;u%Vte_@XblvP~dVc$aunE&9C7W$g%Ig5qDYI z$n6xoHgk!vL--D--vHg?3eu5Uw6u&YoCL&ZQC{i@x{xQzs)ReB3ovQK;7%kB2FCH+ zgN3Mak(axazB5BdI9+y(!8@S(%Shcm;-@MU)e1r9zF zQ#xu(919xojzF{_IW7-U)@fBA`|y{X7EJ7eU(aY(YtvZ4&C8F6-a0I=CJICywFzOz1 z0!%DSYRE?heKgtwq+DF|LCW`=9`haGNA(8dSv~Z#Uyv$EW9VQtck~uD+wR?7SS&p$v8WUZN_ZZuNNtV9BDF=R(;a7cAhdfQt9>J%d z_^Br}e6X4ydR)~4FrkT+ya}}>S8CXFlFlVUenfJ5hM#{QDZB2xMLO_~l6Z?|dFhZ^ zN@H7b?zj1eUKI}8a`{iZeREl)H~v84|lRoco2qhAPz*u2Wga0m7u~>NW!R0t2pUVc5pxwZe|-g8U%UiLPk7_O4uEy>O}ilCh8IqQZG6SzWz8J{*DXb*ZL`(>5)c$f6_~x zHlt4;`NPOGd^%xE)0bDBRTnU5haQw|L4LkFl}$&Tv2ClDFV$$5J3LX^H(7+CjpUR= zIl>vQ{LDMk`^hvBe8Ofu{UF*jbZ}j28IZp7ssq6fx#-r*Y=I53?UQdzx)BDcZ=}Tl z?RkU9kF>r%Eo}FihQ|bFS6TG*VJASEtks2E9}vzs6G@wwy5pli`79`auf$VFkmaIo zeVNv!jc|+)7Bs@}MSsinDY-uxB(K!bw2Je9gEt3Syhw+Bd3j0swa=-Y_`o-lxK;zD z$Nj5w&%0My;Vb{^OPbu#AQo53Gsewd$|x#O&xoh~POa@|eU(3@x=@zOm^@}KE0kMq zxuML>Zt;_Tu}G*ss@v2gmn4G^mk^g17gXidvUr$NsY;3^ix;OIYy=pCxv4@2inETT zZ3gw}0d3k0+9iISTC3~IO1zNF!peq$pZCZ9(=3<@#QZ~#3BLXrf46zxuIZ=92oK7q zM5bjKkZJhn*yd$8^Nt4S+HxKlDN8PFLcJMhF>ivlM1nNz&Ix$zSH7SJkT zgUUy4%J!~}Kq}-3H5;T>gmio@JjA_t=t#@J<#GhJW%tlNwFXy??(BHrh-`Ld!Iyjo zIxls_MJFcA;EaYNPMDn&f=%zj;!9kelgk9S_U@aFnHg9-kIbPSkdS7)av0sib$JaIR=k5{?AvOcL|Ubg7fMDfi8|tdqUeF6je6aO=o+|BRllXnaI|CS&Y!di zwMzZ4p&}9P>O@l5!BKgXOP$~uW$8D zcvt(0SJstDCwUkmas8UKq{T4_M_S~g2S4KIOH-A`^py_|c(R}$D9U6=Ie4)UC4VOI zC=>NquMdqdIa9Zm7vY%nqde+x!W_|f+`W5u`NlVHqt=qe&$4UGDI8DkvPqSWUP$1j z#a5Fxr?K64@cAVzcI?8X!o>Kjtv5NYMFPdu1hg6Q&>tWD0L?>)OVIEPTa1>22lskT z**1HE7M-=SW81c}w0Ni-KD?_O*mrk1ys)n<9J)*HK-s(ZR-N?ri0?t2qO?$!W$_#< z^IMKn9mI2Vxh$eqV7awykFwAcow3uJ%C4`iNdB_w$9rF6 zay*nVQI0+K7}b4Qa%RiwitI_9u)TP~pT%)Pr$S!+x$psJ_;T)q7S9RIIlheEv_ErV z)*pmEXyXWf4bq9{l_&e8OLyB@k=*=H57P_jLpcnmcpjCP0}iD$?*-Uu+y1 zfFnL29y_<#;ezap$a20k!nJ@V%Z0qCD*mbl1iFGW_P%}l)ZyY(mi*KCi9>;K)Cajv z5^d0D8PHv_vPmP42F67i<-!m2X}PmZUc+d02p4r21`9Ch+LXDWaZp#x7E9397u~Zi=?9qHqMn24l34R1KX=MOdn8iV0ev+Awmp%w)IHo|i1M`Vb-E-Cfd8mYJ1Ltver$3~rz?I%ImXGl?6RVXeq%!?H6Gv) zXEwgf#mGp1jqzV?K^bh=T~QInb^0R@-s_r#R!3&awqwpHvs=zA^IK0V+vZO#JC8ZH z%x^oTY~6NN*|PPVGB$o%8J{@0%uJrFQ{izs`EdFfF9#1T*cPK>>eK#Kp?WF1diqVZ zEp?;M`$^)e19JxLJ2o*@4lXXn<<_xjZ8#>%;_75Mv^1f`9=mNXODp4LahXp$jcF0( z>A_hoSli3g%udPOUPdNQEpK|u`^$fS%e!qC$)k+IE0&*Y7IYaK}YyZ92TtCBIyMoF>xR?Ye%$Vrr+cW=`g<5UE-x zliW#@&*g+TA<=#;a4cBCb6uwZzH7!OVYDc+SgE}TQ!aLl@${c%)`^OIpYV`fJ0koT zH~{{sC*=phC5+loDhM4vt+2GbT;^tHHCVK5SZtBdnZzT!v4_VaRKC(mA z0Ytgr>4m#q=8Fk55n&B^`+zPLbqU1ffDqu_Kcl(%3PV{-_OC5YzGNENTz$u3@ zkPkd$x)&3Ld72g<{=EFkz|WiVAjc6m?V&|BX{KT4-pE5g9=%@WRHSAk?nTApHhAK< zVRXkwJ`*&y;00)Su+!$$b=#f0%iq22YERIlZzw&!Dj|KP&z3DZNm2Qcm9o6DSY~G? z{WS@G`v1_OMJYF~vOHqGLvztYnV+9``@jR)v1tt(Sl@bk!F5$$JWNsRxxQTP*nNZA zc2$cQZ4#SDu%;bcEOPWC%@d0&t_yso#%E+T`i>TN=?S)MnJ)`Vi{+TDXIh{2H5pBL z(C5QY=tmr&pEQskm-mQsa-$W-RWBYGpB|-0kfzWbeR^ui&e%6 zXw%prDmX|3a&nsLo{FJNRF;982-#uamRBnW19Az{3y_p2JvvamF|0+?4WN9l-d(vc zTE_co_xaeOs_Jmc9|kmOw>CVua0MbOWKd^AdH^p}S%w`QI#fOFr!G~GzOH?}k~R@_ zh`O|3(roDr$?Q+m!K+iX3hzs(vnyL#)%YM7%*lR0pJAC5N9e6^)-CPCfap;>zB-I; z-p~d5b{ytC4Dyh*IbsZ8UwrpnOAm<m9@^rD|25a&P(JX%-C7KJ2x7tu-2B$LPWPNFyZ)+;Tr7gJsq}#^ zCrs#abJmtiKBko&iDz1dVNbnAN7u{3;X_{dnDlvgi+moc@Pj8>(3$Mv%R)(BPTpw` z^7y`?H#ccJl_3v=EqeOk0UbK=(1&R2WBY4bq?nV?-Se)}f>!ORMLQQ)%fu-U+ATBF zDkq(_Az41Or@Zt<7nbd^EA%W}=0Tg)zR8#ebscjWm*X;QU-rrq7&RfC$9o(X35zKp zy(`oCVe7Pc!XefX=)8f)g`I$DwUGln{*S$=Uw?a}^2gOMWn7KUuoA$rHZBc>F!IuY zSPUFA2#1Trqyw@0fky}gRIo+xW~ZbXzZp_D*QZWSo&N4{1W16l{>tQj1lUtCgEAZ-wbieM%Q`Oxa)NNi zhs(C{oD2eC6DGON(Px{*J)k&gjSWF-6J)eIZ!o9_b!%N;9y-et`JjHv>w^xPPL49T z?1v!Id%i6lHYSxYXf;cEc;)2IT*^HnO&=W}FJRSyfeD?POR^7Fte{`j0*DV!Pr9Nv ztweEZ^5s)8Vi+^guxL>Rdgv&FBhGl^lSY#hQ(lZ{kF8s`yKi&4;~Ru-v%+OC=_H3D zoQ7C5;KA>Cp>Ng^=P#mDpW4s6dNw*_7#{~Ur$?Qi%G}&B;xkv~w;Wq0$LGt`#MUw~ zIj7U!Hq~cGnV#Mu-AUV0Ca2~^D_!IYkDlAFlXJId^*%23O*>lWdMOo6Snx>0w*Jve z&2+5CS)R7o*oeh4<}N05($qdH(a+!&b1Pg%%bj=c@w`PIAe}Y?`4k~(G@pm7GVuWE zZP;`TA1? zuue@K1ZaaM>XQ?O`Q?Cub#>60);vT{X)@Htc$5qFeTNT3IRFh`@b(RFc&e=B5v=xT zbxfr0k=OT)#L>!t;+-6`y6DOh2De4)ViL;;`=+e4cg|P(?>6o9b1MxZbL^>;#2?349dr%mg2E&lKdL|9ZW@nBx?QWdK)!OJBG(4~X z2}~vMV=|zDM#}#E2g{y4`^pH9%=$7R11k8XOXXd@rX@mFKY#!_p?8axE>`%^sV(OP zTYe0z-hf_Is@oXj-3^uF{T3-=8tBy!xw990%W8SVsRVnn>%^X#1h|&Xil8Kk8iTQsKHc$Y^V~IquDS+1*>%HccS$RPfM^!Y&;E_XCOOf$z&YUEf4USPz>dNV(0 zg3frSqjZKI*Iq(m0mM&P2M!$YSK8^Du5X=ha*X2hWTVr>m#Z1@WSm-z%D^XG^098U zJfIBuq^F-WeV7*E@*i^mm*L2b`7FzOp_C#l#3?WPAdUr%YA9KL*dxcD3M(zw)~D5}n|s?0hcF6r8%Yuf zvlBQ{fxZ*4u&`I)OYTxfMXPevSnN{Ro#3F06B&Cn#YAXdd2`bp+2^i^1R68 zh2sHGu9X`DutT*ur!MEgr@BPe+4|Ky#3Qe*pQfH#jvLHV3QHf`eGpm3JnUqrs#4U2 zI@Pjrd5J9sNiVOJ-PXx^DvnPX{B&*pFox6GxOeyZn+RUyqZ58O%A^Bv%0&k{eBUq9 zHy`ymT-YvX;1x3Z1sa%lwFmh*aRluk5ZyX2PDcX+xXF%okxn#$ROj*S#ls6)cSumW zXpo6MsUNB^))+&i#TX8z)|+(kWvr5$b@wDknkEk>wd9M-xcSvIz`~Mk3lGQDH=rYJ zRi`ZYX1PO$xDO^$)g^5PeZ2Hr`BwcXV_oXKCD6;Jt|23`vYiCVV;StMFv$B<_%S}C z?zXS~P?k83)0*3s@mS>rEn_rZm}VjE>aAQHx-#|v>3!Ki>gTI8(u??n7BL=%;L;$V zt+<5A375;KAWxw5eRPP^9@_RLq!jB8Y%q6|>ju1yQdV%!&#UBq}+x zuxw;^*}OZuGqaOt|KCs5so(F+u-yNBpZ9&9w|0BFPlxL2>guZQK7IO^liJbw=&Ho= zOkfhEzlAMGT@1ei#3vNBpsZ`b2Or}hT2rMH{vk&Z<{hmP!!8xLFklJdpb`r+;T4Xu zY$G$bqe9JOjX2j<4cnkdTlr@+SB;XaSTVt(;CA%5$XQ zU?v<&m-dXnNBJtr6*|Hn+4eobp2`A{ncL4m*5l->4>(1)W!qLMI>~mnLp0|K0Xm_V zc%Jc@P-d4oO=>6Oh;Ygxb)X9O= zC<}lu4(e|V8PDMA8y3%MLNBu*7}3u{58lwn%Ax#8Kl!|VLB?k}H|_;Mflrc(ylEg3 zPx{YW|4|avi4ra3@F;MJvdnc%DG7$mx#ujxjU@%|+=?E@NNJd1NYTadA)HgakIyHAY*nIvZM={Rcpa%XeVp z1xRO7N;&#zXt2h{jT`6BNf$ufMN>VDA<49yo!|fa3q`NmBn=%+kW8p94=E1@6i0Rp z3dRDU9_~e?Pw409pWqv^$)OA1Ibk&;#KZ&SN$0dmTQO#xcIuGxeo{I{tU>XR#qW#Z z=zBM;7*XY=+|UrlNp#R8;*O&mJe6 zz_W*oai;>RPi$ZUB;J?7@yhwBLgs49kC9?!?`X2DXL5oA$!MaJ*d#Jf^i+W^^F?hu z3OuhEz@WgzfPf+RG%&1n4n(#S9YbL}$_G9;CdCV61}iXf@{h1lZ!kOQk2X+G;7N%1 z0`Iee+AEweEO3-S1T*9EAcjR~aLH^0vJ&<@)D;hiMnLjGP_z^92|)pD8Tbt&NhgBt zGZs0NgGU!a1r2If)(K?T^JRYd*)Ne6nUW_!#sS_wJwB6H)jU9z-&JsWpiMq_%1R+* ziTu?#AoG`@5=Y+`?MObloT$G8huVZN;a4E|Nj~bMFCsl0<&q9)szSjhLCRybTmj|m zBOv8*B;TU0c#ig0(_C=?l%t&hV@3+m+pe(RQ>QV+7>kKh6@QubD*uA#JdMV;S$Smco&jNeOg0UM3yjRX)<(xDo{&LeAC?zeTrT z-h9<1gi7~IXC>z-O~6kY>e&qza*AFcEV%!V7uW;e{l4lFUeQ>~#26L`Ptxb%f}a>L zc*r+W7`?b5K4g`=e6xiyAdf3l;p6gKKT3|0(KD|@R0>83kC1{><8+MpLc77m)*nVQ zwg5rZ=e*<+2ew;lwQ3sZqn=oqa-c)V9d~bEm(qHyucy;?c5IO$+F^a&T{hU=Z9BI- zZQHi2u+EN^HrThp1_#z#@2>T>Yv%?{0(RJr4yEmUN`0`+hI%(yT|e#Fp~{%ya-| z65xj@8j;2cpgy0i6R+|-^X&!U?1W%Q)E`zo!;#;Qv4X#nUnLYKU4JV10FT2jn1%^@hl*%*7pnrW9pYpOth%)7E%L?vMvaVRQGYkSios=I^9drqKCQiP}ns?8( zmFthJ&;_8(QB(xg{t#_@|U!+QSZuYPA6H%+zXm;TG{`^ZCf{8<;;#*G_;e}j@`={3e~&^v6O zeESl+><5QR-eWbXb&frD<5#>MVDTv*6OFt5-FTA>&DgQy{0AP%%h7x8HH1c1f#|JN zw5;~Jy1Hb02JE)q@k_#U?4&c__bgqUbySTX1g zRxi4SYvP?BpC4fN`uq5~n6Zw7-{oqo>$i(9JzKP4q=If=1-gt@SI)kw#;1P-Q6F+w z_|ml*pS~N8;<;Boy|0Qo#l zP;=E)KURB!a59$(05T46Miqst`9K`T2R-XTBLhQ6WL{QKq~){Mr@@r>Oi7ei;(k#L#f_#F$hb1TYQ7ZOk$siM7eEGpgLG9J|OrdGMo5jGIi8z4TV1kSRxbu0`{7tyl(&S$onA?4EwPoqg!_9tQsS$Di5e z!l>~sX$S=*_xnFwqm@LHRtLTI@Xf#Wya%6hn*J93R`g@ibN}@P&NYkdkT=csaed#7 zH$RZ+Io`{4I!`cWO^q$S3LET;afg8c`ekhRX&(5} z+R|>FT{|kq-zQn{Sgx_D-kx{ze|X&&U2?H_7gtchL-b$XGSc1M={l1g1hzK4H^fIS z1%?K0?{WHvYi(Ocw~S$@&78iU8$f=ZW${5X?aY@SCtk%Wi_aYB2X4{F_pu>|(MmZ< z@MrZCemd$x&*H;>033c=RWRCckuUL<@z4&+PLZ9fY8%$Z|EMti2ws(N~v)yt-Xwz2vRC02R`|ekT^|P5GBYh71by- zk*`8X0Wc(I0a0Hub0`d!*O7%p3lNqbpFtTbM9-)E;H$K)$Tu3uTZUv@d4-f!R`ZVJ zSGgn0zyN_)eDNMz7pdT?od^(zOPW1>#5QQu!9dv)gkmoqp25|CLSHD~XgaI;RfX5* zf>#s(H*v8t3xF2d#S9mka-Y5oWx9cE8&FQmFmeGz+@9O1l5$CxdzJF|IUxSup@c`J zXfNf;^zfsI{L6NO+tZ7B%WcWkKXNg$P1Sq}P9yzQ#0O5{RuRHS?sL4y-}(6M&ST;R z3qulPF?K{EYHid<%ApM11E zCPSGABcLCdc<`17iyUT0JnvwSyZeR*ikNnL{+Wk44h)jt<+=+37cRj2RPmCw6!B z`0hzp*G`q&>V|9l`0>sUXk^!gNjo}Y+Kkzvrq((-yKKv*ZmVl(wuuvFD2LfAUwx__ za>!oNCp9vx-A?=1wl=4i73j2S)0~Gmpd!!87qW_=g)YrB09{0$G+Yj%cXYlClNa7G z{)wZ$%D64^#9#5ZC{EuHrmX-rAty4~2U(vRHCOggzUJy*|4=l>ePkG}Y)~0uZ#W7; zjL$Cg%nRH`grk%{D}r!vIc_DxfXwH0?2e@@IDPPXxgZu0IbIJJVx}@IzsO5m=~GWM ztQzM_WNKFY===(VFM&uPEobvd3USHAoCRcAW)3j}NTEQPCEk$$KHB4*%XeTZ8wmx@ z0;nrHzYKmvSWy}8yhS*m7k+}WC=wqwGmR#q%2NP39FP8tXq$s3CWcZh5RE7s`8jpx zPowAqPvR=$&3xtjLGQ@&WgtBV+DJSjd4*I#<7j*lhTeECgX1pRN7$VntM$>o7@#hj zsxoooCp~2t8J8<5@Zm>V=Bf5kxtt=AB~)u+7)hHr<9OSvou>n3xPIVqxRfKp99W>A zW2{6R^`p4zB{N_f)+&Rzp`voa`K^xQV^Sssi!%1Z5lR9d3K-=Iy!y1)x)1#A+N*4v z(gCm64QZ{9H_EVG$XSGrj-7VXKkl@ZtJZj(ul)M0cG*c@%;C`I9_m|ePMh%byzFi{rGJM*f*CW-)8sT{IJKFwc2xEe2^Q2d2?o1Yx7v!ci+A3xhJ3GtD&23y54%a zWwd%@%a3tH`&gB^5tAOkn0D^iVLePD#rMlTdxc}Z`Umf_CEIJQrM}sko8y(l(@#I` zY4uHw-bUIrb?Q`)n>2p1=jrpU!(l%`iNPeyp@}i|v8~EAQXSj2+s4hCw6f~9iIbGI)GEY45Irh(6|LMG7qB__wU;M8d(aK7j4Htm4WtlNrLFTX81CFDlfW)7`Gs1yiF}OuC_?_;8wglpQ{-1adm?Ccliav0B zBWMhsj9zg85r(I!EE9sy5m&7PIuW=Gvvrn-Lb7~04|uD1Mnck_Y31h;?JA(0Z!}N$ z1kZ*if%Fl1Sh1>#j0dt`$dhq!*>A*$4)_$&m2${3Ksqj!A3(b2r>+vtOjoliEMOF)0y_D)>52xpGHiw;i$fah`J5H!CB1cw_S zDRb)BzIBKF;g8qYwk_Md-TedI_S8d50*TjKKHGSH9A2_{VkD z+tX*e{Z2!4lUCH4obX<7P=Y&S`rPP4xs#rAyq$L1NgfWJ8|_8Lv#$Y{iJ}a8CS?Z5 zvFK?slC;~Qn6MxRiHE!nnN~oi13_~&j(!J!@!;{EmUTMa&#n)G)6AUYaBSZwh$^6>2Gvd9dQELSP7tX{DY;fSx{-IWHKVk>dvWAG(Dn7~X4 zfguc2ld%}QSmA{6!;kRDHl*QvhS4g3dXrAiPq4HK$_`FQ15OzfUn)l+@xZ5%FzUG` zPI--n(X==pw377IB(xRum%}RM;c{7^l3apRfa#+wfeJneHE|>H>;X{$nO4r9;c{FV z%C!D(xDnQ8@{#h@a#06)s_hs7ML$;Z%Ns1loYPTcPg;`Ze9nGO@Ni*po>7;8K1I#~ zsEG1aya3@ih95j&y!ckE^2O!3)x1ENMsg=jI3VSyOpniurCfG5Xgq38$gDPB{tseo zidk>A(feH!THgJD7a1SWIK*9Z%{8vbM?;p+FnGYtL@35W zx9w#sl+O6xYp=a*$^3s72?5(zce%l;8ZDsP{_?AT*x#?dxoWh4)?e6}r@h6d&zNje zrcJgjE%=(YZs2mGp1R6OOsY(lZA7<&6_vJ zjz0QGJNMkT+sP+C$NQ*7>9n=Cx8GM!H8R+{wM1%dZ*xA)oIT5KzF9mFAM5I6)CT&T zr|^FD@)fqLv&&}BoTD~ql2AKrM;v*uop$QUqB!KAk&DE|HZ!a1kdc&=a$kA8WW@Wv zhy%*`GwfT2a^&%{$QDqFODKmE58(0S^{;=l+XtK{ zl4+#HBoju1JcRL?F)%{_NQ;U=TwUSdyDQBsd3GZy9E|U*Bg^ozGVzUOYCK0AbXL=Y z-h8Hf;=@np?I?^bWtdgE=_&97<-7?Prx8#xYLo}c#xCEFBoIn`$>#*(al|vLL$G}x zeN5a~4J1wJP-MaCDxI`7!=r)ta-C%uk;V-kB}E?wdL%E(c%b>&!0A-EGX4yw1MM?c zij<9V+1K$Ld7>P6BG2e{M_g#a3 zX(9hrsN#=2iKh%aQ<5*kR7U`_E0E$sECruF-1_r92Tg~!qDby)ri*d#b z-)z0j8#~w5?1&S(lyf>TRw|eM2;P^U{4)FPO~3Utj1ZRz@UDjZd4dqQ=5N2U?TSZc z$;Z}LQ&WrA&uKnz@;h7|+jrQ{e)cOH=;uV$&F#FkzBk$F+I$W~-MWiZR?I8YkI|d3)KiB~REdfB8#WBLC@i z>)mKMZ^aLwb62O!39G_OF1g4)_u0=nKXx-=l`gyg{s*nTvDqe1o~*hidOtC4F1hs6 z9!Bm`Rxw_w|3-u7%}IRbcU;x-X_V^KF61bI^!(-)NJBOnh@<{8(6-DQ6Epfgaf7p} z1LBob5teK~Q+|Fl8fug91j3`AsSjafX^WprW^ZiaA}@Xn2LI?#1FOsc@{#6Y22sf| z(8~2DPJsBRr@E?2;5n5;#%F%~a$achx)hF+F4Z;!#PhR4!OYQRhBX6ngIuj@5BUN{ z$0NkNoW;*h_jKVZw+E<{QyYM6U*g&mJX?C=tU#%4sUY(?fxb&uhRjD+wjZ-h)Dbi$ z9qIC28Mrb(km(6~3Xk>^yuLynygsT|9`a@0peY8j=at*JW4mwl@U79%fRt`uydZ}U zd#W=XxI%f9cv%?Ah%2|P%HJ~NbNXqttnUNv?daU;28eX0S&%&QHWts&8xZ}2@@uS% zW7mfq{6c%~{(q{_>2xcf(@MWrU(YL`9q_pVckESMvBVal+EUUV0KCY4;k}agv4FPv2f$4Y zzDmJ0G&i~NIsT**ZRVWWUWNkiuYhoJ+;cZWqdHSOgd>dhp#wMNMG>e+HSt&m;xMnFD|}rhJs; z1mGDUuAE-Z1LQM~$v*f;19{4j`S%1_2atKvWox20nz{UpSH`^hKWwirMe~0^o}7%z>#ohgCQCEo!F9v(qeBp+NCC=0y0E zbvon_XpZx?X&~{F36597Nj)Rlgt02(6OuPTh7)fUDDzC7(9ZI6PtPt_ew6hIN1;r- zy+yuq{?QP1hCxaiGA_eeK9pAu4)Ub1MxD`zDZ~lS?3chFkd%3iijVC$DdbWf;&QM8 z$U|3mx6hd2f0L927buV6rzVt#W6jkq%cL*DNq5GP*By^VS?6J4$bf7!;P@5vgA6RS zhIiYMdmrm*z`s_UrN71ZkcbWSQYSd{T*EbKPD8QFfY^99GZxtPtB=cdZ3dCnKKY7x zhXo4X1(6(TriHv=BxcY1k>>-r{l-7^EoNdP3=2^3k9t!+QQ9pH_5M+B9FEv6h29nX zQFx53;r1=tq`0?B`G?!t!B6COS?{TF2;%bB?Pc@dIb=I_cKN}M9a8LDx9sq=fI*et z>MI_M3UuM4tPmi(B~XY~qBe_Vm*m?16_KwY%@VPqKkL z4ceiH9AJy~o^Nk`%h~psOV77YU38w>(JS2=2jq}1j3#X^k5gRsPdOey_A*a806&dX z=3%1GwlkuTVYFYCNvPJ35SCpPJiQnXj+-%1)RS=uXvspnD?obY@fBBjA3hVqfwYPo z;t-5VW$GLt2Sbep3NFblpUY32Dd^1dXas(21w}(O-rXUT@zOVdGPs1%c%SVVT2WRg zux#T9P-jp*X_a>E0laKsfc&}0mWIyL#e=NF@s#s}yQn_z2P-@OBtYq24qg^{DVGN* z%Ha6OTY`)m9iNc20huQhxoNOTX+wkJQ`m{;Y8{UBQZ~!urvXU+f2*@xZls?IF(wS) zfSoAKEEg_~Vbv%mc&){APDX&Bze-nzE6-Go;gQ4`)N_?;dL!z} zFo&$l;Q5Psz)w0Z3>$n|HWe0bw$!KxUU|FqOkPeT(@0iTK?8Jym!AX)d^qBG zH&q#Q1vF~4HAZ+7Xe;#qTefcUl^82B@CUy@8V6{gjnx#tpT$aktR_YiwQ5?hV4f|Q zKhI{*p5@nhO`SU3^FQ^}Q?_aSCL8J>((19%PCWi3JMFa7>_Z>=h`s0C@3VPx7kItS zb5(_(&(VsY&->daCIZP{d{xcG%a47!tfd?2%O(PTvQCGBSLb#U)^z z%0O98glpX)ygBHDB*!BlPsGPFKsXzbX`|Ek6sJFxa_)=aITPnO+f{`UcMC`bka!Zn zU#4k9J%v&jU1l_PFGoPUV^hVMT@oa3!zc)T1t#!kp3!xUh8&w5hj-u0MI>#kmJfeV z^_S}#o&Id~m-ChLC&WrM^6V)+A(T%w-TP6`)LLF$jfT-UJtwk_k}GC`Wgx%L-sKN! z0yXGcaU%zDwl#5AE|wnd*NnSQN~qK7Yh&`|vNS9U8pNf@}lj%B0cOz!hclhX~6+I`V>_+=DhC+Bxj2k%7Tp&jYZ^ zIaU4(zOd2O{e6dIP==ruI?d+1r!{C}n-Rxl1Cj|}kyJqKRhcm6Q5Q4}*8STKT6nU@ zb0-5 zkDvE3Kh*Kpzh7h5T=N(E?QgGA#j2N=few-d)ytP&!{~@YFF6#3Ds_j;b;`EVfRTL^ zzIxij0L4R}M|;AlIPuEoeiZf97v&RIK(!3uvRVMAdAXCe4CS)ru(MN8#-Bbo$DDQ6 znU|n2hBdokh2x1BEd9d@qY^5G<=mKQS?<14*=})U5UX@LG?k)vE`YMhK_-VXNFM_i zG*$`hFa30QI&i`C43qA470hT7ey-1jI7{yH80?0)t z`M%YxyvFRQn`~&sKdfo*Q?OAQwB*xRE9BUD00K~t{gTaUe}X56HJjg}Xi1O8WnCRt z{wNYh34FEDZ#D;y@HwsYk22OuQG%N?0|SG$-@G`1_t?r;yK(mwynMD2opvE?pLUha zZuM;T_^SA3Yd|aQK{sH#?clwC>v6!7%g(YM#SO~9d0P~A2@x)yLq^Q@Kl#1(^^b10 z7rx*mn?3J%JL>4!cI=_|I1U>>%?4`UWizI&w_O8ow->+ga2x1fZqug>*!;P5cI08R z?D?l0YA<;HL3Y%kcSjkUYF)i2T2sSTm21!nX3%ENSYt1KPJD|sq5VqRx%G|3mxy8D znRIt^)zbh5Hr_dP?(B;1u{0Jr$N{Yf9(k0lT)NC=Ow9utW-C{p>AZ(WU?2b3hwT-wc$w|JXpzmDIn8Fxo?$OK{d9Zn*{`+9lP9?@VavK+M!8nw z!u6-x#<=mF8qtcY=o+O%hs%KIOWD{Hc>jC*z!1+KM|*@7{P-U4|B5oyTjWg_<FRLVj~9Fm6C~rE@|xsH1EM7Y+l7pJhB>K}$X-1BoZ^Xvq8{$|(qA zawZ5kg}gTy1>{SYFj@qX7GDjRjK8}o_+XYo%av!+Qh}m;h+shCB=0lw7f_DRyb0AX zzUaa$W@5~oa44|RxWSWU$cf7teJUkx`c^se=0)2ftC74(4u}IjPc0}aCvXVjG1u&KRmzUJfHKd zDy!bV7X;Qg+RDYz1qJ2wR`RJpT6GC|L~vejjy`4NDJ6!zZcqmQ#W{@;ySuIth=wAz=uy;@m*&rA7SzgXae5dxzpc4p(CM()!!#S z`AL5c{&SNK*J?37;@1?22WPyB8MgkOUH)E+FttN3@AkmQmMhLDEUG)&Qtex4N5;!? zlzoR!F9XWv<MP(qnuB=O8^9ZL)CgAFnx0XF@ z#V7MvdUBi@0pNfJKM=sx_w0?M8R8 z$lE{?R~4$|fN~w#pU$5m-nY-x9$-&x8d<&?CU5eAJ|4{{@|Iol-sPyklm2MP*wJlB zTze`{IJXwtiz{;Ykkso#X^aABr|o?*UYr6=9wgL_8MGz8ztqvmCyr@%5Ilnztdh|=e*%; zyZf0+$YQ7PZFQ40vxu9ftlRcp{lS3P07B5$=U@=7i8llY=6@fd0!=M`NF?vaL% zyg(H%4qKpv(E}c%0u#I|6bDfvdjwH_7(>kFr7SUo$(Q(O{D1_OnE(z(0pN9k5k4H9 zUQq(-{mRKF)de2k7cE?LaFy9fFHlw_<#;-gXLmfy7YZLtKENZgs?dv73Fg#&UX18NNk>NC=S^mzfec-9;RAkA|$HTyA7O!s1s!3faR& zMCPS^&!BfyeNIa$50H8AvrIZ5%amQ2pBXnA_7tCSm9&zp#1{(JT~Q`!%V)r8^pHSd z-oJ1}S{W**&v4}#F!@n`g^_gv-CH-=ZmlS~w5l1ZYqF-<``XMizHAd-_ysAI zMorQ*JJzZ!Ak! z4vFV71+>v`N=GIO{AI{z$`Q_$M&xDYAI2*TV_pg6q*q|_f(0rUeN>hiK9s)yq5D0) z0vaD>0|{_-6R#xb2Tn0kf0>SKJC65P7!T*41K+7}cp?soKp)4{x#Fp>)KqAveZ4*X zZY0RFqqD=d@7U(oG_mSIKKjKg>cN0;3r3!L+^#ZR^1HQS@}vD~+s>Uk#X#v(^%L!- z{-iVLDt?+oJ%XY2Pa)8C>Z@!Uz@d;ylP1MCpGxCOIAk6lyv_p&Y=*%-Ttj)J7`|8a zB{G8qB<}2s(Dwm}D_s==r(bSf#DfR>K-=ICFtSVlaam_T@C2yR5;kXKKBvAaJRD)i zM_?O@myC&=4#Yw_e+9dX;~}KG&=h&yz`0S=QW`;0o+^u~4TxnS%tD|FX+enV;h9k866c2j>bV(D47rj#nbRoJLx-w++P4^9CfI;uc|~3aZYJb zcjiGzn8oD1Z{?L7d4q<6mO^;~UcNAfK$hXIIqoFu+Sx6v;vak1ML&4HqTbPvahdPg zfqbH?$d4 zq>pjOIE5~s&9V%@6@c;q$md6?m4`Vw+t^hVrIl1ue1r|KCFyM_0lz;*or6;N$sbqX zQnJ)b{X7$Py`Xgba+~=@VCsln3a$R&m1seK3=LF|rQ5v+`DF(v@iMqxPedPPTPCs!$T*@g|9th0NUyInm4wqvKlo&7Q{+hnM=+LKQ{Wvf=L zw_rmuO>J20}kE|iss-;RLo@F zIU(^S8pDJ zT#*G1_x*1Gy4o)jm!9FfxytHlgabzv4}2)g$Ow6<&{xp?>t9~(so4OcF<=z(%o=PM z5TJ}GPR~f9i-AGjWEfTWWu596{&`$capucG1mO~W_k9aaKprj#h0*ylB|vnJguFPh zNXG-@&klppUWbzkJyK%DDoP3A3kW4m2^crxykASSi~#W?XQF_omkgAfTcx4QoQFY3 zgv)sg`~Wobdq;fa==G1PGoKNl3XhApqyt&1)FE(R3JS=094g%pkD%;|w9!z(DWCe{ zxf+K9SNa*B{%9Ka1YVXR**Ai~hofJs{0~~AFQ09I6>Rpt{@?0NNZP@j^k!U!%g+Ge za(V)N?LeQZf3sZKcrxR5UZRkcJ>?Yex*D#sWA^%{KLd9>dW+}rdgL%z6?!yj6oN7kQp%sKegcFZ)-W>?>EwZ~@~^_1@_ z{PUlFVw+?jP@Lo$!bmBe`k1WZ_p6N8QIrpQ9=4CY<4VWzhyS|JW}o^zDQLDAnfONg zplxa2+wH7_)_A`Ee)sA2*Nz@L{OFVItPfvmi#=9tdfh4h{T+A@I`BZh83VQ7rD}h*52M>MKC4B{a@2XpkyV!x(9X-z;6Q7xQB+qz-k*f%< zW&*}dnCPp^$&;u0p^vY9^&3{(&|p9RpC8(JpZt_{b?>y(Pe0wxeaE|eYw$~7zAX4A zKD9MB*(H}=WL)eUGOoXxkk{7L`&Q%jj;%h9Sy|4QIXh%Xe8SOo@+RLHJI-^(mw_?C zce84N>&eWIg9h{{WxQ>&n8agnqHK=qs(#P0NgnEpx+Qz1vB%9RgmLhfty+LOuqR%x zv@m|2YshWp!~v97ePM6|YOea#6>3K{0?0;j=M`z0o<7TDQS4hQbs~t$sjNw1eDZna zMMLuzV&a3*!JH9!1=(4O})D4D;F(I}nhs&G}O3&FN zbwyOrmYsuADjS>x@}-|NWn39DF53-OdA0u;|NsqV2r9XWqi_7rX#~6X{**n zJArET(e}Vu9V`Ihd>-v*o{F#P)HTn5GQKK618sdKp8;}HCh2mgft{0+GCsn4uA|C> zJ*5Gp<5Ct9JYIg{F0Y0vKE_MY{*&Y7c!%iwXT*8cEF3VQJnT6rH@vd*FT=p~hXkU#DYVtXL;Ro&LxcJD2{d|M<6{`kAgt)s%1+6oQ$9UMkb8b9vnWu_L%({Ref`#M+q`AF9d^V?cJ?PeZ~J?S+0myQVn-Ztgson= z(%$r@H~Rg92QNC#9$I#L(f+_mz38Xp9c_x|AsPMPEeeyLE5Sc-uejV8xcBiJf(JD+ zf-qD4Z3HMhohZrI3y!MGWOE1+1Z5DyLBCK*uwXk20XhpkCBI%+FhhvO%V^D_us z$crqbK0Ep3ll_@3O!^3VNMjXCU4&^1E8EmVq!EYF&Af*mdZ@=uoG{sSKYG>qR~Rri zP%^~c*YLaOQ$7>nN-TCOD8~x&uDkA1THJmD4U|3o#V@k;>(|g!Ok2G9)H5OTuHpUzIeWfrE_bVb_ zC?uBwrBNrmq0E`5yvob{U*R`0T;QiHt4ZGPyyuPYFH&W=eshI&YTTm-$d})2^4nBg zl|(tNV5--OtbcI8m6Ovr{Fr_0ow4Hj*(L9{eO_~xX5gYxs~y^ea>O09SNv$^Ti^c* z>+9*Y&aOUNxbObl?zjqqK*IYV61(kF*CLdcchh^)qI`KYsjJ&xed)xCle*qmMpn z#~pW^b;#ho@YK`2Kh|w{+RMS$q@hLl>h;_|(Chf9zUER(zZa87vbK7lIzezk9M4lGwO4iaKHNb6_WDmV09;AQS7|OA+r-e z0}Fix0}7J;w_iB6&b~6+g5-ClEJA!PpfX;z0Dp;C0CmMGDH_c{$GDI`X#sL2hGq9S z)d^&JKnz@j0VuD`o(-U|w=b?bVy_sw6Ox89Eg2?1c@sDBxC}?2;84k6Wq$nZ^m1n; zl*3tP7!2a09J~fIPY9iZ_~cM*5k|QZeaeho$I#(>yyGNNarB#nuu>=eA)mp{_F}{* zUX>}J9IgxqG74y4;`_fr@QX58Ho>2Tzk;ur5R~h~&$2#v@L3s3B}=P9S1XK5AXGR`{WDaJEtFf z?Lyn2e2ezp%WqH5*|2ZVu?;VJz}ZlYg`}SW?!M|Gj=BzA7^{f;m)+szi9=yPA9-k3 z>y)ExVY_FuyC1*B4UgZnQM}$ba=#NIAb9lg+pWKlf67zWjW^!pW9XLKZbik|)X7sE zKQyzg$+$e?uzGvbE57bHZu$Kcw#lzas`E5>jnY5;#Fu(HaQBkiD-)q!@pS)#PO|u+ ze6ySHz0P^e9e(TpQTCLhUud^Kcw>dv(3Ug+;M#ldy=}#c72akJZNOLX_`BXo-?kU- zpFehas$F~g`~2uU2Uy@2bb!0A9-YX0?kcar?Z!j+Fj_8mGG_G+b<%ltwq@&P&j$`x zT+l@Q8)SGn^udZ`(xl0@efzeGe&NO%e7>Uu|9q|DST)h!9XobP(NDK?&N;_%;-DoV zpVM)z+_%5|ZNF+O^T8Vc-Vs+{A3m;MlaLR5-~$r;>Uhg?K!%ZfV08T$FKB=Cp@(g+ zy%%{MU;4sj_KH`W>Aazhot@oo$mY+VtA5$nUzM$p%nec#Z;-A98tt`d3Mc8it$FJSZavH;?p6N;TZ>E{Oq*9=K`mm zJx#5lF~pS{nNW@|husLO9ELEASe2$q9w~N&pSlnr@?=`V=w|?-r9Chu)01AF)6X=R z$c&aex$Q_CknP9q3A~JwJGsN*+TINaDqhi4#H%GN`f-`QSEj5r>i? zKji?*G59GnYt|gklXZ3X_Sl*=YwVvl-Qvm%Ko)1uo#S|z`68pp+Xl^;sfVAHr!4r1 z|Mutq+soOejK~zKg zz`z~$A4gr}={=i%Y4@$49&)JJFRQsjk2>8Zc`7&O-);k<9~v@ve;6M={|H$C2W7yAF=6%8*x2OH;D|dzW32M?Dohv|MbL_Y z$H&mowhw&Z{a$w&lFkI^r`$ys@g6O%;35wYJlgH;Ar9JkrVNhscfRW#o|p722V^)6 z7rF?@XW-_WZ?>b3I?7tw+w6i1F0g|SIM5C}aB*3rgq7!_Y~~^CGfb7EuFOX`=q&Y$p!`yvw9)B+ z;}@?Ga%g8JL0g|*x6Xe1yWd%}gaEfdNWTgmGJyDfAo3n{>`^K=>`Q-MI>XCoJ57sk zpxE=RscrB+VsQJ>XbmP;CYmjWP%79^e4FPS)y%C%3Z^g|(AFSfz*qCs$zinMKY$$Y z(wckJ=FFMxD+g~+!57C19+gYw1SB6w6SW@B-t~T?j|)5i1G}#;lq_^4-h>K1|NBhv z?^p%~{dh1q;8z)cnI6*N5pjfpayUkyXoOC+f1uQ*Nmt^_JQ*gNp{t?caeL#LUvnH^Kj+1EUsIdavU(AY zAARfR9uJ)Jp0mZyA?qE`Doo{}37LN7r+;ZLk2{0yHSc(Zc&K_5uC3{|U;l9AhsbBY z?G?fu2Ar`2KawW^IMFTfTxDa&j_3wTe@=IU(QsLFOP#r8?V&UR_7qcdOD)l{24MMgf`0|?>7hxZy z0_D;`;%B)#+<@(p!l7X}44dzbis7tEU|8bMjsU2Kv)cbMh7DhQGe2sb&u`@fH+>lf);!TU$!mjO>mlP;EM#Auz>O&Ujmvz933_| z*lT_L-TsZt->>_dwYD{@!>0J1ZqT`X`xd3|vb9gGwoMy0xB##>O{ddY;6T$6PJXg* zLm=xU3=J)<*4#eUXDZCl+uB+slngqpLL1cW3}9|}P?>amgF-*|_V-%9XyAMph7i{; z2iK<0oMBIISYK%ye)4a0djZliJ-@;80V!N43836}%v8bSeN?K0=h1xM6VTQPT0K!` zmMND{N8gwGG#%jSqHnNP?H6e{ZgoMP;S=)j6ENgC!_=X%K^We;+=8>nqvGyjwF*rk zi$L;cxL||h5)HuCb@4k~05m_bbctkQh3)LvV%xWFloIN(=7t)ZJibj653`vwCfn>; z(`~{0IksrweA|1`JUd|V-tLY$VzF)A{$+b&)opg@VF%d32kmD^9Da!W1Kk~d*rB%X zJ_~K0R)sTXO!X^=Cde30nlRCtq*TX^ZPw&!lKwrqXoSBRek;eF2IVe0viu^J~8g$3EP!~F@FEPKi6AkzrOr;cGZ=? zv#aGs8!Z5xLA{QnFq|oKO#Jwzi_|R`&xF^%^Lg%5&L@&3nez8q8c!&H@8^;uo$*Tk zT!|5v1`MCw4*)t)=oklnx}WqOt@!v3kQF~$t1e;44SY~KjyQgNa!^9mDcwuED4VVf z)D4}`!Rpd~DL{R5*kOmcLFUdt=!F(!7hp9?Tfjv*CLW{PnGRqyFs?_(Q+H!CeLrmf z{`bFKA8{cu5IbQ^n42^)>9*@{{D;a78NXQl#V>xrF1hGJ$@)_+L%g@lb~%2x35+A3 zXiDT5PsPkJ8-w$en8+iY7kv}}5k!m~*QTXx0h~0|p8uLHokFA}kzf z{Ai@B0X>r+$1}6(TJgX?4wW*+_ZQE?%j^;v^gh;e_BZkP404TLsvefAl zT>;O)+h6led+V!W%ZU{YFzY4P%i#Q0gml|azxPXzJMY|A+v_jhM-w~x1bL7F)IZ;m zk=|JS2Y&JQ{{=SeWv_kvSw60Kcgf6-pL|!3xvW4FD^47E)kOR8w|`L-#)!uIG5V4f zF8QNO7zxtyeK=$>N-!??;36OL&?KWx9_O(P2;d`%@uhOkEAUZXfI-@=aYh_~kKS?J zEFeF1Cmn28bG9JU(gnoWp?-87SMn|<(uANCa%?=AY(QT!;~8{Y5+r-$!M_%4Lm zP%7hxAAG>t#kQ$6pXX4;g4R`3I^w3LSL zpmO9%ngH*I5`U9V@U6`IEK}(_;`e}uKEaRQj#7Dephi+}^xeoZS*VZ=?*D>tL(IpBwMAqxXvUF3VQ`+kK$S)1*fn zEb1!yS&(UYrI_`qWqdYR44Yes6g9>wFgia5}|1E_a23K`C2+ zdc1wmDWBg><3uGiS9^qE5`Dr4z6Fzqud#5lp{=D*DrOkRZBj2z!*%L zso!;!QZOb=P~Q61x0a-cc4nQ>UTa_Y!WSGjJZx@l@iEN1BA%&_AKv8jB{XFFsE;!A z6aEoeq0wI$mRG#;OwUsWj91b~-QfA-AOC14o_M1D;~)RX3w@&t5*5VB{OHtwj+-|!VMe8`j0y5h~SypL^%NcDi&d#7+O_9rdxQ~ zpNwYvkRskF4FVic)d?{m0gzLkr7aoK7y|gDJ01;&tdp_~dIm^An}gR~FcQLJ1*gs{ z>diXyrw^bDJUAfB1MaJxK!pK?(L%uKys|)MIc5Ohi5XhdgOBsF`bSQ$tC)dgzA8{( ztvYGC&pv?e?oQExv8=Ow_ub$3bFaPjS{E2zM(6vgjxF2lpV!}5F=#{3p*m}8=2qb}OV$`G2E zMO}aW_5PC+?|ILAM)I`)iA?ZTd?hSWD!fRa{K+HmXMCCWiY&b7pK{*J53m}J6M^K7 z{)0CyElvImDSXJzB&$KI4IIC9=k5o^k%0b48jP zgJOk;Tv9*0qn$Apf_5M#X7F2MplC*!AAtLc^ScOpkG8xzZ_&JCtwqYpcLCIA)Pdru z*Q&(pbF|U-IPzrQ(id^H7&QyO=%;>^AX|ETONGpl#%}cdSx4G}*+<#zXB5k@P4_EGM#PrlU7d2gH`{Fzoe@De=ef!c^Rr10^-})!N z>N@w_b3Hoofd?QBAUwh&g&^+m`K)8>88?tIuT<{^sG8=Eiv6?(e_^;CS%i zhit{Fl`dmhCs3XEV92Yx8t9e-4?NK2l*39m#zk9miy!`=9@1X>y4PzW{6ahX^>4K0 zt5;c#^va7~`Vu?tgyVfjkG#|w`j;^hx*+THn=|q_HHx2a4zy*#p|rOp+&+uVB^M*wNp-ho_Fp`U-e4+&NsepojZ2={`axR z9_`C#a8XCCl-95e`Jn%1kOp{d%;Hc+pZ(l_N>Noin*$ICE#>OeH~hTDXddA>fYDfd zJjx4QdE!~jC^2sDeee7110VW;^~vyY7v=Z9_dOp}3FJ$j0`$M*R+Op?Nb!CLW%k5~aXQJJSGPwM(XWUcF+a&6_*Z`*`-8S=Qaz74-@?c!tFD5y~9ga^!(W zI1d*qQ`%i_hlh*t;CSUSou9SnVD$5qP4SAIW!THgjfZtJ$bKKhjjf;>r`kE^5&2>QTLtOf+6=azCF<<2x9 z$`oy?yWM6@{h)_OK+iXAZN~{kKJiw?37>t=IdLKX^`jsCs6wOH$@Z(-p6$eq(fHy0Hb6g6 zXMQ(G-@r3IxTf86XV0;hzU&N7W6~C&HWc@7=BobMzyCv%mX~Rgyu$wVuYcL*ZCmY- z0}pZ@y#1Z;v?Y%`;`#5q^G=^EalnKVk8FFt?97)~Q**2ITf24b?6H5`aGgEpIVU@9 z3`qZ-NVUmVUyx8?m>M>Ouq%m1*6ilRtHNW{SO`>HMZwfa2}&j)mbg$rJPWPRIfDy^ zdLET^h9T&?$jl&l1>N zp4a*cjOF$5$DQB@4VWdV5<6h=e%9DjZ_~8QU!j=^%Y7huR69nUDFNHfw?YFw>a*wz z=cUrQzN=Bf3{BBa+83SgeN;H-r|>XXlud)HPyMbo`Ruwn08l!d07CIG;P}>q@(JL` zKBl*lZyu*I&L*A}&U({#N17aXM#L8mAl}nxg|`)2>U{s6qv9RgwtM=Z1|kN_e;ZA7 zKs!GVLED%l`1>wjN%KylH^RuNT<-l-F57?-qE+59m5H$aD$I%(r7^yJtZy%U=z|~j zcL<{)+W-)TP9S*<;5+T&0l-W1r`F%+Zta${Jq{Y_YktC?{))+6_#unXSfv+7JRv4D zIo?JCamdY>nv-q)rU(4Dt;(=w`|+hVgu&$0WNTBiPr{%%kBa~5=ijmK{rsEuotqEz zZE3DUBA)UnGOZKc@lNWGrGpp$-@zn%?K@v>zx;QbD?FD+)kk}ofkQdlg0DOG)!x=L zZ1}jQ=4NYVWuP!)HPYf;8IxL8RQxccmjyqEbJYK!PaNd&RiMX*QA9SNgK$~jWE}XM z_eui?KD=TFq^DPtBCWw8 zz`x_tsB>UT#SMxG1$b|Of@Dy_B)?ON%q8VsWnRgb^^Irz=ol)d^GJi4Y>@$8m4D|@ zEsrZm@cJ??iB8Be08}Pj5g%bzk*VZ?#FY@Vgm8Jh@|W9}K&Sda4VYNuMUa6s;4IXL zN<8@f?VBF&z3XmUwrqu6aKU*#!`QNUqqmV&&5K_2B0rR|tEbzQDmoFRx@fP3cJ-g=Jx?svblkDY&!J$B!H!poqeEn_sp9rja3@DxF7Z5eByx$MhscTk=PB5h<+ zl6}c_H~zEaqMLz69#)H?^wbXG^vtO)R)oy#FubpO-RtbLpZ%<@UAxZX0R;0q-}#OU zx3|3v#i|(o_zD3T(uzI@6#!2&ECj({`auBQIX!^Z%!l9Dz|Xe(qZl@5-CaBVc=)(B z6i$>+<-u0$IIVWMS-=OX>X|YrlqLM5PV&WeGYUB*2)^)*3EQQ7p3o#^#>%s~rO|Qt z=zyP$74d8C{JrcWpZvK0B>nr}`vG6UqFBfS%}g9nrumH0AELvl&*{(rE!s&-dpoz= zx4-#Kxo>$Hh^j64h4VN;;wu9(LfdGI=hw532Zd=PK%4#j;E1tO`o^M!3%7c z>yG3MdDM?ksXT9f>s#!zpZ|hA_QY~egCF3EJL`74;o7o!vpx9WgKiku5@U?;v-VC; z!GCUX_dZowH{8&mN0-l{p8ymuhfRA1%Q{f4)pbVoEsI& z7Gno_g@1MRjg@zQFFgHpJL>48r8BysUBZ{&r2hVoe+YasaQIAKht(hKT5vE4USb?L zmd^Od`jAy7l`;9hp z<}7>3OI~Jc*R6LW#YfVA{_~&hRj+!r7-hEPvB&IhfBT#BqNTOTHm=>^JbB@ZUu^T{ z&GXMZmMvfDG%Z}XuyTqMAkKI1{7K-asUv{HsU*!@FZk9vz~HZ z6mA+afV2ul;3?tai+xTh@%1{_*zjwnEv@bL^0Qv)KS8n2KKnRcP%-ldC)*2~w`_F( z+_${Vf1#D0kQh47Sk*BIr69Co;8uo#zFzC<>=2URAvh5>W?~2;&YvaJ&I9m>ZjQ^w z3Z!&gyfFA_{D_0V++znfMhm6>!4H1WpNS8w1wRVsMvF2iE9x!s`CCFYC-XsDq_IIM zr~W5DF5e#zrmo<9t}0@i1bWCroXZ~}hRAJ-0}bQs(*Q5}+IW!)?V;{`eD5u-Co*Y{!Y-AYc3Vc9$>SrIE)c zu2S%P%nd)$HN*p~fH}<4)T{~H7`0v1@}amJGSQO``k)OXN!_%WIPmnVUw-rZ-`RCH zTdDY7=$*X;M?@{O~2TqfTq=<*f&TIrC zIul}f&%mi767{IRnzcq&m1jm#3?vB^Y2GVk&~t6E;_`d~gbv8Kh_0qHz)%7_Mie*+ z1Rd#nSr=|SR`LW?aaZGLPdq1{h)c-4_{4{>Q$}qA7vdnFFznLIG}+ooOP?FVY1? z+x(~72}qdXIgUO_ zg9lEAg25O6d`78w(UH8QXrx6s1~pKQOa909OBfB_r*?I9+62*1=#&aT12+`3%J8(d zwN>cm0@x-QIt-%YU_dB;;)G{Ar2u-RP{(T}$IrYsa*Mad1KWetpM9@CUf0B_Q|+OL zA9h~QPu@c16$jjTla*DiQ8?rbpJ(bH5-${R-qXf@_2spH|GS;_%2$Hk`=O|+0?88~ zeSml%`x_v}w;2%x0ohrF#Z#1bLhmJvU;()}T+j=~c5843?D}YSKN83$G)5Ov1(pXe~Q#Q-Rc#1GG;9)i0 z>k%F|h7%{rNDstC0Q!eQ$FPD6$I6h?c27R}q~wXub86iHanOp3YVW%D9=rWt|FXO8 zywe`gY8IX?S+c}e{0u4YXR)=hp;5X(lU2nH^ou9zW9WisuA76!&I{4wi5e4d((xZV6lp89bncc$WUrd4L~O zP+ZLHC5U1GjG>`8H(F{;b}Rx^L|M`jXb&Jo;R7@rILVpyC*44$9@ai(cOkzUtRkds$xY^UJ3`1Jx3|Su-qVG0ieW zoRZ_Gyq!moBA!zx10qkmk;dNjux2YxH*MEH1_#Ss3>JM_X%o#XnnC|D`^D!w8VxlG zYz*S$7e)%@LOsqS0sgOk@k{^y49!(e11KN*FZ$O(vgi4{Kad^aDP~m6#1`o7SzNx;+jk%*P^$Rk_00J32CBLtZ3fUtsb*8-gmaE!I9)Nzr zAUBEsogMMvCqFJ2-!@W@+9rn&uocE2Obs5Z%;>8)(HHIZ#`z>HUGM??KrMV>QsLX` zW%(Wn(bgCf$yk@e(gd1GAqZF3QK)4gST10$GkRosi+|SANn1elkH| zwv4hx7S^xZU@Mj_wI4SB%U-Vb}g4bT3 zo~a92!S_7y(joW|`Gl{APdt$Oc-KSwFfIVs(zQvca%h8XGvu8-A^V}g!JXmcC#!Xr zan+B!`JoLtA1`i1qkhWO^BtxpM}DU(T$3`7T?)QYGV9v0)AIqO(+W=V`b7`|>g2PO zY#&>cy}SCXPZLLeUD*#%DJwLiH=Jg*$A58HeatF`ofKcG2xn6x#*h9h`rmO@e=rrF zXY!&4z(=@3qn`T*G*;B^U7cMqMx-CuwjJ!|9XpeB(}eu5qFsi#sZ~N$Yg4DslA*oF z9(v?a`^7JQ;Rn++3jCcShdy5Yx>w5x&a`=RW;q>v8t~NWwcb|eOrf)#292MZU;p}t zdJ5tYO;;v`0Q(1*B9!@J6i~AM#6Z!rgb9Wv&z-`piEHk9S_!Qbrf6d@u@wL*KI^BS1qcX(2%t?2I21cV3Qd#)J;$4= zhZn3be&Gx4{U7{bMUcV4N{p=u%7f?gmwmz0P!MceAQRiRY;~N_4{s>XpmH9Fu7>(p z=}}L&%JA_c`4E2Mpm?A!6gu6{huKrdPqZKY&yRikk1dlrG5MY6yaj3Yy0V`bfc&h) z+O=!#;RhbJ&D%En-uZ$#bJa09AjB2rk8t!gZG(QU&DsBu!|l(%`Hj;wang9tJ4=1T z4FCYVhR3t#&9{$VaG`%ESEtn(ZTD-Vl%H{gf`g}hG+KZX0hoO7y$fv}Bjx0DDEtzw zV!rpSZ`l>!k2?&bO(}PK0E~NrXmUOjFbxxE1p10Rt8>ez*D^82t8PJlFM zMV64OPh5DRef;Aex37Hl>&`b$ys{0NMuL@ADqvrAsXX$+iiPm(*|S~7dH0eElVj2N z$jZ*0UCzsT85lkZ@l}c91Ak0jVyiRSjPj)oV``g4Jrj^HMrD}?ceEqqJwW3_xS-9S z6eb_wd5V0=J0?47f#>0XPvRuq^T=SZilSYeonfR7Iph!@FSK{-_HAzbkk4$s2W|33yEes5Gz%S~=2p@gavG$J}u9uwl*@SWNJ7Ju1 zB%l7c{E`>G`DCpKCobAc9ERD;Xne5qhTPW6k;Zm7yE@R#DRjh(I$+ZVO;l#g(70%7 zwncmIZ|`~U`<&>ND^~a|_Zv2C^xNU1f6V)M?*;Q+CSLG@7x?jc+Q+w%Y!g2G&_i~( zCiPBN*&c-90-|%MJZD=7t9PI>7MXltM2EnL2>Y}0fIK@IB}6#W!GjM&U}wS zt{O>zFr7);(g=eG1xp8g`O9CnuYdjPF3`M?MSyy`I(_-iF;>(x!r0WO&v@sO|{fe?$IB$XT0YiZ(fs=1UsE-%G9D}7C zr={{Gd5e@h?VLPqs%!Jz^(rVP_DiwxjYpsO53a0}Z>LUPe5BPVo!Nb3ZG#MGyS@D_ z=cpK4A<=&TJm)m#mQ9;9<9oz77!x%U`oIs+9$dF(k+q_6@!^Nt zpRWFu_X`Sza@-@y%+T|Tfqcxl-$4i4`#f0V=_vifNA~{l zI3g$h@_SGKd{+xS%2jBa?_gfrVsmfmVSpu?DHdv(5F3LvU0=LEj z&klt`@)e}Y!;1ivSd2rLVX7(d)p0AHvY-3n7ww#L-fZ9a_IErUT<`-3IU2Tc?c@D@ z?FHw5%K7+>uYFB&yVK4-`wjN@zyIC0kGS3p<;t&3;Gc2EOPu~c|M?p4o95Og-vUDh zm>9Cni~OK`%kQL+VfgxjQ=eluTz{M6@WWL~!$C7A@9=wj`(uZq;1lVI2S;7t!%xLe zo7lEf22V?U$J>#9O|Vjq_|}YZjtp+wwpHV`#*R4RFh4EF!G$%e*4UKk)BNDm3MqFC z8Z;oU{5tZ2MGNh*N0<5~!!<$*JMQ>nH1_}Ew2o^Z>pI6@6EJQh z$NanqKJOBVqa1iRfH9y+bOP-J;H!%JD}yvvt`Fo}Lk{T0hk&48PepyS#J3a`A|HAD#k=tOzHgKi&|>Ng zpS0X#4_XkCtlts2%XZ;M5Wf%*h3Qn!Qy5BsA5xp6S^kkn9%bMC?sx2v*DGNZ(QTTw@WuJWiIeOdZ+n|PaQ}nqHhrZKd-FpfIQ7Ks5^&FflZw@bJ`5+?&=m!;i0djxQ^89 zjMJ1}r|Rn!y=&L3u~jQq+QA1OY*Qvrw%IZ`C==d`a9>==MA8`j&jgEu0V`LovR!Ix zyYi14JKknYpJ_{g zt_=C1D`FhMXMDevgbAMhpDdnVf9F%^% z@rB#&dB1r4amU$aO(yS>oxh}VAYXF9;$IW(I(3se#9Ym#Nmh9si&Rlle&$Yws{>G zF4{+3IPO3MH}A9gq~<~C30Ths-n5x;9!No^;gQR^`sCdAzRMoE{}GK{K6(yg%40AGHM!td0qAP4M8HIRXn>nR>iiJSU;p$+pMXF3zhRZFD|cd2i??XG{{A|<8?MN?eP zN|~vXC)uNqKJ433^eX@z-~w--6e<+E-jGY4K!Y152@d60bs#%H@&HF1azi>F(qD1K z754q_f8Q><>@s`pYhSB6`mMb^?j>Za?64!a02t#Lcmt5jxDGDscNr{v>fmSjk2>l| z53{X~k1>Z9?t6Xl8Kyz4lt5m69e&^af02R2Phd2yi9Lx(^ zprLKtSih!@QNcU*);2yp>5(35w)!!>_SC8;?T6y?s;5@khPCT_3vsU6{Ja-D-}-mQ zw`x%*a$0BIJ(|S$;GmwAEvC9!m5|XNMHr3Y-4;t)RxD(S?TJ_#(l{Jpgabx7^B8=J zuYj+{LP-3PbslvUmAlV#W&KxGnBWRVKv&S0f=?U3Nxlkhr4t4f*_Z`Y_CpIFpe)W$ z_TZ}?3|u(n!_q4Z@cl_oPckv$GCj*xc;!ABLXbd(UnwWx*^gk0z{Of=As`qfw*B7o z?)TYiUh^8iDuq=629CkR43;fIuKVApmB>V`pt!t~>x$Tl@%FRYK&pzt&NdtZ$38Uu z!;9%d4?e_cU{;OM%zpHrPf$1D`n5vC&oug#mDjkou`+gb)}(SzELmdByjYfVL#X=r zO(ah1@vR6wi$ivZ=fh|6WSq;C!pS@ECoka#?NO^d?$&?aY&ZPzR0J=*;T0dgLNn}Uz5-8$dCWRHEtie>&?9TZ0&XMcs9VKAH? zwSAY2^Z2oCZnRlx^3F>`ySOzb_)eAhC>r`1gAOm@G4E7TS-F7XXal~$%d0N(L_0)} zw?m4=jcepf2s%Z%@&c62IL1_t5gZWX7rZ=&&uLVzE60h-m+|3{vkW_~Vm#x>8{w$8 zxh;M#3|c+DpogC&sTUu-&6-?Y{OJpA`gFv)wg37z!DF`lL$=jruvT0rk{bskfj9zfwkk4}X(SOa_1a;~(1(e{`jN z?Q36i8A{ow|M;#FW5(wzY=0B~{`b9KIN2hv^M1~L!3S@)AAlCZ$P8sMLX7G9rh0$3 zwNoo7765ED|LkW!)41g4W(GB(>a@N0-p3w)>~a63f)xtmgozf{dx4XDs#WyT?~*GP z6%2$z&(8#G%i=J!l=F}5wQ|Z&M)-B&F-_tT1Ai3oZQP&`MP1QG?|+R>;@~YH z%+F|hqgI)iMXr_N9scl8pN&=A)F~5e;lf$caR>PQtMeBuv;z)2*tm3;qSzZIlG%@h z=_erTkd~0|*58ZrJ3w(jUc>Wz!`u2*bF%*#hvqr9qw$IAA99 z<}54#`dwi`o5Hy&dhFqc?cl=>vjb(MRLoYcT+2X~E z{mA?&&;JiMYR(ra%&1C+LkgCYMtm%(7W?WY>-8I|#RKjvgS!j>fOay7Fk%4oA&8U# zA1g6_xiwb~3~1WSY>3l!2rj};I)j{a;sEl2k2riB`GU^4XN^IhGfC=1xa(kq`if`# zgZJIv`uXXH-BLLH;+xvoD236)2{HOqeGLt-c*QIHqv-5A>O(mL)W;!?_3JmHQf&poc)G3S)T?aMzjp@8osin znu-k^te#~e9G9wd$huUKKL_2xzf>e179Y97$MXu3&VhF44ukRhJoJ0CtXsc;$;$0`_}EP4DT?$^leASHtUjd=lvz0hkz5hTwXMjM^7+0qfX>2`2)BN z381&GLA)r&Ep2Ay^xv0XZkPY?3g5N`XDVTUGTHYyaDD%~-?RVz{txW68js|SJoqu* z!I2Z4l=}o|0|)VxMdmrY#)r24!=eMdzPh9Am%jKFyXBT!{L%q#8R4UT4lmR<#Ysc} zMNV76Nu2;8e=cK8uT``ClWxYUW>J7JK#uAF40 z;Yy&b?)aaXgGj|naQs=q&vSfus7A|B@!I1cY@>O(k!sfjbU z3X`cGU!;Mz>_>Vv9QZ_!k3R~UKl?TEH4ful+8fNP#P^u+v>y|ApXz<33dqFFL3J<)$ z+LRLK&oOizc*MI$&ed>Y>eS*k}2AlwWm9zjU zn;up?ACLkW2T(81I0n5Kukj;a#tEgv$V2`T$Orv6Y6z!NqOqqZuJZ*a_2C1t>mn%R zI_pe;Pn2i-HRIV0V0Fzw1L_L;c3W#pyZXGtPuHzkyV|tavVHd6+cs`oZ|`~kyKRP6 zTMHI0vdL3tSbuMywKO)l(H}Q%LZ#j`&b3mQ)SDmD6NeA&{tRunAy$`h7>IYem;d+W zQmk<>A@Bvw+1`|6heD3Yi;VvA7r*fQ$Wa(O>SeXh1h8cM3YR#chjGaBr16uyO=H_z zL-|X8vJ*fZ=Y8_yeoyJEUioU9F?E`~;p{g!?UdyggIRr3Zm@h&Fbn z*e!G(2^kZm{EbHBpt-5tHg|N1#yUIds1uY?^i{@Ql!MOfS6l*Vd@@0LI3@%*Jt6SP z2-?a|bPP$@`L2WKWPSpT#d&_E`IqkE20k4`Tnr)rK?=rXXU01P>MRDD=Z^uN4sgaQ zKEIrgn;pUd(MV{^Ml)msmk&(R3&oLj;DES?PIajSc9%Sqh3(wbk?%clC_MJBi32Bb zlyN-NR~S9$4TVspJ;BpFj@4J-PrBA`+@K|O9Q92HeVigX{ODt>RfbH3tyY7sh82?x zg@&RFV=DY~4B}my(UFE=G!)0Nw|8{-U}HNJI%le4 zrjM@om?Mw!ek{jhyi$I6<`ijrdz){6bC=z!Rcr01|MN3zZEDq|u-EomG|Spr8?C!j zv-ELe{U>}5T)dw>=Q$@jo{G_eX6Y#6vfqeLT2S7!+Q&Axv1*KRQ^fav@O?L0#K(A# zv5aFJZ8L}ZiZ@=IO9ecyfe#myeV&5v>L>(tvi zxBD}K(kWxr(a8@B_xm?y!NQtN1tE=OnwSEMu+5hN_&v>-~j4J zca-DkGr$jLF!A$Q8cbI_r*%Ax6Y?zNpnTrtMV#kTo^;+>J`e++=Mhp2qRfHE<%_^b z4CW_sPLI-@X$8=r#089?$5lsla6o_JLHIm}%G0@tBL&sN1#S#k+$a$7Daal!Xab@R zb*=_ZId3IDc)TO3%+7r21Ejg~kg%0osVuLw}KGFX0l1*=z9vZ|XrY?s6jKT>*R zhm-EY%*;`A@lBM|@8by?B0qIxeLSb&Fi?o&8Q*7K0$wb$!aL{nZ%{K;p9EBt`LSL2 zP8xBsQq`KY%e6Xqwc|{L^0tApT(i4I=0fh5?VDdB5!Nt}XECq;9-t#`BWBXRW z7#W!$&EJdBAFAuX#RvEn5cxR-zzP$i$7B$Li2SgnLp$g@PHi!pCO`55zU-&0H|a`% z{|T91&O;cUj4lVgC z#V70NP4cE}FzzXrM#et`R(;7QfNkx`QzrUBEM%Nj_hB+noI2zer0==!ZogrN@p0aH z=Q&>Rm-QjQ$Hz=!Ly?ERAP?_yfpXsv=5A89R*^59&^iy<5=5RboXBVL2H&5BgOA{c zdis33k9gYY^ax4sV4v%CzZ28tR+D0tXLs(2Czi@s72l329o`Q)pLY9d9Q-NEWe8qE z2S&e7ZJ;+pH+!1;gIha16BUcpXc!IBAR#j#lH3QJa258(lTVCE=A=RLu=4PmTVjh4 zs6sJX$psRx)D5FASNZNnkc80~(#ws?EA4@d&onv?KuIRRq`ZEga?vTGNkyO!92g&z zs>kt;h`tb=F1%he@CIEm002K}skTKsiKnBhgU6r6TxduL9MwF`^p|q?Af4d66D=4k zoMtw>h(&?1hg@IB&y2(iY1+X)Is3=}r^n(lP-ul7ekP?+<@jBlW}7l;vYm9oiBXSo zz_U7DYO9SH7kGw)2gwW4q(w{N-PSAxyKVCpA0V8(Dz^ukI0mbZ^uq{*5g!bXe_${~M!($bMmIbIsGbx3)2wf_+2;fEe7g86BMHhcFw-({cp#3%gJ<=fu!R=;%` zesFBRMS~7H(%?EL3?yApSC7QpyCr@xa z%91vH(iFSxwmbY=9zR4QI;aPqLjc@hKY#8#&+pqhQl@-Q1V}|WFLAzA)*yvdyiBM5 zs0n2otqWiM%9rf!yY7-~Hdq zblq>RyT+ERc+$4^bz8rPW_5MrgLm8YcinEgG*|oe-~UWw?XIn>?aTlCogH$@iH?(P zX~q}4PW=bq(|&yN0n`tz9KIl~L4Dn>RS13GrtzskVp}z?PB{KpJLTl(*m1`mYiGUc z<@T<3zS}Om@B+sNpE1_>Ss%CQfUn$#nZ^MSswdqifbxk42BkNmoW`Ce5;!+{GQ_U` z#Rpbr{@O+k9X&k2GY4(N6v+*{abu)o_}Qy5V;VJ481|FBgUZ({dG#?Q8EqZMkJ=2| z<7-w4k0c8nfQ+fEzo!>&Xu$#0$0QGZ5tDyxLCCuZbG!*rLV$%2gQ7-Birt{Ny@mPi zIbtgFyd_A4-cS)i9>P_SqSs%TfFGq%xG}@@!G^&UP}a*AVd9;kJgbc7FYs6Eplmd- z9M62gad>(tV$u-&%va8zP@$oe&NkvRp~60gGM>@lG-4SK&*&t+cfyDj$qv*_evF^` z&K*Z{2PJeaV8TJT!guB88G2kvD4tml;r;es4C8&E0FoV_*;5C?On;?Nd%41SKZ;ZY zUs+v|^1h0JNEqQ#4tGd3G$uWiWx(aLw@2(_cIU3B4<8!ynS9j8?2iK_@MYXswodq_ zXRLksi(m3p7lxakuSZ!jyJpL!To*3GNoUp(5b^*YnH?v1KM7BikF8Wz5`g0>`VPOs z&yom`hxTyUF*J^7e-WlH)~$cqgD42-bK@;Q%E0YBRX)FgT&tbRO*Bq-_)d@08Ia=+ zNIHRXIAzccuIMH|a+Bro85YK{2OyWc`K!(FV(z>-*4EsriCLpf z)dX|G1b*IL#!N+Oq;$c-O3d3T;F+y-ao+0^8vlg^(n$k2JjA3k>4F~LsS&vTQ2nXr zvmANIo03u~t2!`XS-;n-V6}a9{z?OWFv&)_5Z|meF(ISAA?0P_u&dBdxX@KmPeO(n zSMeS#%JV4;{XH6L{bY?83c+ z_Z=&juX07l@)^IckL`xSNpN}D$;}riQtvC_QA@-R(Imfy8v(edPeQCeTCz7;OZ!-R zjy9`Vzh%lnflFkHP5tQyYr@9hAWMQF(>&F-)YfRfticY|@Y7 z_Z5vghjN^f;y?xO?HV;poH=8f&6+vgX3v^oGo=t_&6sI3rcL*7TT81ei``N#9M8t5 zPTn7JQRtJ)m;0>!bD#U1ed$YIu}d%gtbO5gm-$bUUwF}{{3kO0`q#hu3XnGNl?vPD<%CNh^Brc(Xx0u-Bh%5Uc z@9@S=q{|`OJ1LL(?0E~Gv#89uNcxJt6MMq;B<<&&pY-6``5BZ6%y?|eJ<~BIN${P$Vo4=+wQs3@z+TQ z(I+{1p}y?re0Il!#!k=@3Y+h!0NS=dtNDWtJ;e4u;2=LmIAiu4n>Tl%wa8FIJAB|{ zU+}W40-iM5*=D|nLq2IIj&YOe(17k^4Euo@<%j0-WDR`8xo$vj7yP3<{0tgcX))QL z9Z`;W^141!xgk#fsy~}rG|52U%JID=d5dqL8LLk8J`O~|_76Kx_)9^R)Vp473L z6bLCo4AWC_7s!FED8Zj8QN*!oA`XWkbAu)TpI_ghu&1NsHSVc8X$1#qnXi@)3x*WN z7*bEEUJnw*h!c?RaYZ;97@dlr?*~Xryio=t$#xYqvaF{~lqt3miw+_$13#5m z;vgRo&lpSE;Za_ec=$`11mb+powiGu{1$P=@m*3yAe;~htd`!{S>%a&3O+K`_4?tT z>SD$t$03e;?!M1jTE|M6@3My;dc@m=kkUsu@KYBM11su4Sezy~fOs5b^MoDca7jNc zL@7y)#P*=-0)Ljnukb}}+KtSJkF4ZzP(c}R_Vw^Vs&J7P9>}3QUD^7{zvv5un`?3~ z^xy|v;EUO20(5XnGx~HC4>h>Dc6RDn_!X579JGt~YA7UtGSH>kD}BVrsoqZx!H+z6 zk^I4Tz6-!X8g%j-Y@{XRHan2?L04mwl!27o2w<`yMIlw9hboRN2b6h`;c_}KI#0?i z;Ivkg9m@Gf1HaLf$~#>dTsf-U05XQ-q#QWe{@r)q{k4LgWz(lm_k$uR;p7*JmVAjb zdCN2XN|?Mj(&#(z2aX}@)2e{H-WQV6mFw2{nB3UgX%DV=)OPl3b*#SKvT3s$r6U&~ zWWT@ldOLK#1AN6cqzNRi5}tq9u|bdYB!-6fM&RWA4VOm%A$?2;UA)^90(Fq6LnCYpqvZA33Hm(XH zhE$Ds53@wZ7^$6dVvZ{8*I@Hog;fr`Cg`fm| zeLc_+KlNwYxLShy0=@7`l-vW+$sqM{gSJ#6v!Ny_uqG)_cvG7@cuI2 zVP;=uI}vQkvl8V*;LdH^t#i9()H^!d?b^|4y`A0c<5;@}VY7JIOnoQ<3HTVb+dpTJ z6Ip7LeEJFHt~Ox!_-19^yg5EY2RQcK+!8Z%XrO-j=!`R7Bt;l^(urUx?2BLc zBEPUWI3LOoz!wU8|NGzXyn{EyF%T(-oDj#2{yFdf>X;=Z#LXJq!cCbxj!rmnP?o#- zI8nyR%5M%=oXY`yAm`&wc$Jj3tjM>@s;({#{hMp!~vH%wHJ9sxhItS=cs)SuzHErzEfx08;*a0 zz5e+xwj*aPvQ|w3#>ntanlRDpo8La!PMo&LPqZ$7>5(w1;0E9Yj{NjfK4%<0 z6H|QXko6Qzw3i(}Dk*>rZq+sL61jsEncoXmZfsGGsI=t7xm8t*V_&sOAV$U;g<(wN zh{{l28sm9NfH9%GGcX&9p%JqZe5F*-;oFl%Uh2W+;4A~3QK7x4v+R?WdAvQ~0erSm z9YEyum-gO{gpqZX+vII8)tenMSj<8bXV&XZ^-+#CG3#V7v$dz(;)Rygngxj{4Oj#z z;&ya)S*He;^A&}!esERs&R41;lelqX zc|Sp4D1!QxpScPv@Otp}YBnd{^7ToJ+S4p{v<}z$p#+4VI>7~>zzr?Xx%Z+)o|Zhs z$1%9FeWWwc7|c0y!(KYdObVfqL81;7h{D}MPmwCDC07Ql2U%+*;c_vDMNpmHpk(kF2a-n(g(A-=H6uD2znX2Oi!JChhoyxM-Hu)l*BC+sb9j{qu#@GD_U4!gf9HkyueJ-hY2P z=)eR0SU$fdz^^(W|KNlU`i3@A58wuqI!X>Y$wL^~{O-5E?FK~Af-1j0`q7Wtz4zX0 zx7~J|%MQHd<_g-vL6D#PY8WYAlMM^k8&=S0EkIafybi@hOm8w;)N+?$M&1&6}~Ks zqa2P_CHNo`9a#H#zZH;7#PKmRtBa8*v8s5flfgjP`KcNZVg^7i=h?$%Kyu8LWi{^M z$ClVbn(-}Lwc2_mKwEccr9*yZGg1cZRQIcHR$^EG=680(&9~X@ci!WZ26)-f(rVp( zTJEb~a9ZA0gfM5S)Xg(gaY=E~%rDTg6$0%T8k{&`zxmB??3NpEvie}PW9CCdUnQw| zUX$?A*P1W;)(m%+A+}A5Ymt1l3E3pA2q(#J*Gh3>lV+Y;eN1m@w5EYxn<7MW$F*7A zZmnF9N#S;*paEUms{uDKY+t_Yi+1s+KV9vA`bhM{XMi^C3B0Wu1OV4m0TCB|maDwm z5#P&@d2=A)XP5!lqZJ@DFqrw^m3Sr{D8*+&Y{U3FtE^9LVGJST{z-vwb7fMt6QHlM z&V+K9R=JWYX3NB2B#PbHog-F8j~VecrzE)vwukpFCf(Q*VQ+lMiP9 z_~&cv!izrb@(KjnO$QX{sOE#blT7je$9l^%o14aDKS?+IEr}|n5PvFv%`4t2Bw^Kffxc2q=<84BS)DeDj^$#6oZ?s0cR#s ztwDgn@^@#VtCCkx#zP$_%mkis?t4HudR$;B8v-$G4?g^Wf5hC}KGubui3i`zQ5L}h zA7N-{kN^_~7)bD#b|PRg_+l1DpY!20b)(`Oga`iKd&eEFi21gqiMEN(W(G6injveD z-=Okinpl&yRj1ZM$z4*&TJRTCL6Rxbr;jbGdm4RO=Pvjp>GeBJS4UjWhAp42(Wjp|3faeTDdpS=6ak!j+mFY{YxLSt8csB9(Zy| zW&G|5z{?$mKA9?>lQvwnj~G*obk|aL5JSQ05gbCr6Cyge*(m)CcJ>e3U~!(M}8#&j7qZS5cP!!~l{fW!AU! zg`55a7zd;!J?WQi0dd)e1k&JHewzkV@?Z6>GxgbU#+$~cY!x5o?o#9>0l$%l4uGC;|L(M-s+gc0~g z`3b%fEoLp{vVhYs#hW}r`8cg*916@Ru%sM-E*l)l7JvYD`cW$)?F=1k3t1X&5 zLp&L_nUkhi&CYHc*V-cK?XwweLOw|=y(1@k+wIgjvS4ggXi#?bn{C-@c6+PYsvffz zl^G+Mk`?ag?Xvd83+?{xPusZZQ*7FTdG_8*&R4m3r{RM_ayhIS{-u{(tk{^XM!Pfs z%iUR0U=^T$6@E z6|+RH686<4vugbVFzgr~_~2uYX^hI3foHYMfBs{V`ujZ}_@KY{;5#684;PfiEFTC(V|9!MQ0ko_)t@KSR2$6i#?YG+VPB_UY@<17P(FJzyx#vb5g>p{F zyxw+65vTrGc~gh~dV$hVG^GdSTOhWe;Gi4P{lfEm#UIT((xa|pl>55P#}i5X4dDDMTRBhu+) z$3;B_uIw<+TGX3#c$^%9QH}!$!(aw_*~f8340v$~C^E+*ULo9m3JF>Ym@saf&%^J{(yrOL#s0ox zo!x!qE%x9qZ@2s3^+U5OpR|o1yV*8h@Q|(f)+)R8raSDeb&uOWm)vcS>|ASqSoeVa z=<$Eq#lO13uDJG(_LZMsX&<`eLK)yjrw%xh){%Jmi$YNEk>t1u8g-e0-ZUUj4$@;FKtLJhI(E8V*`rP8csLgFdANa#ci0Yzz&g}E&Jvf=!&{%I{#j`er`TT&bI{I}$W1Sx!1sCIto9464 z9;0{^CO$wKTY=D<-%qi6<9k4UT^JnXg*Rm&|CHJ$&5?Hd2g<$FS?DeDCw~0kdu+MW z7JP5N(nj-_364}#lt*_Ba+RA_;+n7w$e?qg5Z%D3N@RrXNpSJ5%W>9?v7SDyMAa4_ zo5C}F)=cLc>C}Vk)0hNE2OqriZDN-fajJM70ZTHDT^sNq7nA`H_on_O z4&XGi^U&ou+UcQ)gtt;qDEn0v!R^@mA?-YW^!mVcp9FV-^^bX%Z z5%1sKpkRarC5aKD_0&baoXzvhK*RX3*Pq}*tK!fHFQF-+VhH4aiugJ8a#UW_xtQCL3&Pu?Mzqw7VXA#8zxrZ_E2SY-{a+^{E~PDQ$6ntDfL*C{O68 zed4s!MZTneG-O|t{S1ry?#DBd&wG_ZHcO~t@CENkOF5c4b-EiK!qmNB?!1Z&kO!Ax z(mkK5Vp2xm5$}^0l_4#+90P)$O1V-zIQ;-lp_wxee8C5A#^-nqe0v7Uq+fZ@r7|Py z4ZzqVODHzv64|I4dt@(^YbttV$bV)-Ww_dmn-#cufk^=dJM#gwi~hzrE$W198!HIH zDYvXV!0iSDeWY~qajzu#oHf!5_~}STx3I!QhrHzE=i6W$*(~a-I(WHm-5RIKjjQS+ zj031I9etX7!Y8lSr%53#T`F?EKF zNVAO}KT%JG4i=K64?6IHiAF|6XK-aEaiWMGo_$WHjn;64A0lez%-sO4Aeda4JoD<-)wJNv*C@ONN z8W0}_#sK@SDL}+4U4KMzV1P-g%4Z9nCLph`hQNU;q#Vx6pg5_AR=~&trx;eLzrf>R zFGp7Wk;@l|o`ZVak5yy2EC3x&i*R^{meLgEzyKl-ha{TYntd>m#^Axvd?RQo!gLDE z#m{g#4M&Ie@Jq!Kj8rUT9JGy@9|JL!H8deqW5u}tMp?C;hDcTkc_e&wo9vqj$K{W z)w9dG`g&|v&5(7pFvM-qyZWrZcgQ+=25e3LE_u}vuYy4f0^A-BFVgQnU0HeezfW9Zr$C>h`@}$i;@R3H`Pk-`1(cYqMWw=k1 zr0egz&CWRG1uoBZ(nUbEzHt2P$fcKF>T$FWDD%*L)#pDHsj|5>$LZA6AHJ=uPWtP0 z*V=D?`&+yBzWeOqM<20Go3=u8n_~B^ESR8rIl)tXuzzrGPQ^-3lj@)95ScQQHA%k87n{CU$UF9eA&;mD(diFsl zA!EA$-}$RD;AR%Ydl0{jS!Jk;b~>nBS9iBhSQxDAdpGq9mxQ`chHSTHa=h?GRG@*C zjNi^8dE=%K)*SRX?~7P<_Cq(4DIOtMD6vKFnI0$XaV}ZS|Wzn&qQtQ6g;fa+^n!jMT&_ldX09SQ+Km z2J6+#l=oo_PH@t0`Yq+*+1df^p00wFMU~+L#AiQO+K9r_HInXz~pPUly%R}E*sd@tGvCw-TKs1 zt9&JvG-qE>w;xOp?eH_lJ$Vw6W&kZLuu|NZZyt#U~d@()(z%t0_Kxn($uf$=bRiO`#`xYWw<07`jCM*#79E*PnAbmKTr-+E^(J( z%*$VP#wE(CU}1#hU0>p|CSTA&`vtQ zgM|T7*p;KlRvTPxbgV>NB%%VZJDkFznW2X50n3V6_g#M$1ca zphxjV9cHbqZ4v;jL^V1yU*bYpGiZIOPWvhy5|C|XpB*7;YnOs5%5Ucu3i8S!43ji~ z)A0MdLJ1S!l(e+)5vSSw`3u}Yab*&1Yt)Qqie|0TrcAX7ZEZGj+&C?%yZlIUv(hGx zA8+H^$3>4M--(hQ&q8RKQaIO)< zVB%$SzIOy4IM`yOy-lK{UITKld5jITO5j^GI5lv2G0M-h@Y0?i$?KPokz;nbv#VQu z5UUb8ci(;Xv!^$2wg#1L(xCR6?i<*{A0ryM|4#MzhrDBKvUs@9!bR$fV&x>f(36mD zq*btp>DIs(DeOMctQa3Z$ksUZ{~-q)=RI`N%qrf)K9Qqm0m8Tr_&D6L zdzohcl>)6IdwQ)`tLPhVxY6#u`z{+0|4?cu+p&_{fBy4!+rE8=ANb&7SIUAVl_--Y zo>6Fg%!~4MCGHdGsEd46#T=7NcyRr7|FG-+ah;uh`svPJZ;5zt$fEshpBZy)?u=PB zTVrg3Ul2Paajmo4Z@HJu}5M~?L0U{jltt2OEBfMwfL0kD* zo%|^f%JE*jt)h4}3AlSBP=yw@))K14p2tES$-gUPd^#cw%!0DnaX|)J- zW`ZaH^_45GXk5573IKi#h7cIiJ&mv!hVI}QLjaKO14^SQ6+=SB$SBR7Fe|5TV_|V| zQEwOm!hz2p9Y|%_46b}PLq0my>A)#Zc3PIH&|N@=%k%-z4kRuhap9Mt9EYFV6|_6c zBo6S>K7cst&9ba${O8^k-^gefl))JrFK}_=HOS}8nd2|TbLEBLGI>Bixyr@y6vA2- z+P=Q{_%-VU5HMa2U`(6_h4D#8335n-HgKy29}x3u4MWGEM74N77Wn8pwj$UbzzFfu zmI)BQXza5z4GOk6hqc;@EeqOB@hbmu{C*L11C^Zt`Sb>P{T?>ePTK)yyOb-p3m}|) z1?bMKD`hl+0YX~kuttHW98O3a0AU;}23Ccs*icS{oqtMCJhW?23c~w@aUs5pk#TcF zqX~x3#Y9}T|9=A?Tf&JCKKiQ+6}nOGI01P_L%BWwS4h4Nt9`T;C5O^YdXg_Z)6c2& zkuyn>?*NdGcCsp&FkzD8!$xGe2@gTFUP&%nS^{MA{7;@& z$qQh_%0L<3*P{o2@}nOkSXQrz26X3mB@d8w__M;iLZNKRB4x;vH`4G7kD!^~p4)HV z#kOg~X5S6LVek_tyru1gGY(*^@;w$hk9cq~S-Sm>JM(;_FL3eX-Z)eIy)e zzWdZk-lX02i*$Il0m!sH0cp^V(akay|Cc}iK~O62S*`$Olo>!8d!%9-MupXv;?vl$ zCz^s=fg1Lt#c(mx1+6etjzc~o#*Z30!D=hz$;UG;!r%w51W;wz8i-?*&=mOi7}xO^ z3<98waMtHrCw}FJX7no0hA1!afl8jDP8{{|Od0}4(_F!WlUbDV(76CY=7X&e209A} zyhVEzKR7(#%X^HBrX-?dw>`P^aW`la$4zwnq?Nfyn^vt_r5R0+zgIfqkRyb6*q&au z!8UGM@5hb(2Rub1H)M5o?-ajZi zS*Pgb=Rw$J;Jc~Tre@!U9Ne|b^YCMbzKtVeSKqG!J^eO&@)X;u`2PAD+tu5r34-WR zyHJ=-K1+;Sy?Jv6{t6$(LykE64}{Y1@K5m!6pSyoNo?4-(GPoY=;Ku{d8u7>)8Ca| zFQqQWz@fiXhM!rWKWGCtQgfN_%U|?j>mTa%I)Qw-9Us~kiU40}+0SL5-(05D%VoJD zZvuvm0mZn$C%@(jzG~ov*d71+w=19d3-_`WD_7g$M;&fo`o`CMHrm%9Ib#+pS>a?^ z)Ft}#>`DP#AluPp!%`Ltr_Qi!o z4)5-lfp2nteD1Q(`S#%tulSM68)I~^mJ5($EKr}zy6PjXQ#iOZm#sBqDD&XM$5@es zp+c@*)@hH^%@1eB)*Q;6biSpcPyFPv@-RM#r+*U4GqXcY7!$^d!u7mPhkzK6wh|4sl`!cARnwIjy=lHU&kC=EwL zQ>gr%3Bn02KK!b6c)JKIjdwKu>R=#ozRg+ClX&77u;^I#3$a!^$*yOgz6< zOZza6eET&x#6b@!2pKAVbA3(6CZBYS>Fc*9)mN+8TZ0A}A0>b2rLVS$JyIZQgA1nj ztNIS!G3!K7WsDoCTXBOLklE%f+cr9{`TmNRv28M<+;G8Zrba0vlmJKJ>zF{XMJasn zoA$Aa-#YHI1l7|5BPI1=brW~)pN2*+m*F*D}I{--x? zu=ep|?STg$_CBb{nzT#*u0Bl&`i-lu20M2t9EUHG@5r^wEWfFwe&IP+?BpSDx-z5; z5sz_lnNA_`>IQqon%7-YjRSKVzW}g_S99psrq`T2A z+-UII?^VY8ROuWF1jfATte0LQvGs@1KxV)dmIz|cRy9?GI|!`~I;U8`st3a<*1ID% zaV2;xaVjHqSrHB(g@HJ-?CRcS-0qe8e#HMC-k%BHM8h!q+PiD)s3Q;7tm;WS{=~!W ziN{u>8r)Efkzrsvkv-^B|Ks`g@4N5xa;%POmCk!Im;uMUYPG@NrLYYs9yiJnJs{qD zdsLPiETkf=kyVHKi*_~D)?2e?e$ARPj@2q_$s>>0-m~WT%7?=pjq005(b=kYwyVwf z4e(mH{rVWC*NKOkU|OFPdxK_v1DZuPYs4&^v%r?B%{5Yf%+gST)-V2Ju(W!tk5|X|-?v(#I;}id{+#`KjeS7qYE?V_KwuTN^bt2`q+ z;VcJ#{FzoMfBU%c_S@h7-rJSD!#MgUA41VwY>y2NZnrm{^HN*#;N!M%?gCrAVwEN= zTW#qROKifpc9*x)Pkp{UzI3U|j-s!CLE&uCqy}9B&z(+HneW5^^j6a9f0+3uOnGsaRugWJ6&^L_z%#-cM&wOcIsV3{LksQMxCLpXn*`;cz*=dhH@Stse zdZn#e_NWc?bz6JmZkshu6T$kO_Tb~|rSl};$XC%GJ_kXcpd09K-ZA4Vs&M>F(ngyx zbEZww1OWM??4a~S+_p1pPigh_)cUoyY1TNzG|Se$&V3XWO)J4<1aMzNJoN`$ao(@H2EkK0svzGga*g$47}8g zZO0JGxciKThd@P5POaCG0^_tP4^PADIJP-aV%{cC8wtcCl)hY*Lm%Zpr;dDI=G!fb z%X-L{;S_!b1oRI|xia&od~18Vx0(1p&9pHvpAbrzx;0QV^V4c$&aByP zh@M!&FZRalyIF!UMys(kPa)(zw(Q9+8GqrG(uAMQ4b3)Ab@I&@#_{&sZu7dSlf7>E z(=I~;xDileTlkn91E#dGGMFtAl71Q98VQ@PltfpXRy`P+I*k_Pw(fx*rZsCQr3>^k)E0elm^o= zhaYCoIrdmP_L$@CIVYZE#~gLE9d-DTcI1&q*`bFVVn-f28r%o3+Kyl_4 zeRr(1nW>9!tR|2+rC3c{-Ufq(K#f(r$Hl~ zFb0Wnk>xN>#DkZ##Dk9`u1Zhf$!E%BoFc;)d(!A}2Pj$lhwALzuRF}<%${rqE|_5R zrYfy(oo(*fU|qX4n7lGE;vAt=r{k#rK0_$Bil@@c%48y>V)ev7hmkRVSM$gU$MuRJYf&r z_bi+<+d6gs=LH4dRH-e+Hx<&>fNOtJ(d-|*p^Zy$Zl_<4I;@q=H+L;=n@J#vn8-}ATPkNvYgJs=J@Q4OaL=7F#>{Mrmt)mFp9%Rc&N|` z!3ZjvzH{xaKoXV0L$4xyjC)Lx&#F~68$_{uDcB~(h=TVd<*O5oK;)$ibQVHdIB29z z-odIP-WvTDej})?kYk%=fL9i4)rGu!9e_M<0;_ zkdSkY5Xy#kOj9&_;yn}P*`7d|g7@&l54QsrAK(JY$BxXlsGZ-!^6f8{_!?wQ4K+4> zYoEQZd!`*Y*k(PlR)@7rw+5{er`NSutyT(+>c?SkvsP*9k3$bUz&37v+74K-K(vjw z@#>=X#(I_MG0tgCS9|vvuCrOv-E(&I+r;iZn`eVIf5ueXf7UG9uTp2Jf*) z9APu3PPNGsC)?#$TwzbGU2BJHcDHj^w|(KuU$lb`I>>(Xqbu#+`|q{>9wF@NwSx~o z*p(eU6>?aWN5GF27h!)NDnEL)03L7}B+=dE23yAud`1zv)ZF3p~$Y9YtQHi06^aQ4NX}D6JlRq&gNgzMtB*qtU z5sZ3}TM#4T?&}{u6Qn=?<*#A1RETi^tbJ;&*TwrNpk}DXI=2tl1NS^(?|A1?w%4L2 zn>&AuT%FCHJ;qk7uCYJ-?P2K>(S_XnSLlQ^FgVhAMqgxp;wy?@j&vWV zOd5n2AYb~#dA!CcyGfKuTv?ue9g(*v>$r>jY+Eu3K(`S_XAWou1z#F8Zg*?!-1+D> z`}h5i*{mu3w&Cebwt0KM{o~dSTlI9U^$z#>nDzF_ffK%Z+u41fy+yr6Hzvnf(AeNxg&47~e)&uG z;g7w+UVKuc9e?B$J8-`?JM-nwvGdRWs?}-L#+|7wRxr}g%IQh)F5Y`z(IMLbd-((H z@T%Zr@KVpnQz76_Jn@A4ha7USq*-k)R?YsiN8Wfjj1olpi5U4ffCCO(t?yyBBE-Cb zL0-s@zG*|V_&OO2;qsq10jGeDV}=c3ZX6&IW;&tjXw~B(6oCw4%uQn!g`rBOMt){5 zT7oK^^bt?kKVX)RV>awYMKr|fEQCATRdz|I8z6xjSK2^%#o_!=!{BapM$9Au|J6_x z84}-^Ko4=BVBuIP;lP1ob_h;?@vbuP6G3a^7Xw^^zo0{8{c-WtzGl9?{l*k&fRD)J@XZQH`)h3 z_(A*F$3J54eao9|@3~X0;ne+o_0TH1Z|z)ZYkPM1%!xxBjhbDz);2o*%+i|q858w! z+m>!SZS8J5b>o1&U_-CHq_^H)Fi>kJNe=dz*kk+5>9M`{AGU)RH`#s*>uq+=CL90M z5_`F5Y2`ZyE71_CW_6YzOSW z*xvA#w>bT@v&zwGU%LEA?s#R%mV%$0m7_a{q$K%qMoB{`Hh4vUDJ`7(TJiXO3}Ej| zDI%9#)g@k|06IH5ZOxiB_JI$4z_-bmEhP7XC*Zijs63JoO1LVGuQsx9@%Dc3ZaeX@3VaJXCK# z{K@V1&%2k$Oa7Ybd!?i&`xeEUkYW6g{c8QhQI>FyC$_7~I9>k~VctQ3gK?d>vRvYK zTxu)h4t~QI;z(nhyX>fuq_LaQzyTDEmoy6GYie)xJ4lDE)qZztul?qZUi-&=z1F{5 zb*SI@M}FtIxo(l84bFGf0bej;$T7PN;6d#-X+mXn1NMV&{mZWUA5FaaX}juD9mt;Z zhMgIe;h6j`=?^^>hcd)_H2|Ob;71vdj|AFv+;PV#qUl;q(Aj9`e z>NjSMAOXCS86@hA?C=zRgaSO3=fY*B^theQYZQzj+Bw->}`l)-#iytCTw9P+i?9+2ZsS_43muDZef^g7S05`IvEw z6pF#Oy9=n~N#Gp`c}nu=c~sV28H%y!!4jTI8N!;3`1@&1DC@y3>H$Kmko@z7f*zj$ z>KV1zueiR(3HG;tZL-UMv(&!xtA}m4ZGle?(kLRg)RVFs_3oBzwfIf~ia5tAhq%b+ zlLfU6mt%)8Y0!zw@tR@q;)9EAcYM;o%j%iK9KJIl9y4i222su&V&Z_rj?SHx-}1sS z(L>&-7hLRaU?}+D8ajw`{=);+!ztN8@qU;^12fwKSZzTY`MsRd2*V4?vrwqfSZZht z!${md`!2GVobfU{>*cSo*PQh#d&BF_w)ec}Jw74*@P|LFmC^b3p$~n?&O7fsyZGXZ z?V<}mWfz`*fn9dlW&W}J=RWs2`{EbBSfLR=4{N7Jrp$ArU-wUa#o&uQO~Q0u zbX3+&m^A831?4XwOU!lhJ;3 zF9X-prxlp^Bdp9ip#_6Q-kfa(%`tn=<$3x*nHIojC34J)D8t2->2KM*!}^A5ZTVB{ z?dQM#jg)AEpY~~#q6g<8QsAtn=mQQ~aOzIoWp97`S@w;uf5tBR{O4@hvZXe8>LgpV z&qB3Tb&Jj!GiKS=&D%5(TJ3?G?zFl4k2QP!Mtgeyr_I{L2SiWwF5SJ$9_Za-PxNlL zEgG=RDqAmJH}nlzo9OBv*JM|2e$=+_JKOHx-DjH{Yi+&!C7agTlTWU;$0aeZ?xI7+pISANj~fZ0^DZ_Ud!qXvd%YT$?d{ zwoRBc(FXd4?YvK%Z-*atm^Es}S)-Zc(q+r-M?dnlfnO=|z`*#vXj^al81E&)K+%GCnoUcHyT#W5b#?UiyX0ta;pc z&3ap{zNy`Q_VcS$uHClp?6Gl^rd!MSDe|X^hmE$qbC>FyXy5$ScdS=<`I-0KwQSLh zvGJ4I{b(?K%J*r!J4W%|ci;UQel=>#C)F>Xx53Un8`{!kb$z>C*^PvlWTq^opX1Qw zT78j|Ou*xL*0{tl`*>EKxN$-B6wf&>yi5r%aX!XNKzfd`P(~Uvech6;*9cd9=j2<3 zl0BcyNch~zs5498B|aaTcWZ*zJJg`bgvQzKxRPu)@5nTkvK;k8C6hA3tN>7?-Mcz% zrzUVacWl=fY#54X`Z2>*Ix;zd& z!I&lw%78wioJ^pXZE9%t_lt~cjcC^g<0r8Rj(!`q?K?Vr62nLJ9HQW(bLd50yIDO8 zXbqDkF39FMJM9F(iT=g+cX!?=Y)wLAOlnxYA*oF6oi9e|$+*#=W)=$ z;fy#n8^#-`Woev1CKpIC4+2oWFpSwKX6{8fH1~J&J@9&^rWKOE zwqkpY{}e0PlFvgIb5Pyy=bAocoCekvxC{OX1C4lZBlH#HaOH{O$>Gw zV@5cB+$87U*ohPD4}bZqUH$t%*p)y2sT4x19dX1lcGNM)`j7X0?+5?wHFDGSkw+hE zpStK``|{VnW?z-#BVjSxS8i{7^BbKGR(>5_9V#>Ace3^E~NjPPp; z{{C0=q70D}-1XOA@Au%Hcm5}&93>^)z1G*$TlsJnV4P(BvMuq|RsktTZfp?DN?c4l z6B17xQ#wK~Cd`B1xgCk2Ge$M;dBskHDh-Z?TJKe&p^ zn`-ELa3f>&EqvD%lL4*5JxAl!uu4DA9)uQtY zoXUs(qi(NXaqfhxzMd^Sc7lXkGQfMMmd2)7U8y*{YfM@U%CNJ;gSs4;PZd|)2v2B{HNtQKc zKk>GrdG%lMf3foA;~IN z-m0mVC07Vs03AbEmR5hM+n>OrJkXQndG_?ePslVNjTXKFps&WMOqL5gbT+gLzvAdL zlodjPK!TBu1NZ2$L(=ew6zptx!0!djD-VTCZla+mO2)~#4&v&T-bW;N-s(_UyZjycli z9D1gEN!UU_AGVR*lseT?~haGaDwTQn+hwmb2&aSTJOo=UBy4-&WcTh9a zwNI_F)oY$|8L5|?E!u0LO_&g~`WQW7sN*>T(1oz)fePde7Y~dnlzTNT!DS8E6O_xO z?_%lnhHRGeM%Bf5*58)qHe0`Snf?2&Ctc37Onx#`FM08USkeu?8UsP%kwbpt5CAXr zS+T$)Xoz|l3tS__>9$m?uCu_+Dn$KH8&U9Gn#@rryAQl$K?lH3@X%(;`DF@X9JDhz zr!V|4g~}yg80VQEKlGH!XhKLEpbs4|fKE^fGQ)(06Mh`oXVS7`=T1-a{L&HrQ!T>R zr%4OA*lJ|VLL(C&#xj#J^7C#eX=Vq=-yx|iTZ80AC%CSo4NjlN+#!egmfcHVc82}e ze|ZFx7b;)e3kv>FMqbP&N|C43_kIM6WxfRhqi9p>h~iad+f0`aq=WT z-cCF}J2NO5;%7~IMC-=Q+wA6B{%K>H$Jra-`fgi%=+QQF&f#{?JsN|YaS-iwl5b8y z6P9}LJ~>#cKXtwH3dX#8qqFhL;GI%1*gK+}>;gm=240r$2&o$d$~nF;IMv2gDabtdelVkO{w$Yy62Q&N zVDLNLa!l?qS}ItnmwZ4OU#695BOfmvhlG>u52{kM19@mG9ps8b@wFN(ltW;$;{fsk z;3l2zCGQKx1Bjon=E&=x{`6;C`}EU(+xGhP8*Rd*$-XDd42xMHhbN%z)|+o} zze~&RV~*WVLLVO#Q`b%zHE6l%rkm^smtSGOzWR4|^Y#CrGHPl9%;N^?YJy**d_0w>lS-a93#P3OMX8X@KTQJ3J z?`dXpCYntdXVxm|Ze}$FXqKk|i^8R2&Uxp#_MTU~(H4y7Y9K{xK%=;rFCoI5KJ&IV zHhX*cP?3+e`Ic#1U9+uxdW$u*j*)@tw%ua}t+};bcr;5DZ!jQ@4RPccIZmF_f8?1o zWtuBwc+b`n_~~F)v)nF1yBicnS)o*USHa-q&~?~UE#_0o2!27c$t*kdDp+}gcFW)9@0NRS=NCxT0jiFh+qrK{X%9EXji5InKn8f zF#0*`E|(ci4>rhunnX1J=Vvr)FIJJRsO2+0D3224-4El$Z&naEuEOz42&4n#_fu(w z9$>o{CCw3T`kK0uM`;XvY^kN_0JhZFIpEU_zCmIl>_*95Y}@;FVlGdNXI3sUIHJSf z$0#n_nKXJ`8qB%fg-0D+4ox0hj!)zYT>WYjxNwXy96&tdk<|#3WBjhpUE;xjanGa6 zu^c#&wQR4;sYV}HH8BP$E2_fn9;2yH9J{AJWcKd6?zJ;s{8HbE`P$dMZlC(pr|j&r z&vxCx;U?aP!#{Rr*hatLf(vZ^{P~VA+cY4WnriB8`{u1a=D7L_LybQB$xnY`KmX;= z?b>UvmHbQg3%##mP6y?YzrG&zne=RMG=MaKx&UvRa z7>_#_h=mG=Q3!EhObq-c#P~5iH~`miOvLfDNkQ10xnd~sBQ7y*=QN>BU ztysQ%g?o#<8#89C_3zi;9)9d`8@9>D*4R*O**Pp2>}E~%4UQwq+|x_o%gWYCS*F^1 zufORPjP4n_fX_MWSbO)qS+?u0+uE0N{*#B)YV|d>t`yk7lWnb<8^clC$?~3ZbF!_W zy4G6)l)Z1iJ~TsR7^p1mmZdy$R4J;eDy@5JrY-*OKTDOd$yd7Z#~fuV-uuY=_M0

`{l?^G`it zN1lC#efiNxw&t_Bwz8}8N=veOYLEBc zLKp8F@4oG6r%jvY-9=)PU3JZsPUl?VckuoP`ueoc`$7E--6;g>5Ij%(NXTD!*SS9Z zzXI`M==|I{bin?9giy~Ip9o{XMe@?mIA@aT6ul0q?$AUGpb2ZQpbL0K+^943bFlUc zBfw;oX7Mj>P#g78?_RyEU%!5m)1bt8X3ZSyoU(JWTwZ-*SRzD^Jx6j#eDBH=9F%mV zPcs`DG_xZ;2ezsur~KL6o31tj>A<_Je0_(}tuC+ht(#~GU~EQgy|rz-<4$(WvB!EH zim<8Itl=X)&&U!y2c|oD3e+dpf1;M_4r)}&2A#uie=lW8{@x13(N@Sx53?6{*<}|W z2L#K-7_H((+dv>5lW@X4 z7+C_#b|}Y5M;t9f#JHii;r&}o>p^KLpC34hoa;9{q3jr1r-j!6#fyfE0K$AEJ73W+ zAY`5{rsDm&;R;d7(VsgCDnuhOA{;%0xC*cZ?+|7H%QH_Pjj(z;5Kp}CAf7-tAwizc)EBdEds}HnPz|2Mo|-$CP@ZV zseFp6PqS66E=bGpGk!RBp7c}{gcZT_DXD4-(`^KO2rNDY|7FXTTdgVwwCvflx9zy| zXuJNpXJugUf)D@(*eOG(;22LT39YQwb}CJ+RjvBo8k^$r5}A}eYW1x{{8I-D^cq$XQQr`k<-uCyzsj3N!RnlL#*PLA7zA^ ztoKF(ZT5Q~Snn-1wl6>Z!j8Xms=fWz8#cPIuf05HrmZQ>ls6znmtA1B^6nX=g^eCZ{tSRckIFkIJMcdhTO_rL=Wc!d+ET`3ay3vV$(9ui(CgWq3$ z@r7VCIV|AsJbIM<_0K={sam`IMmFe=*N{rWy?01%R z;t~E={yT>lr*oQkML8$9T)Bcx#8LbW7zjSm^aqoUET4VeRLL>pj)4}y_nkASC%{2& z5=My@EG3}a#PcO0Qm#J6rA*Z3@cKi(x7<42Zo1({-|~yy2W}K+GxzY*? z2FfWYx13z@w6a>Xl=7AgxO}UaO?3@w8Iy+`#*}Ost~zT=PP5XU-K|wJ&RW2BIdbrh z*0wLk77ysX0KY~F)!}=OwAM!9&{V0GM~1!k)qi&9J-69$$DiV~!cn4ZC|h=*=a5Lw z*aBv3IdODL(QyZYK|tg@!s9W#kuNUOYw z50*iX1s#ha11=LsPCf28-|Efta7z$uLJYho$Xxp~SB-c^w`aW^JnD0NFXN^RNG%L_ z;gtX-$9rW=kp1s?CJxUiW$po41-{D@WZ<6n6gH+Icm-1+eq2|35EZ3`QHVH1cp;7_@%O+0UQ9~>7T+R%@j1dFaOa)JQCT^f zgRvrSB?%?upfemuiuX^eib_a&6dd`&&71)!2s3P1|P4ze4jLos1F!}pM=(@jw6(+$gEe(mzSGYQe+1oa)fQW z!;Uf-!e51KY!*%BWiVb=Q&VN-x|qPg0I;d`*XP6uW+VI9-)omx*2DppvG2yVqIjNl zz57J#IaRH%P4jJCTCJ^`^Q~1aT4cS346wnYcC_4lX4SP@|3Mqs2Y>%)O+E9iIGf!- z3ao75BFkWP2op70lYJ)a=v~H$2_m`-8Ac3Qz_Gv*pv3mvXK%~Q&9QBF+`*cXTdlf5 z-nDqZ&g?k_`8H_C5Gznc;V?gTA*fPov$Cp29$$@nn~ZOb*m^6M+m~K>*%fz?4S0qO zFganv*+b9~<-x^N<1fDY%D(^cXPduxkilNQ61mY%6Q zV@^T7U2*9*3*;Hk#aUtttfX|smE!>Ii@KCrYL;3hDnH*dbApohE?F5w>JKyamaSTD zz5A3}Lz7zZQts^Mos}&kla?c8x=tuN89$JHuV--N?Nwz zi{}(KS$3ZEO63~cW$aGYoUCW@fv&I|>G;!+-^(sM_e3B6tZxauB(1HD_WrC7?DIKa z*~g!~??yU9t$o&6Wn{{rsQloJ!`HKCPj4yQe*3Me2PJmxbyvAzx#5Nzyvq(g(*?S4 z;X=nVBZCFR(k02NaiSS|&y5!Hj8m^T`Y(FRDauH(RqIwcPBUlDv|Dbu#bJ{-Y3K>S zIh%gVP1dtlcNzYheRdw-FTC(Vk3$O|rvd&=I^w-k@j`Gc2@QCDH zdc4GFDFd2mO!=f4NPjQ0>)eGUnc|T=3Vdu4x$sK4MWyG0P29vqdK7oqO(R%Mx=HAU zp?J-assOyDbYzQ>CS58P;^xPZsI2$SD2UjkmGdzN~q zKYroewb0!FGlXlKS|`kc!eHS&5P$=2E^PGAB^cArM3+Qf@j_S#++)6-zY!mtx$~QD z0^nz)=UDH)y<8zP)fR)_CZTSUz_@2CR8%tS*1fyc${TI2ZLpRGy=<1UXw9??d2!WJ zq6}1XL<+)4mj_)Rtc7A+f$}gefb&AD&ZqRMOeh}|&qlrbS+Od^wxdSb(I*{mnUWiJ zFL$A&g2_;W2gYOQar>EV(#O|<@onE}=UG;lVWMH4_1t_ny)QBw)K$&A78`WrUN-X4 zOD+GPu{LDC-Ld#~@cHL?1^MTXzkDh_U3yJ*wbms&*KWG=B)eqVUUtn@2inco9W1<4 zywcGH2OVPz2pWob0+Vj1opHLIJ880AcEweWFH^#)P*X0P>N}OtjeGH>m)O~7o@M8s zH_3($9%6$B4zepRzrrrM=pwu5l8bHE-Nw67JN@+2T>-H!24ygL()l*^f+>Dv#)VU+ z+LXytbidH9xZ(<5GecauS-{c9WJHhb>>QUF-%&uu6*}Vxfj{q4^h|5es~`1KSkt}P zA-j4VO1UBM&C4u$(JCX}{|4Uu?|bCy{}lqP5PZUxuK#a()<~%wdJ5CGLmn|Lu<5>| z`w({mqBd|2J&Yp(Gp}@rT8;&3nPppLUAgzrq-3V66~%MXcD`fB*vV*mqtkYzL|>Wdi(!rtoV+c1o`88jN|%U zurY{{2ZWS~2kkqJA5p+UBHj4`q4>c!T`@5Jdv zGhUzkdnk%~JT9PQ9bO3!d=Zl|sd5;Om(r7{v9YVws-;>|Tx#oO^f^Ei$Ag(xA-&40 z_ywiK)~!!>TR8U@uZkI|?N(K@&Zgfy)q2nQNeVO5)-GFVtLy7rV7bU={P^)UY}inr z33ce9hx&T3KmYo}@nFUjN0yYWUuW%2&3;PjoKHUSy$jPNycseyX)0F+_~=?b_jl{R zzRecA`H|IrIoE1G`^DP+{Lhvw|40Vn7t8ItPUZSb&dY3D^y)0D`utC;d-DgY|3cqZ zSKHt3%(CjQf3{!${@s-t<<7*Z!jMX4dZ_hv^OXYlYf$naDb{DCAH>p9J8gI1`ZlzC0)Bj z5pbDwUW>-?9(ja6q>ptZ-U(jc6gta2-~Trb-#f$je+Jd`F813TpF zqsvp=0M~KQ6^v1E#<+kJ56xuXOlB1p7Z+JWZJn151AwyIbC2Ea{r6|s&%gfQ3*29O z;}a_=NwVr1)t~extEz0YqQYD${tP?#unG42AOCp$EbLw^zWwH7u7A&4Vk1XxEm>dZ zFj@b%(MJ8OOZT4k*=HYnc~}cboo#DvvQ=g4C1)A*Hzm3l&34^skZrT|Cbs+To7pzo z^tWIBt+Dar_ORF9eM_x$PPR_eb(M*+rU4smWCI5Fv$?T*MUM<$`9zofChTh;&zWtX%=yHwS1WJL>eW88dHim>*|ck}wquVw&I)B%$#4AbyV(mb zzG&y3eU9VZt8X8>PABl?Y&Blp7Q2ShDO8)=nY5<9jrS2xg2G^Xr^07JxfAaHsPM;wYvY47%(P6tMIb zhmi2NC8-}lR}}ALfAkMy;piK3jy#6xX_YT z4OdBao*NIkM55ARx-iVeXmOF)oel+<2wrTmi|67VjbIXI@sc*HsHs+kt=4;#LMRV` zG#GGZ7Oh{q#>!WfS#{-dOH!-8Ucz19P-%M~xT~$4`K6Bm6qc0Q@}@eKLDZCCV7fQQ zrVJc3#D4wbH~aCYpKR{0zslQcal;5-=uXI%XSe9z{}M+-v|G=NT%X$8g=5%TnyiQ# zE|`UCf!D2BV|iH_Rv?AK47>a;#a43USj!uHxP)+f(RORg${1}KwJ*w(%eVa0&8(%e z+|rXXWNb6#^y2`m!?-LiwHOxf__nNE#t)^X58nNwk)KYMttt61+{r<`={n0^|jWmXAjBKX109gIv?jxR_pxdU*_4jzb~+_eq3Zf z{8p|qrP+kN_p{gDdf9SR&v86hE<%~N9l4G7e3TTG*qB{LiP!YVwE4u6vExRomAJW$ z8$ZrQj~->)@3_6CrDyo0A-d?BC4ba!U#KpeS|lrxW;j?Gic4!~gj%Nq2M+X!Bja}) zC+DHb#*N+8yZ+G!IHF^A8SUu{IHE-98KT)yj#`oA6#0WkI2|ln)(;rztv7aj5A+Z6 zLI8&kXMbeNS1fm3$TApoE~jqL1s{?pY=iQL4me>b`K`CuQa2=r*BfMyJ69|pauvT} zEtpB#+{R=TKS1pAlw~B@&8W%2SQ9A^9UhyDYEw=|2pzk9O83DgAmijuDSS~ z(7Eh@_KKQ_vmtJX!(c95tQZ^ip@R;12tBh3EU&c4s+!oFEEreDK1drnv0-NYhURKP;m z^!x0$ufDO(HoM7IU-6LTZ8F4upZlk6d&((_S7%Sj&>W{0&YO=s>TAcU(%S9dWB0Tq zws%TtvzEq6yKMSPcJSdx*fY<*=-qRR<;}8d_IvNW=d%QllZSc9WtaLSiFxzpxp83( z4w*ZD@+8rx%^rE=5s!ybU<(}90b!sy=<|?64)L7_CQqH>G-J$)F@fnf-el{_*ZVRA z#x&Sk=ghOu@yQ(^L35rZrBRkc{E&ACJP8I}gZ@retQXWz z2AGtU$8E-;)4MCLx!xTCy0Bv&Zm{$$73_Cn*ivfW^M77;yq(;XC;#F0=tR4qgQgUBci^VEGcb zz)$!G-a)Q{9)#x}Pvz$s2S4&EzzVaSfk!tXb%6523v?ugPmz3+>p%baBSAOL>=SK} zJ%J)_lq-5-fPdn#VOW8{k^;W*9zD)8mw!|G_&k5nLZ=-@l`;@~X5rIMKd2UI0Oo=s zKwh}Sy8w8@`>5eyFu$?tF;fuz-*oY}2VnRud?Um({#);PCJx3f#*HDS@ptk@m^SIN z#uD&4!;I-*7IA>f`#8fXhK{@yAWZxgVIZi9&miS-Vwnaq zKwhckcPq7(mFpb_hKue51S&Z-bf18OcR@N27zJqeS%s|W;2Q$7^|sr|vud-fyaIdu zrMZ?V4^O^Dz*`kBFgzGWc6b+t)>^qzm9ov&tXXO`dX~1Z)b@HN<;la7S1iSj;K^t4 zis2rZJig&uZec(D^ouG-mJ2F4Q0`&FhS@6N)m&Arv~&$h$c1f+a9|VO9N|$QJWAxD z<_b<;y$o+giWRicvP`jj8F0Ing~b~SaamB5S6ikCa)&Mb`)BLZ`+6(8|4}R1ZY*0} zn5|lE|14Nw)l!94zDYp)islKezlukZFVeW)kYmgieSuc!mmphwS5n81$t0xnu~8Xc#$&7NYCV5sj9xBURLoeW zcdA77fI1$^hg_+ppI;E_z0zQi=s9Ayc&A%)qfb0xM;CBlLKeKG9)(GJfrsEYV88%d zvS@+hh2ct*Q6+S&g%iBacW3~P#3x|5;0-f0sb^4zxca_9$Ya2h53`W~#`kd10>%U2 zQfjYAE_{3y94>%TNCLkh284jHpi11%$j1D6_SP2+&~qpj?*mf;36%Hu%3rY{BQ-}7DSL)+)F;tmq5kf#N(zWsqlt! zJLS}!Ejzu%GPBf5Os>(pHC9)@O2W9x8td0swTx74s-dw^}Z)d=tEQo*c=^5H~?p3D$~rtpw` z`iR3}bji{Mt~BL9unwm2-H&bAPnQalZv>-Kc>Qj5zyD<2{>ienkG*VJ-TT?PZ-26S zwTgb2^M!AF)Ld3$Z@uz{W#{Bsmhi2Y!B3art*w>Pl5)(>lHw43C@0v32x%0koVC_xwJvG~bGyj0@I zOWt!eI4qSr;brm;KDLVRyvg|Ua&&)Pe1@ZM$DDy;;&~j>$j5OA{5~JR5@k~4%EurjA zQ-3wn*?GB^l^gbL zyz7oT?Z{&eb6QbHbNH5%ZTH@PpWSuWU3TJ$CyKwEDl9!E!qQ@3J>I1kU*fc+aO6o> z@fl~FVf*d3pHE^SFgp+>PnYk?)vFv{tl#8C*_ots@kN)~x#vuRY=Rl|C4qR(JIbN@ z>-tOO_1+XI&^Xb8?*z&XTwusPDaa7X;X}t_urOr2OK3Ew4aN(eQy*!U0xFKf`>blc zKn{SNnx5gUCgQ>m);m%^84@91TD=vOl|Cj0y_=;MlD&&E%_o*H){PD!P)Dc>Iay)d z*ua5<^bK7amQ3(1=<_h9#oUEOOBQ=R$RxC*>>=Q+P`2LW~#F zMaIYHm=3SR--{t(NB1D47!iV3%miZzt`wA(-`x2YFDeZ2T^UOVK!J*fC*yIIo_K_i zUgc686d|X*VmP5;zx*ziS(ImGY8kj-2^Hc46Wj=N`4towbuftcZ2J=@iQq*t<&+9S z|20!z=l!!lini8Xc>Xmtr`b%@lRAxEVJa|5A_n<@334_HPvL=PR^>tgFaxAHUe=waN)aT)$a|HvD7C{C6)q>7H~pUdkfdRno#y4o z;Q4G$1&S(`<76NPOw$L}owwcYQ^Yy;KJwGMzodYf6#fLp@4H*|DDW0o z#G{2r-t=GveuVOee1oijGx4Dt<_lUA*PU2Z(%8y!nN@s3=k7P+CSHcS3<5BL;|4-$ zSxd^M%1%oal62q!Op#H)H#j^02c`mf@}5AR5x1zHTGWzbY!ewGPv?y;(h)b54dW;t z2n_EIKHy;MQ7AbQt{7a(O&ZD@?t#bfBmU-CAC5A@kqCH(a->W=aNlW>7+hY+Br2mS zkvJIuPyl`NwMHRMh!>s-v5*l?e2yW;jq&5}&T%6i|2Ga#RE1zT2ndWDS22=TTvP<& zcdVZgSO?7q;T$JwfG328$;9t`b;d8^0z76tg+S#Np5i);U@$r49`g3`B$$vQqlp3M z%1V*6NctQvZeZ0$-FV}OLm6exSb}C0C z^RD#d*2?u*fG7f$qGyIEu%2s<~{X_mFzUqEI-4_|N6xWM{a7ia=GQNPE#u?-)z{% zzW%4__f_JNS{dFACE=HGt<%*cdQ{7ZiSXjR+0upzKNINeix0QkuDQ~F{qYwYx62OJ zz5j6g;in%|KG9jchEMQ=u_WhdLQdf;t#G_dz9ScIl&H-5*QkogtTa4O?_MU6lCrLr zDc!W*c?UdZJd%d*e%F6w3|=!v=`tk6%%mrjH+UcyaG#63(~(DTODJ={6t7+KMr)#X z|GqAV;PlDtPZF{(KFg4G>(Ql%E4H;zHZnwP+9yZW= z_U&Um`u6o1a7$NI*ot*)Y)yHi{qox%wz_P+tz5I(GSre?xOg#?ay+T0l!Nk9whY#8 z32%6U0r8X3^&2WcgA{2vA1BeP==^R__&7dlZQXOHz4^wQwqnH!ZwZ`w#_2X|=FAS| z$;`bUfBeywERv@$T0%3riuv}%mtVNvPz`ms=U*_{>lH`OfA!thjw4DF9*iA3*0$Vo zOE&@-Nb0B`tf)Kt{AEbi>4uywUeJ5uQ~M>i@Y@|&a+wg#ZkG#XO1UN15v_v~=Sky5f!SO!r1S zSTdHWbBN60@@;BVrqEX#1fPI+K^LXNc6=3IQVAYjNtF(!@y5%cv|M<--AhXS%&fA? z3RO&6I%2GZsY6(Gam0Uvgo5Tc1J8u=igM)h|?y!ye^%t*_ zZReeK@}nG9ty-bqYT#sLT9zJa8*06Io}C^Bn`ybRNEE(tWn^c%v0{v(Q9_@t?;}Qz z^mS`1mamZEV;2oo`Y*n;IdeX>qmDSz=Fa`qzWeqYFMC5nz0LmQ6PtGRG}~#%oox2Z zSyr}kr8U$xSW;z;m9H$bzN5$4@}GXTZX=krlw*YhHWu?T?Z=nCvy$Ic?mydX-RJ*U z@lLy0>vz9fdy^`gq`i?H2IK&s%-9ETEt`^xel@&T3%0qj(%yLCU2AJexAj$ZcFaj< zsa4Tv-~RlI3_*rnCeL`Mop-R$KKs&+UwrX}^Ng+yL<{-GSzr@b77s%g zam8EP(EqmEZu71}#>7rM;Y3d#We-KcGxa9UICVJ^Y~FKcMkew?X2=k-f)ey}$dZ1; zS68(9&U=0fjChx9OINP8s=5aI^4st2gO6miIG8XaOU_V%W#r`9sIj|QRZW9+@72%7 zj2UkO1`oCQ3zygqJB^kCO}7P0SJ>heYi-jlM|%1{|M}Otbnjs^W_>Kf)o8!{{kT0UZ+;~`f@*)Y>f<8 zd1aL^++MPHiE4=0OuZ3Zp#^0|pTzE|Krh~TAAr9LJs+Vi@>_rWa{4GfCrG34{`>Dg zIXXGE(SQLq>%*BgC$ZxQh6_VkP{{EiwYGfK%Fyc3`_E;tzWMfB>mer#=a8{Cj;d$< z6W33_{2W?3bfpO=>gcQwXX)E7GLS2UVd&yTxzHl()w{Pm^uzcRKlBI>#r( z@3EqG4h&2xMo0Q6(C)z`;=`VRkG|_22EmQ8N*>aMrY!LZ+&kk(AYOdtJ6;X~=;^)U z`6sVnC^Pcuco{KHc=P!NsW&?zeCO697ul~q;>0-k%yJyTmq``D+c6s`g@JKI*=DHHv0(X{ ztFN}NKK;xJC7@#`>|=j_|C5T(Kzfp8Hnm&-ky}`|@jIJURhnhw*`ilpvBJTFY$F-l ztZ_S9*4CR_>kofemc0DhuYdGTggU{+RZEt@(_L6En$^nyvxZBOVC&SH#Gl%3+imP; zDIU%@+J1+Pts%9@ev)CWR||IBZsVnpntTfTHrsA%Uw`wp(}?v{I1Uph9%yrao9ksm z?scpX<=qcZ#mD>i0e|5}3x@QYY`U>6Ub4griweV- zqHy|G3ci;-WLlboMC*V5`!}I*D}44NU4irG&-YftYPFVz58qrKbx$7yraTO|v!zvU zwX!~uarpDEzd~z5PhJSB~Ogm(k08(a@@jx`|Y=m zycyqOo!<7_Zs*g@Bi{}`{BS@0o6TmSE4!fMe4=ZZ#@??_U!Sz|H2D(Q*gBnHaGQliX^Os^w2M>sAjOfUxYB#02?!{T$|(enZPc%l1>ii%wi zP~VA@FJ~6svD54auF_V(9C}zjf?b}cC*;UU>AYt#qu3fwOg5%!3dRk98D`Q5F z7IWG?P9LQmCRrG?(EuAdWQeaL>n<7RH1R%?mA<|E*oZB*w5{cEZo28F_T4w%`Z_fn zNtb2OF0uBgE`UVZgd@4Juvuenmhq{oXs@W6xI`-!uTP$UQ}7x_e7 z_)P#Ffo~BHn!0%23^ei`rS9p3R)j%_cZ61d!+^VRPnO}?e3MNrN9q4tu{5L+Zl0I! zv3v}m*2!BzC=t3e8L(x`r34k9a?u^-V^Mg13K2)HUB`~IU(}-6Y_rWh&cFZsZAs;o zwru`F`}yDh{9wDPno7xQ!VpV{FsO`?G)W11`B5@@M;9NskteuOk+38j@JZgs9Cfrk z|NQfEauU;K^_~64pdh0x>4Kdvx}^#)W*ByBXtPaaNartH;Q7+;UZC&DO?G>lr3)eG zOyC~!&a@h}y#0{Fg8%Z>9ddMi(*VemtdbL`Uzs>#GcVsy4 z{3*U=4|IX{0-$2i^%~@ecP<}^a=F7LT<|?f!+MwKgb{}{c)HM*78mjbU)Uie$}za2 zq>w`{l&32QFQwVB#~v%kD9^t!_CTwea=PMB9=1So z2&9j=0&mHZrM{~I3e?Lf9&*pj%o2Y2UI(Eu?`S#DvMDJk^>>toE=tNGfR0yYc9_BG za*>nebx(?#43{G1z5&?b@}TCw%7{ zIv^u8!+R;vYm_OM!$%WkW20&>cf!~Li#s%>6+z%PMglxtMif{dh#Zpd>a}Ye7Im2N zVNmm>kBEaqK-n06q3gGh%hJva6&sR z1bTxwwPLgkF7lu#9+LX??a8D&PXseYjvQ&b?Y5ihEkF?BPyqqx!m4}xO@@5`->{)D zp&uQB+<-|%#UI-5G5SH%pk|@_AdHEPy%1>)aRB!=uT!b$(4|&Hz$Hfa5+2El=eB#K$ z{}xz|6z`AUe`kOHE>BrX$&X8r;^0UL%Fl+pVQ@dpWD~1A&~l^9F%JwGWn(f3;nY)4 zw}&5mNaYR{TmXF1N17@~mW&FMLDF$_M28|(xFl7OE^QbF#Z$gns;s4=Sy5rW6{Tk@ zLwTcgivFDz3no(}LK*19wLZ#<`!uecD#8SnbOYP{zm?7dvlY=upfIT(i#4XBvve&>Gmp<9Auvdd~)BMH&?M zym|k4o)`qm8|4-nfL$yDGy?_>AznR>Eea6&Vt9yy(xxk%$vjMEIO?dQ>^{+n4PaS= zMt2;J@ojhB>FcpBy7*$xi&KHwjtE$OGO_}A$NI^8WYOu!2CI6<1fp6wdDN3q;lpH% zRchrjTa=a)bqbmI=_i&y1o~h|Ft(JD^?Mj1p7-5%Utb`O0c1A|%7WqHJI)z-um#x3 zC!XZ=055h?Ipvg7d;vR4DE61p1kYH1c&6@#@zYMN4&lOubL4WCD4Ul(AsZMOWSK5~ zj=9H)0w?c%65n{H4s&OpM^7UdekUI9ba!6+7)fINZ)F~YfIC;hLkro+aEM7nTdl+gm+hX`;Hg1QV^|8)64qiFN}v>Z5uU1f9S$eW~=lmJ}A-=rNKIE<)&|BrP>Jyo1OP!Q&?2M#jhA9!JkGDk4nS@Ej0iRty2WNFTrd`R8B0 z5o9D*48#j@f>&?CHF^hFau!N*O796LoDjShF^u%214j=cGGcdw%0THw#g=&c-lYz{L>1hX#V`|cl-F`j~y1cHZ+8DlE9f3 zt0YWt0$pj{@XeLGXx^mCLq2qYK;1}-Q%^nB?!NObd4+*?1yW?064GWV|5VWyBbJ+? z3fRzO`I3!HDIDKrUacYJU|CGNuB&weHXx(ek`$Li9T{`Ls8`k3`VJnLPx7SFE^ets zR4s$v0O3+mTWMvgxW0#GnkqqBrd2k{0O{wX$y4mQ>C=NzR$S=qxQpKGTEP(&u`JDM zC1KF;viZ#wdCW6`E{;2;a2X@~{)caD_!e8(MN_8wL>nKclFR^)?h~;(q*EHa>_*X` zGfeLBH*dV#S%FsFK?faVFFgC44A&m6T;b;xmtE>*Si5$e9|lOb)%V~3;9Y$1jIJP* zEBO;pKzPr{ah$duFB~J@b4{E$(T{0CE=Y?(;~6KxotQ+~spH51_`+-Q{8^2~exuHQbHM(-l7l!xE0ycLh^FedN6`+?UvF3za( z2^A_jWgWHC&i2iB-}=VZhwGbnM+y#d8pCtzW4%tSqzCdS>tiegGquE0i(thc5JXIWw-O^*xrKZy~PW z3I@F9J-89V_wYZx&!EUkKI_b*f?mgv}+g7gNlN3^0U1#7^3ZFTWszMWZ`_e<=U*OqSCv!_=eF& zm>5T+3&J-Cm7$YIF0u>GpKPVY#e${i z`rd}YQJfqV9p#du%9$xR0&96qp=+^saj6vAYNvUYzW2y32(Tqn82Vb3N3T`!!_FeC zD_hheqptD@f{*L5sc?hRA9K;c_SCHp*g*#$XnB1G*-f`TWv5S`Y&T55#p#1m$So}L zGOScfpi8$>@6O52&UN0fCjh@dK))q2LDyrHA?U}usHm9T$POB`Ci?V|lOT93I%V7i zzC$y{@(2+IN(2~e#2jUhbzzi~@hQrwA0CJDu@k~oS6yY*;#c>s-9(#ImoGLxe(SBb zo$veXvyU4predQw39&nuJjk0n4i4{-OTI@X1r3ll6p8ax-=HrtM2m?#Mvr&E@N!93 zX=Q;Y-B7^7vEmyB6FkU^-Oab%c3W$bGG}@^rwu&&;`453X@SvQh!M-o%kkf-w8X)i zUC>1`i~%sgKL)-Ncu(2*4c_n-+4IXqm;N!6z z(c!-(7qqVYS^19Gnr+Fx^`#FaCq6O3o$p-K<^MCyUGM0j;EXQtD#$Ce+Ugol4_z=w zO!~sOz<+p6JbHu(lmdLAD|H5WU{@UAXTdAcl(aM7ogsQODxTg6i~SGU-wI2^=D^?u zwuDgrKr@^ZW{WZbg{`T^?KDa>l5O$$A-KH>6^%28QsF<{aLnGh4)^jJziRl)>(8w%Nv~KT}|Ud0Yi=@?zn22Tm9}2o;wC5f4PU zMNEUg9jmWm54FL zBcCawJsa$L=P4eX4sy4 z?QRd;cfU=&V6xpR?->Qg0%7=?iIP++CR4@{&VggPWPtO*vSpZd&S0RppS}9W7s4~i zN-fP5NMTBb@BGe;Ez+~gNb|Z%Yn1HJH8`)fOmvrV&CB&xYKB@^zb;y2yYI1;eKO}u zt81yZ3$M7??s@oKJ88;fZ*kpl-F0@)eGm9vgUHBtKm1_ZZoj?C-fVZ?b+@fvvkHOn zGSxPPF${R)YhR#kLXMpOx^wo?cH3{`28CS{;0dgAc@V#uL_<7+Na4l|-trt}%H>3H zpnvS+=eJ*yEqZ@)v(v4vp;fKtjpcY$*t`Yvt)(7^vdxd7!0|v1l!J9EE%9+90y0Ti zP_~p8o>o>?`_3op)~)qAKubImr6XBoXAulk=&BQR{V6XmbJ)xXMcFd-dF6_=`qtw6 z+A-0Clcj5FtGpXFo~;CI%7n0QzSRiZYUI||Qw|D;je0ki@?$SYX8O(e@I%R9oLwky zU1g@8Ky#mtE~4@5d=QCH)8NMO7C3b{POFx$u=^i=$iDySX9(zHX)SdP_UWgeTZXsd zl5F&DyEt6rn7tv_sV*IL>@l8)D<9?k@vM(ri5KM-*{E@&+$qY+$X5Dx`((x^w(DMF zZN>-h+ZZWLc<3CXHAD<_16N>Uc$N&HH@x+o;A{AfE|EUfv$v*{o_7S|Bp&oVbbF)h zU2!Oc-@%x+x62vhj4r`<<*hVD1%=)XietT@`#~p~<#F5h2B%j3c`aiS*_4#5ql-vi^w2Ut9lmRKEm>Eb)z;ya%8Bjla9J1#01dxkmj zRW@Kzb}p1hi@Xxj;-x5sU3K{t?wwPCB5b?|%0U{3F9y@+&nkYp{=mh%u@p!XY3)L! zG|Iq!|7EU!&sPgAQ=T$Pm3)E6SOi@Q?CVE9RZ?Qi6ao(3FVlGmUELdA?OrFXKa?x+ zQIPET4m>J1#*gkM6bLQ8p+g7T{rBGIxFM>^;3}N)&a$N(y?U?agYcY|(;6w!FI1^0IQQ7CNfTOX{Vl#amij z&J*#qRqu~H{|LM3l54C@t+Y#Too3VTeBI8vaI#%_!wq)h_19aYj3VnmuD$kJJLK@g zZ2KK{@-YHtnNgAG3W27Ls_31A%L(G7yuu`u6sPU+$DiP|cPU*aWg+61JCxw7vHk7iaM*1K>-GE z9Y3Xa11VT6u%)F0?WZtbv`enITy#}E zmC~mh_Qe-p^u?@9rH?IM^c@C(7F&~Kfbw%BhdX$+b(WLOCeL-g5Z>|Z9K<(bKY2DP3OJ7n2?jqC{OPg<>sA%%m4@g)-qsar{{@X@x1N z_)4OHPj-Hu?;Xk^u5B_}=p6Pk1s;5ZkJM}Q0rJpwFq$@(0eSunSL9`zDS;h82n=bkjs$rU<{ryb8v!w%xc_! zpMCTV+2S4cLS=+z!iQ&vA>5;^f+JmpjQP?l6O6yoZ$ENGI}9aJYg72ZvjbAX2#|{a z$(W_37oLezQbUWyyLC5+S0A0TXE9qJ%O$AL&3st5M+Rrz?c=k>7t_rK!w_5 z=q6I4p%2~#K*|t8vU@SgYnAV!3*E3F1^B5tXg5;e*KNZM3`%;!T>kj4de+N zJYWXeX;Nd@=Fk7vD+fHG0umqi2%t=iwKk~9FYgs$PgN!Bz3C7uuTtx)vbLieELCuU zjj%G7#x!0AvGcQYeDhf*a}ZC63J>Da4I$ntPbO~cv*%teIFt=sI4BSLXQ@JA!03C% z%YX2}2W4zB9XGm3**-{US#D;aM@CbN(zPm1wl&F!Q;wZV`r0CP2Pw|B71ia=Z)NKF zvjaVAx8N5C2&SfHh8@F2br%0tR8@Fuf)R9RjTT4gweszYogcJc`)+BPFb+Rs0J>$3fw6iAv6xQat=`&_;vof(tKDt7^TEi*32(=H4Xlxi(t5Wv4Nt zq^zYcaJZycKYn|rC@J~Xzg_kk@99yVb7sx4?MH2I-+cbHjoV{a#i6##pr{1{%~-?7 zsmfjs1?cMK5lmVyaS{~e<2`|TMXiZOo$~lOetJgkDGRM5ezzwpeS&Y?{h53uD;{4L zEmP#))=bHg-i_}zz}z&*E=@jKKJai{dbG>?Ti^Sd?F2L$zM`u$&77q+N*opQZjUN zQFsW$MMMI3f7V3>M0vBL0!E8K;ZQIf2**^<@4x@vPvK;{4#sLo3p^?b1$FB##X>(m z28*#6R>LzW&Dha9@4VyhUU~f$d;k6SeT=~gA!QKpqm`22hcgR?!Xa8m9#>bypFkRd z$5ZfiDg0JE{Z;%xP%g#R9f73Ccn5Gll%LGw)y4C^-_~NyCBDkx^=Oh1N!-dlbTwl z`=(isZrwd!0{8d~UeJwhg(XXt_~Zh*PUxy>lLC!*j39xy%r5=rn_z6gkMdGZgbd0t z9>tm_%5wCvN4a8R=ZQvk=)j##lSePXYffsldR2rb<vr%tfATGjHXW7@vTC&U~d&PT!}s*|(TC>>WTnWEw&$Kd0= z12VEyju!Em^79Pte(1Zv};gzUY{lZ*;9eA+}!fu`R_X zuD5VI(Hc5MSs;J|GQc~Gmh%SnsXJb3z3Ro|Pd#bpPCn0`eExa6_3pdv{)Zp7N~QVd zpMM-4Fu<9R!~nmzz{nqRi2=fSVA}AVci&~*dUUt*rcCk{W}alFxs`4pCU2Jdj5y?s zu?*inN`ZR#@S~47{h$*)1aTu-j0NL*wCs=r^o7&S<$i-<<{N8jSyliX>N|9RHo!nZkuTo@&%{%*K^aO5Gl_&XUrlnj^W`j)53+am zl=E!Z=EH1T8K*FfoV-xXOt@*NX|N;-e|nNyjIH8{@{k5p zoD?6Y_20UDg98EOnM;5jUxy`Jxgvh?9d#JP7t2E49v3lD>nO^fw@y0A3V~KQ-RDel z>ii9Z7G(gVOI`%v;IPvQCJ)j?8t|JgQ{Ex}&^HEj`KiaAq!zwf!O)b=c*RqdP|lRf zES@nO2dp-5QtQrx^e_Ql!y|svvJzQ+_=KJ|PXmpJC>+rJ-~#?!I1F4o0|)wG#Nsd! zf$}kVFG zDn6DIfXJjutW`7N%XgKL_rB?7%@Kr*%VRq-9Nfj!W@_K$SP+l2fNn z^}Y63xP0)z6YZAUZnf*KyUrCo)5$$wu^2;2_c>*Qhs^kNnNvA2P(1VPs;j2S`TM}K zGCAll!?!vDCvc`UMP7_~VN4!-?6IJT6h8bgEVPb1=Mci|+$>waex1HjPH{X@2g!?; zD2@>u*B)@d0Zu0tr{8_YotB@IYxQ-Fs=Ie}I0>w0`t|oeY`+Qnc^(Av4$ssd$%V+v zZ?Ag_7+jo$4?g(7@nHrkfpYfh(bMn1rv5R>2)eN53tY03GSzaEXD_wNduGWsN>R_A z`LVZ>xG+|}XkR?tf8YJARffa!7tOg-&rr(nILZh4dHs>yDlv*bGMGRC2&Cs683Yr7#BdU5)qpr&3PMdh&X)20%M@5s1T4H7 z3WYKNCh`1z0yje7w+Jj8Iwob{jVLXv*)x^a;U|bg`FVygz(Ei@6Wh~Ec~T~%DHMgc zAxv2m9jM`3o0155PGsQ4YL%)~qnq8s*+x*JLBM+QPk8 zc)WPo5--1(Q~V~6-o1PIH(JbbrWswOG$WnXi6ZZ?!**`0?!W(D|1A`QBH)jdG5zC= zeNb-7jJ=67Q(Z|49(~~i@aA2Yl2SiToOo0oT%*`sg*?{R*Q%`PHg1>gWz^cNN3ULX z!>#w(`B&XxH{W`P9d_gqK7fy*r`x%#vfQ>ExvlR2!A=Npnlj*o1OpJ9k%$AqXMoD$ zMy2FsM!phq!}={~3;$x5A93iGb($+3PC{u(iPs+%lX9LE-Ed5lp!{7*yZH{}?WzlO z!C^$$@b$XuukpMv2E-%nz4zX0=S(`!;j;NJfxJog+_NvJMVjOWh&3-PI_=kYBk$T} z<}3=G7DxHIGKU)-N7gs4S-sA3WRNfj(3oWcw75vi8F2KDP}iVKc7C>>F9s96z6cg& zMxoKYOI<$u?6d93M;>z+mtK0Q)0eK+Ew>us@^-^DH`qBBoa-`$BZ@Je#8LL5H?x*; z5M24H+`%~&kCYE`0YCTKXM$aP`DH4PKB*GGLjukoYq+3^uUQliC^rFEVZnOfJ4$<| zjCq<`S|hd@VQg?6$FW%uKKrAOeS0wWIlSd|ISbS`m6>j8=z#Nsvy5C2faya9x_kG# z>Ip`~r@r$|a6KSr{){nZ`gqVg3Pha0ed*Zt@0_g>puDNyV%Nz%0z~Oz;0;`p>CQXtQ zD!<#3vh4j2XUHjdK??FZyY~7E99QVO(ZB&df#;HoF7llv>f{~nzQ-P}jObFNyv=Q* z1&UAJITauN!WYU$g(pyPJdP_0G(nIz=*k^9@Z62H(xpj}1O*pbD$o+61zcprX(^Bx z7~-?LII!?*&%fXzlirYsC)sUx-r=-bv3ixC)C(Q>O&a)sF`%2DXYx4us3UwINwzhj z)ky1u_$??5DFIXIoc1`q%1XR)m(SO_4eO_`A*lGrdqrGw%e_|tio=-=@z|1 z7FhV*=!T2{?(h*=qh4~vJanTxK|cjLcnd>i;EFibHR!wYh2BiO@W~gVKi%Qr9_v46 zJRNq_VLtH)xE_>_VGy?bqPJ+`z7st!^bYKIBcZgU_voS-X}N;~_h_^tUIcLEQiP6o z+`|k&>T;;Z$Ok$P-5O^Hf)glsJ=5=qtA7Kg0)|+Ls7+oMX+!`^mfTxTlsOX}CFhuQ zjnXSuTz0WdoifQ6V1M<+XZDAj-<~~7ZQJd(lEEHrW5$fJLk~I7w%=}R)vw96<(6Cc zatLOP*iq8@?v#OF70BaK>G*;NBx1lq9EMug$@m>~zfCp`g~-~x8i zHieDjw%MMTGSs+}#L-W+HK1 z*!7LTtQ`XJILC?i2(o*&QWS*+#r}{j2T~boxdfOh@HTnJ{o-g&u?Q0w<4)VHN&tlSKdq+onAD-~+yP zi!KZp7+YNV1-WV!CHp>ifM!ARzyTY%a$#MKd&2H#B3Ba!GNi?@kuDJRdyZqA2 ztX|$~Zg!4){k!e4yX~>po>Fe@cGXo^3U)B+z+`NXO7GDDEj zOGI~~@Gi(J@TuaYrwqs@&w;kBR$AJ{=}Jq*d61Oud|9z-rDbIV877EA-a?>!q*c~I zF6HQeO?1r26FiAS&80%Sih^*ulO8Xh^gv+BI=lkD-;rhAnW2UUk4#m`kYnJS2XPjf z@&!&kEfaV}8qiUzAtj-Ro276(s9a7l$!=>4%3gVhhR#dHLmr$St{-$cZ1z`7v)Z}_ zrx|5}#rUJaQB9W8$0lzgB(`^G!FVC z(8Fai-V=r;z9YEoMm{JVzn#a@6QaJ}2OUBn4i1UOQQY_rTD7SDVYpKD56ZNwj9-2H zrMQx8Lx&Hwe}4Z5ZDgaz?QEZY@|l<8@MDg&=bw4rMvWic0T+iPS1p>iUwzXqzG#x_ zPMcL#%1KOWwXL_<#71m2+)4^_y>*(wu0^62CrhNK<%tK~?aQyevvK41aOYv=%GKTr z09<(EXL?Bhprdaur}Fje(c4z647=-l9it0ZI>Ub}4ry^F_zl0<7nNtmRDDcLFc{)M zSJB8~yv@fhAs!JakV+vhMQqiFe*OB|G4h(GPMu;KZ#+!Q5Mru`7%V&^6dKBvaSSRY z$g=x^PuPI4f{E8D$~zNafHOvykNe15WI8JsjPW$Wh4{WBxzZ3wLxJKm1qB9|4?OCM zMvL#AgA0)2b1@MECCC^acPc&Jp9>LiloX@Ils^mXMvWTfN*f_XFeo=Lx#K+|98if61$)y?H}-Wkv{oq> z_txgPP%m_AEMC0WTW>HQ?~-p^@DF;jpc)=TWda%Tg4kk+w4DFN#nJQJ!2{UTIV!xj zFq8=8%>aDliFd&XW?D{`8xh8*+Lf+V@!KV55ZPz@iH;mbDDCGhGaLwCqo!}jLWZ2B zjGSC49q~Rr!I;yZL+vwE#>GX!CX7p370=-tUBmP!u)P@*YnX{#U0v%& zk@(ObN1<1@?)K@&pSUexj4P?N-M;_kTl@UOPrWWM?!w-kq|r0x z_|TmSXhHzK80U0lJTfP@P%Y;od+ezQtn?m&M0nT=WGHp;77BDS_so?^~ze`%T!}&Oh%QJAd+dcHVjC ziZBh{5(Bt5%LT8rYl)SV7Flr#M96W&hO$OE`nZ`2MR3}42?-1smkR|~q8pLEbqE8a zm=?_RVUC~X9pBwTV{9k{>2(n|3P%jY4T?B)8z@H?lt_#Y1+4Fa(vc>7N5GU%A}$w! zyXfud;y#5jU%pXJH&(iXBfUW&PqL#23W5FR;5XwX zDwvPMUE=!@30teh_Db>}~3mvLk>>@1EUgL)V?4v7qgPqdQ^BGfF? zF0ZeV0&eih92LT4oyw@{?ndv9XFs&dZhq3H-Tk`0hsE%O>C>k>9_*BHft;@ut5(_6 zi>KPsWea?(BJz*jVR4KEd{ZTZl*hYX6rdG|AR!>?lA?FOCXUlu7tS7cDjwa!IC`E| z0lMHlqB!x8QP8b|$&`Elp2!OarUiKrKHfztIfIVKyBjcl_jkIW4Hw_MKIobJhzC6I z<04Nk_BL#3mNzVe->XMAI3|Z6tW&Dv6lvu{Yp}YqN^sRGk&)%@>-+MuEHz6le-Xf! z5MZ<*neua9i|w?STzLzB5!LCz;`KHeR2HZ+;V8&^z!$a79cLv>w8HoxGb)JEifBGV zwV3fi(bQW*axl&%_D##jH=A z%?NT$8{>bq7` z-e?ExbBIkm;3#|Y@s}(oql+>PIs+Nvil=PHdPP8g1epQ9p!oUBJJKSM3Q98?%s z>TLCAUh0<^5q9Ya-9f&%H^Ep60|Uv&qw5`l$LtvJf)Rcx9WXmW!gJ#SW_%`iYekgi zcgT}z#R&tU3oucD$%A(>9~g#5$;rA16?6k9;$W-@?s;^;3OIQyAn}`cC{ExpF2pwk zAQO@I74!X!21<;}j z(VS?Z5#TrZLwBZDlZMd0Z$DRTZg50T)>Twh*7z1a@EYZO&9tlSVx~|_sPgj))k>+C zK&k~U{-tMUdJ9#~mprUA-|>N+u<@9iye5mM!YN6LlCi7?x)g*%R$jgoiqeTcj1@U8 zL__XvEo^rsI=89yC1r{8#YV+07gE%8PeC69pS{{cUGI4EG`v$jDuiEbTubsOckK2-aJ*E;m{+7ZI1>2gtqy%}5uR~8n1OV7 zN&O?hA4!Z87nTUmP+$B#We}}7*1ZvfseHMhS(JZK(x08qP*mSgVzl-= zsH_>1ld|<|9anc~^a;5_VG=SkWJ0n;3&BCp9DVfB)JDM$k#U7Q=oQJS%E0VAy2fdJFhR+AtqYMC1NhT{D_qmfM+l3cj zY8=r}FL}Vz#;e9FYf;&72HNq^CDgvwi%P1ith&pFJ*m}7 zN((K&AWuqL23-n-_$awESpcgjQ7yq$OQ69L{U^K7)(} zn94g*xv49piGg>7z{BvH%dsaNgi+v`IKYP{AOhl2K&&L#We~iL>a=x!@c->GYF4E6-cN|D6A)O+0dmxAfEr|3cJtsI3-}1UIQ11a*;7gkt_C<@*bpO0LfSgu81sg`4)wZbo>tS!8c*F^qt^1>p84C z2Nr@H;1fbo5tRR1Ie{JXqAmUF8 z1LPDU+`y9!ctL*xu>9^k3T21U%a$#%YAO0;TBs5@W}}f0V|TPNQBF*ICB(d#EyvC& z7*WqNL02ve9*e?xKV;ZYAK&6TziVo0-7xUeYpiXwA{hvFaJc9G z`+asKT@&8)#~?M;TXtTqPspJhryX#(?KyV5d(_~BicaCMI+c!r_O3m92tHkCtu9B< zN)0Sqv!dL7`0;z2a^8)0=J8YP`s*)pLxdbL{hb2>SueHc-h27x##CUY*p3{zjl&4b zJ~qe_&&~33nc2jtw^T|F2Bc+AFG5LCvC|lOW~vp-k_xAvep3-SH;V2tc(i`)y2NyK z_!E?8%nP~({srg76_oO#D-~G8^Gi`Ew|5WfH+hgQ%+3vUg1cWB1LZ+E2*gL$Q0C-; z$IG4kiO)0B_r(tJsz|N!49|=7OiJ-F2{aK(Hvtp)C=hf7&k+`PrpHqbln`|TqeSaA zOcGK01e^}%FcA;;j@G)5sVPaM5pspxx#3eB@}-5o>E@f+wbx%~Y~*{69AzJV01tr$ z7siL_l4mAnX!-=Fi+v`M`w9E*>kbuxE_1d7W9t7#0|yE}>Lg=d9xu4-OW}@7VDR()w!n9>gTVp=7p=R`M(ub^Y>zF zoVUUn7p%0F`76B_?2g;-wE0UG`J}o&{rf4;47=j;t9b+AY`Ls3u*r z-F@4`cG9UQTI%WwOI}l94Xf5#W7%5E7oMpS@6;yMiAL7v-C*y(^S(PH%a$)u8B`W& zICw>X_V5#9hoN#=P&o;70m1|4zv6fFC?w>Wi}<9+fpQs9Kvxlni~PnJumn$o@!(^4 zffu*|V7!7S89Y>4V{F6Wf*}@C!MISe>`TU#PS=sXopRDicHTMX*jZbb*`5j+;2m3173LJEg>{KfIR}?zix;b<)6bt-pp4U9QryKZzT`5+yTUG$61wfR zXKk=r>7`wI*!fd0^obcwq7R;ItKhOG&AY55AB=}_(XH5^3Uc6t@zz`|<5AyeW$UWM zON@W;*61Q-k3vqI?cS}2tu0&cGIsVEXM1_P)sZM8?~$w9ZoA#fcK<#1+D+Hr;Je-L zKVe_H`GyCg>ALF5X?ESU*DD`37lv1%LOO3$QGrPw+_@rOpcC&yzJY%z zLN0y>eg!4KH+aYmCx~F?h5u3R2*_c?jXFqv z^du0FkFZ}}zzby(@CvfbgbZkm*T;91IGfz^j12J`xZs|mIuBiW@AOt26j`JVa68Ky zcjB{`rW-94-szx#UYR@hXYnf4w%u_%%Pq*24OHt@Rl7~C;-7m$a;uW}E%{&p*~sQ*ZCT{f;Fy(>iUp^t@v0TGG}2{P!Ol zzRgI>y?e^yDH`(l2_t=-8KW4LMKVmayJz}5Ce!`6}Jqb}RB9DARir%@L=ovX{QF&wF z8yEa1AS*6I#Qz^TV8R&Bz^48nKg5AI+>?EfUvTK3BzwQwkp?^@5=zMAC50w0607)n z&puoEb0ef6+q63D4@U zzy9*c9&6XFw3lm&9`cPhPq%{)I>^T;=uS*h zo|GHs<$#F?S*i>vJDQUp-H*sl6kgWg`B;$@8hkA6QDU<{c*QO||4@7A;p^?TJ708< zmhS|{TX|;Z2J)g?bJ3#3Hszuzs!TWzp<9uQZbIlp`a5pD%_|!Uo3fHWN4(Q&qw58l zFqwdvXwa4K9#7O`JR?~sq)5hESjZ`u&VSJonsMjieUvu>FsQ`5rv~!Oclbe+c(jdP zI$w#0*US6R%^Y~_^+t*kdIFhNq1W96j&9U22r?dw{h-)`QKb$sjhR+(kS_vsQDQf2 z(UayItuF8Sl9!Pxep6SFJ1?K73-yO|yN(~PRBFX1#;qeBlo7+`{MC2LKufDtikx`7 zAEtWQY6WzI^uYik;d;OL1#84s!(|MVOw4_}#rr zS9|cj2Q8%~^f*{!n=Srtfp@Ig?q`3UJ9*Cm=ot##ZA(st7 zh=DlhNg6+qrqoH+%em%7j+BDojF?Z54+W6sqR*L_Nz^`Fp(TPSAlBjHcSwxIB_otT zP!{xYd)FGdDG-Ov9#7nne0jCn>J z`4-_oM}Nk%lqZT%pcXj2GcpxBL8T&YfRRY!u%KIbCJ#OM72n0O#JDjaG+nxPu`XSU zZNPy3mYtR6i(GwXQd6^c6~x(|D7(eW!V%`o-b<1f3%*>yXON!Co+Cquf@gm+)`qQE zy3Fx~j;!Z`B(ca!9RLG9e4Jp1XV@2s)4*?C$e?~~b#y}EX{#~yjaYU*p;*pWYY zz<-32-)sj4J=ndQ3gycQbVtZhOc)CkRbxY#a^I+YKlrJ|ZhPq`yX=qZ%J zGIg!pc1LJE)il)E^xJMx`cyv@Fm^#WEvZN<8#vTfaRf+M(90y0;(}tk?Uvi@H7S$Az>0>;)(>ftO0a^z> zhPx{~l%@jdD7|;h(X!BY-~N%=zM>nmeW{;xQBv{g?)BMXqC546K)vz(IF(O?EA#|c zbwt3D1tS>gpulUZ*$ND~3o`=!F8;HQ4cx2hYE+IUpGw~*O*(McAWO*%aDn4A6|7K? zf&p2(ZnaO=!WRMumwDwM=|-SF5t!%`cgdli1mnhO!mWPsj$Jtlit=sN`?I|JkG#m6 zJG{L0x*J6`g(Qqxs%1&RzWnU-RwW1P>S@#L=O2Cyyzo3kP&t!KGyqqwp*s#%eUMCA zv+8b+{rmU7_Qhvkxu0EI-;`JcPkC8-62=RuzfFp;eECmmm4$Q4;0GvmlQ>;ds^Au70EsihG^(A;xlnoJ8okX4Pt6Gsv)u@Ae~OkE1bq+-Mn^XY8*( ze-or;8#`*W-W`{U$%t{!vUnJ%8R3X(7Ah!+ueHf z>MIdUu@z-ywqA9oQ3O2Vl+&!wuubfhH-5GIADLy(zxay{-E_G9vtY5e?BNAE47q{V zwDF+@4jghzuMa$@3@*zmKO0};z`$>=_?{{9Ts$)=1vup_Ig#FVJ&=-Yd4=J`jA-1F zeSj1ODv*i(djtYPL0N9@APKRAJ@(NvIL-nxCInFNfZeS} zSKqONN(d2Y{V{DbBA1;Ljtz$y!0>`e@o)!6zVRIKi*dMO2slwuM8I|irJH?r8(j%} zBP~~ajx)I^1r;JzNUqLsp$qQ<1A-EY7r3DmIVF|KN?*KkaKiv2oG!!@PhdD;DGTo+ z9t1ZmiVNciypQE253VQxq+|U=dPcS)g11__8R=g6@j98A!|^j5Mo3e{Qjm2@q&5*hh!eR^51jbz+qWP0@L zV=un`sy+48lXmsBSKDQmUuus$`k;@Ov4(^RCeyCD+WHR~Xs4WgniUB~VVB}?@~rri zC1ry;#6e+)e#%Fy4LX5;?CN9sv9E8_J9ieG-+{N0ue?KU_zkZ@>zzs-<&`=M?{TPt z!Q(xxdeX;>;OPY~T9Hl$S#^|N z1M;L*$=;!!7rdf8$SLxgxNv~J{q|c|#7z2#vWnb*3jw?a4D7Ev*#kuRi%+qxdG7>K zMFY-uyZPpuy+>f@u{){-G05|!jKKcnqdB&G-;`QFU-t?^?Ki>xS?(5z1zU`I1 zF}B>{hzM7qWUU!8$Rh{YAy=Pc*S-6!9dX$tn|8-dcE;p$Y=G)(mm&S_pp%cXZx{b# zqYv5Fx(yp(+a15ZwGJq?q7g$ZV{k8f>aAC8%-*}(*1POztJbcwuYderbwqV0L;6=V zJLu5EZ1z`Q*nf-XTTP>6S*v*;*Z1+E4ij7(7mFQejT z3U4KMM}`Sagea%PCpFF?bb^NHK44%EZ~(k6DL!#sIuH!3B!NL`KEw=NpcOn3o}awn zD0DIS@5FlmhyM5Qo)!%K@?3`=HqpmDkJkVI|MW>jK~#=D@<{95vxiMO?;Jbjq?2vj zE@Qn3$tI&6gRM$O-q~5X{!F2{@FpolBt(qog(olFc?YiXJ--P&E0uRS`58-I`NKEj zaz%LlK9Qc^G4LLt=N&X6I1wQrX>@hKR7)p??h{q!I5`Bo82k@iFtlaYfG?do-2SLnVemlsd@ zn?;YBnrhJoo`hM8lnZ{se>xy&4Zufe4zJ;*pERvFC}LoOFTc4%Ta+6YP92AP0+06u zXy9Aq$b)4jDc$!%NktE`?A`GSQGTP$*3)ez+Lf6eV#m zN|Z@SLlBK4Y#f@7`bb$5<)Tcq`02J?ua+v`!GXN!iQqTopc^{E0z6!aGG9_MWAu4DY9=q`CBqDP{xOSddpvDhBE{{idSrKfkPLT@j#jB|@x z9t0F)K8NCpS$=Gj)!FJb%VnfwtZK@woyF9;lF?=DbhYV@0&i=yl-70DQ1yeHZpBf4 zI3(Z{d4k~al@@oiQDa8iXP=SZPcC`p=ep@squA{k`_pJ2UN`+wZakf6ue0?!4dX<}I_; z-~Db~8j|hq8>ZWwd!Mz^<#qPrP4`&!-2d!_dmpwlPd?Rk$Cp3cjBhFY1~ z`2|jIx|2ETiXBf<#sAqK&vDuj7#hfwlg#DyEWv;M5HEGdw5ZTLMwwtOVUh$0x!{~H zbQ2fy0}SX9Tf+<|01qBQM;u`4j&C5Lko53_x2o|G_Sz$O<^&0n!22Vcno8(WkRAb#a5{$$Sh~PHKI1(RxRDN}bNlpLd_OP@FAEY0 zKK`X)W%Z_3hVN<;wIU&lmjrpLf+k58$v}6B|w9AuF|~qYJQH3YJ|Vpii%U z{cW+lA}XOYqlg>&ptl^cmGBY`yyRwcfB9LJEX%gqdQ0yEpFi(kSG+!sq4=?Diu1eZ z+G7Fp*I$3_?}l%-h0UEi*99@sf85wH{_T#t?sWLf02(uP7yJ9KdG_^}Us--po?UX; z6*gtcWIOoKLjd4FAHV#RXYeHrV@I@VdiU$=Tf2#tP>5JM@mF7WgUA(|5~8aP+v-w{wM`b|Z`_?&m@ z8P=_PiFYe!Wu>VVRi@TQn%#caUEU&4WKR~VS%6D=iMb3}pdT?Drt(LQc;?P;H(bKe z>8(2ofl3Lj8yf1Ij|7jCXgRtpD68Z&r6w;u)A!F2HLfuAj&HIC;k|d5&^N zK|@bkxDj>|UB}?8JfRmx26-bb^#i9Rjx!TT$9ujb3k1H!@&-JEfeNq!9-zepp~~v8 zCX3c1@tBAMJp;_3FM!9nQ7V?*aP4*W_M30nGtWKi6M5Le$(5<%R+p9g)bFdWyH<`y zFv|4cy!^t8HgV!a|Gm0wo#Z83&Qa*qiFD>^j29#nU|@&V!bb_W*7du z-+sZeREW)Y+}0L~-#8t$Yt}pOR9u%u@M8fE-M;w)dfKYR%dB{#Ue>%|wRZs)sC9VW z@u%9Jd+%e19eJ2N`_$96=k9yhJ@?&fQzlX=uQBc5^m2*ly{!5(hO420-7SB9+OzeEU%{JTk;fR!<{HaLfQLFqZUsY|5_2}E% z%Gaz@A%g>fa%Fn4FMw3Jpt1C;Ro5-Gyq07?Lnt*p*Ct(kt#$3z&!${_A==I>KSy8C zy-Ye_MgDZ=8Q)MqywA_g6K1=qW| z5=Js7JJ-7m*REXE!3$vX9A(72{~V`KU-%Zg(1-(mT%;qOnCW?jyf!#zw33L2f&i|c zN2ao{2pSn8ZM={X96{2fcmgfpx!_4An0@I^q2$eH<_UhP6fsI8D?Ll_!gyfkviP%L zcwBJ62soyp&Jh^Dp`}x{M$U+E&Cbd4vNA3N9++{)Nx{)mD^4u4_d+O7eq*49NG_Qc zKYFK~ZJX_Pu)OZYuB%ux_30b4WP}4>cinF{zq9-M8!x_WIg%{~3N{%r+`4w}>8C_9 z#udk)*qhPuZ6?p4_c$1pu5ns#Dxi-ywn(-qH)C1Ihx1Y>GXagA@tIQ3R$VHbH7OY< zkmsbTb;$sw6f;94Y_Ua@>eMzX?%hM$zDcrNZ%s9IPD5zqJdGU*Csgw4VIfzZ1ti6 zEk_&?&U1tJ*ahD}KzK*|p%ZI(u`T>29%ESWlV{@bO*iqt*`*Nb1^VgibIw#u>1S~j zdGUHInI_1Y3tcZ864DeVu|(93mXH!40u~scQE>5yxqBQ15Frk~iMQd}U`P;hyj?;mbPe%Mf+8Yej9XMGd?uI7E@{1sB!LU}Sdlsh$5VLmJ{}zDJ1^)S z3CcI}c4^{!0&mjB%179MZ>(6M%!C*pn1tYgwD~M1AmcG9f-{?0ZN%kbeF_HVj5AO3 zS$nl&PFY2{S7=}{K7is*%fvGdw56PJmKtL}`SOBT#Eqb`rpkHCSVY2uaj!a2jzDWR zR%H!cnC?zGJSi5BZ#;B}?X$-o@~}3tA-ejjVhtTK$ok5dY`5)5%Tp_mKr0cr!I-N2 zDr8T^VGlWQWXmO-43r*SA(Z9(^Um{@^hHxI^a13*|NP4?z4#J8u#gJCVr2$l<4Lg4 znhV^0NrdEpi@^KtMFZ{fOV3g3AlDAuca+_7(;aRQp^x)JvPN29MLwYjxG*~47^U(jdvr*rI^AAYho-+jmLKmPPv;DaiV8~+6VfkA#Ke`ad6$jPdxuC&#x zC4&6U0_sD!13UZ<^5R=_=^IKJp0eHtBg9PG99;u98sIb5vNN-7t1Y+E?}0L|`BG5% z!Y9keto%$QRdCL0BOQi^v4Sks55|T1pr}Rf+6s1lZ}jJWy?fhE+i&lRm2;RfOs#=c ztF2JVw@@HoRR`dlQC*4$+m`4 zDa@|<*3u=LZ?a&yxTbSW+*^ zYR^Ku=jQ2B<{8$lM=vYw+QkY>iv8+ZS}Y^+owbx^SxbS8SFaLF>8kptRtwu9oqpPB zRxNL`JTJwn(^WrZif7LL%vPnh*q<%y?XR|STi9G>%iC*xvP(tzI$O75mDQ=`*+vbQ zJW682a0_})vY9$WWhu?KlH3BTTDrz%g{|FYzBR+%QS0;K3of?jo_o$_eK^Z|Wq5w| z)mLrKCv$x0;J!QWwaolnAG=z*d7_;)zSUM$F8C=eR$Kmu zRjm6~4&-;Xdc`OD_KTd*8X2{DK30YCp$<|XkY%v;dZ+ry1R}=0m=Wr`Ch0r%kc&88 zUle$cPDg)G?oc;EpqDM`TDq>;Yt`W->Q2(rkKZR54eN7=#B^Hf7|$^SeGGS6PN1yc z0QHI&z64{Jcy^_eq~@ny@Jv8qdmpdT@-Dtd5An_eeWH5y75I9NlK?sjpb9~{TW-DG z2}X!%V;3DzdG~)>Ly0?ZctZl)&!X+iWpHhGR3~5IkdF%OadHDk#V3A)~1LTpB4k zyesI#9S=FTu*l~A`McnS)+mC2g2tn&EU)yLna}~x41>rn8Vlwv@JTSMyZvTC09DHr2C756j3(w+HUK->$#)R(td1S8e93 z8GeHAd1s&N2Y)iwb?*6-?C!hov;!v|V1NAin=M?h*v>iU92w;-d*I%CZ1RN{*$p?{ zXt_lNR7MI#9TM+YE_;(U+l_vW@XaF$rR-b;Y(avBQY>p!sS%&Tcylt z?f8Oo!O|zO3mKMN%X=rD%v~a7o1ZVW!?xRbnkc6@GmaO^3yc%xpbMv^wLz&AN6H~i zm5+vLC+w*7Nt-oPy6-Hb(!Ax8Jh8_uku`Hk2y$)9b0q z#Q5z+mtE@J_}Qwf&EnrHFTP;gOx(+wGLqbA`+Y1$Wn9f(32q0%S)Sx(KV1 zr0~U)ZgL8%7c6)A!A<$;# z-SOUwKHHb>SMVLxW3c`F?RR$XL-*P3x85cJZMC_-{AQVQf}r6iGd{HQ&OO_<+j^vx zuPe9ms&Ze{|L?r{cFE<}b;#4@S6<=pq78`wS%Ux6CB{dI3;*azWBHBGA{4Dd9ON6h zk|C7Cje`yyCY^t-r-P3;yzGL*dr7Ay^Px`GjVnvyg!(B<6y#C~Opf3zRbU zmW<%gs&IqQDG-M|2q^P-#Q@?l4o&PPRbDDEn|b1Slak$_8M7b`#*8%N9|=XP5s+N* zoySe&3w+*_&VTEoOf;drBHo?${DW`ILHo;@BymG}*O?!b=kI>+VceguFfFMZ-E zir0eScliwEC2c6XS^t59T(FW`Qw_Q+B#N;S@D=*5AQELvT+5a(_ZAS{eBc)ie{y=V{rbo6KK&Nq17G5MrBoSc zb*tds-N)=YJSet#dhWSrU6`1i2F+ZcRAIn-#w=a2bM3s>|!e@%(J3` zJRf5jvBg%_R8LDf*@~1tM~d~FN$0yFW^ubOvK1}T(uJqIJ2q~OEiL$tTwHkZ#dh)K zSJ_q5uCr@zoNiZNccWc@!_AHh7u^c*(U0j#C{AeIcccDpw0Oorprr{f!s1Es$MX&? zJnoDwa#3cw(KxogKwfugx3H2wUT&}wvPu5DBV=ZXj`|wjrMN7p(l~8Ze2+)>DM}rM z&RCV7+>63Ti6*Z2j%miOEG4s9X<5#1F5tKf%U}S*)58;8;6!c}6axd{Ad_iZZe?T}j9C@(q~rH!=w?95-+jJjzNJ>+mf$_bx6> zZeC`dPabMpqgLUvbsbmpstRjaU1_E(WnHzs`r^yNvekCodz_Wc{nx7gSY*vIOjWK zuU2KQYO>6_7W?4UH*M|GrB=Cem91U5!j`FiEMBnClv``e4Jz9xN~Y2$Vtr+bDy_2}ca`_5y$n~>mSPu+A3--P36k0lR+)7x4-`S)4Rnd%dza*r@IxYHOy(_Yip})U0tnhK5{$T zbKi;f@#kOLv&!d{H)q=5palyFxmrWu^q)ZKwyjY2r786XP7mGRPZ7Mfl(dZd}9#JNU$DTXSI@4ZR~YX^ zWnxTN!cbe&5QI;8(^tOn#vA()_ZTy}r%(=`&Yt5ELMsfOWo0cF zdmQ@IdBLL`7)wquz^P&{w+b0=XpIu4D|3e(cCfF%{F6n+7YiFEsrpqec zYmp4rx8Hs5EkbC?Q5et?89L_JqwT4uo^o6``;0>rqXLIF7%y*4ckk)%)~s0R1|wbd zb;?N=j=GAKDa)j(t1J$fJeQyj5Igu&`k*( zSH6RP9G@c(wmf3;4;c7@YqfxAt)Ms14TPAMa*#%zn9slya9?^t7w_`5tF2B>QHwrH ztxG=T#H1--@szH*xOEtg(Y$~E4aPv=dv4Uv)~sD+C8|3s{$AjY0*waBhn34v%LD_) z!Kwo{9%`mKwCKaHZCkaFknx!_XW9ez-sdM~@4oAJd-v^k?EH(S+E-tEZ5Lj6p`CET z3HIjeuh`hb_LYpV2*1_JSFQ#_u*c)%W>2yN&>E4IW z&>k8R;@#;gnqh>A!?SnM3tnAw(0>GGRV%6jPFI_4F>-|c@!Riq?s;cBU4g?GJv~zN zTHwefJt@OQMqH7BL0}RY>o_Yo$6w@k^VEPUgRfv9eLU#NC!X+DE%2ie1Xso* zxT8cEcfvSe%=u3F7(k^}zy*A^hG{9Qwl=}QYax%4L47SbGu>9MT_eTV&AYI`8$9Oz z_N%XVsj05@i5R@2f|iz+`r0Wf^ZfbqWfZ$weN~Nh?G{dhjb#rlvt(B;yyG`Cbo!tG z8X7xVAOHUKw<`t4SKuq_VKx$9v-72aoeWFNPcDj%6VTc(QTeoJFT2@(Jfh%g{@v1d->+QFBw^QV; z%Ua?$SBD%T%F+nwX^A7#buqrg-i-bF^s)Eed(XDpZhOa}XWu^d!b>l@>|kK3S{u9! zEy4xbQ2u1!#i3pC;aRO4>y^t^c%I~=YASr9)7EC!Nb#c_Uwz|sH(cPEpUoInrk#D( zX+GA%?5)_^sjMpZu^Nm5A@Ykm;|rdT0`EB1pZrNrmo0`5dE?Y`zVnPM)0$*P-d(rd zY16K|+G&llWcx0*WSe@y6rXBNcMbK0G{EIK);R+71MZ!7-{npd^2K;32JrT~?zGD< zzZ@muvP|o9`n`ABiZUt81V1PP#s)_c*iqJ?C-~fO!;Ln7!2&z-$Rp+OWLs8Ap*8p^ z0qyqH2eU=lcC}+tZREHe96!>&_0p@Bk(c9ex7l%fOUca=z14b!7cz*Bzw#wNc%ug| zU$zwWryqS{`|Z20Rj;q`?mOV&^1k`z>vr_f$J)Xr3+>mRf3Z<}j<%)>V${M*aKRrMF-2#z0op;S-uGtK1pllmZMFb<=kTQGI)V#*7Z$V7O?lBQFGu zlYdh=DPN2iDpy`i)_rHl}Z@DNgX<~5YtF)wxh(v`>lm|{4^57yJtv~Lhjd|gH!~FOz#s?-u-#{bA8j*v0#<+O{i zG5jp@c|Ni4o=OrHglg~fxhX2Tk6Rk<*?&mn_s zeYskY4f0Y&Ct7Uuhi4?G2fB-7t*T(q{pe$lv1gum()QhFf_?q%H&#|z;a(|tx5xov zO7LS3J`x-h<;{`+6bp2LUvzN+2jk%_Z_ykY!apV!9B{w^w(Yjt`mP=lu$$R~QC!BtyW8y?vay)GNTop98l*3eh=O#hgb$w8@jEH0-?%NA13I~nCHIVg2?k{{Us z97V>#kPF`sS+L*>I*T`9(3V1)k-g`iqZl@R;YI)w%F2^ELmc& zzVepCB}`Qdn%2Fq-BXA%N4Gg~a6X|cFnp|90lv_SMvAEm^5X{5w-cx4nrL?|Etpa?txU2#25;y3ZQ_|Do8p7ZiUON-|iA~JZVc$av7 zAVfO6V!Tfn$#2r}8&99#5x)p6qzf&*XfW2TTkG8(|NS@LnHmix1R@^9OO@Av7ftI0 zAtrQ`OG1Kzi#WwVTHwP_H@5l~<3Jz2gPFru9L7}s`R5}S%DhAzXBl5mnSQ@0W5|NdRzgQw)Z z<%rE47T2%8{^~TsNTHB1F60jkCLDkhN0&3Dov|@sCCN~v%SclR=(6R|&`Ynp!t;LR zl~-)x@}<^i@Bly8Cs(bXAp-{bH0)fJle~^R^(31*b*kO|#6xz>?)%A;f6%A+&z$+8 zrIh5jPm9!_OTaeE78hPOQWJTtSe(ErA zW~E^;*<~a#1B|qkgFJA!fJ;2yvp$EV5T3uvtq;mO&8A&(rKfrLfd^e-@qP07Q|!0j z=h~dvpZQXO;Tvz_TO7IEv^1*K-0odI@C3yX<&=pvzy`t+;+ReCr{$Rds-F#PIuD5XJ}5{ zJmu6=y|tB_m1k#Me4Zawlh?Jxs^vW499FDZ=ia_DcK+aWcyEuc(#$+NaN>bBY?DoG z`<-{RoG!)g*q~$|zwC`h%w>&9BT4=uA znP5^rb|&$==)gBZdu@Y#@!`kra2pys)_3GZkvbz*{ zdUo3X^354Gdr-C%fcmVqzDUkj=#xa(ISJ-JR=8gxKyKvkFyB>ks0KScPzj01@uu)lqQzl%d9*n9(W^y!$lt8>A1rXrR5#pXdPnMff3UXhyH4e2x%~& zRA%7A42))RNs%*>_b5w*F_bC5_gOelS<2DJrX)O!r_d@Gt|otlyzUeU%5T5_;hWup z5B<_BRxY#dJ-R07D!xHKm8rjQq2Iep*X}lO&>&a7JtFMT2U@i>wpt~Np%b*E<-y6=$&#-+(Lxg9 zV<1e{VN8UzVf>EoD2ta(#!Y1NGEqk8N&qfpq*X#7E_p*k71IMSXf=NE^;h=#>#sYF zCtWbvTM`_NQdm@MMTNzRDgM%W?b*XUXkgL0i1!y<*j7CF89^pukmzR?n+3>YlZxHqf#9m*l`?%j9a^{ygXag33%c`Js2 zvzlUnKHxxrckqxgKMW!;zz2tcZpr7Lf8OInoe*iwGkE!L{o@X9$U5s3xp>D(y9L4> zBLu!EThc-Hx~k9>&H6nQCU7HO-05Zq9w%iN$T4Q@m~+oKOf^;YSGn6+lh3u&&N|bs zyZJ^zmcmq>$Y@WoYSHl8J8!ouue-(y*mn__i7wTSJXG(K5*q>2!bBKoAr$5odUxOT zcibAvspzyW7XJI6Xqh8fDDqwf=mp(5qQ17ZQAS`h?}9I@t@4G^^=fTlaM&$pnViff zm5021D>4PX;gF$6=(cmCs{kEZyoZ6eY6*!aJ^S>w2XDH=`V{rBIdV!qnE8PJy=B{My`3B!vy)Cd)s8*kSg&Wa;^=Xq8wEbH6&yn_I5L0E{nt)EVfj1Ds7Wf6U1kfeGmjW~Qm zE*V;I{RWL)Zd#pggkz6C&f`Qlq(hz~e;7ZGx z_-31Sq=~rGJw(9U4YoUpcvi5aw!T}Ep*x!Bkou}uB z5(My>XP&YB_TSgLKX%(~S04*ozG|g?GW$~>@4$PfQhxi*ceZHZLbclZ*qEJmc2AQr zAS!*bT48v7CGv)Ey#5B=OKrvSRd(Se7yCdh8`7d!$PeYfc~?v@fKM2o&itX(0)CW+ zcYNc5?ieH%G)6iQcPk;jEv0?`gAe#Kn?$dXK_Jko=-#utj}rm6tGvTC@>ODZF^-L#LQi@N zBK=7NkC8yi#6`Zqxc7nk?UWNw^08q86N{$aH{H9u!IKs^TxWe4X@KP|Ed?C6UOjvI zOuiG2JHgJKGFb)z2T!uZfIYkvjmXE-;{55(dlV-8rOcGqb4d(G5XYA?=$nt}$THE} zkj4^~L7Uob)2?%4%^|Eij~Q*h{q?8wa^_p_+QcId_pzw*hOo^Sy$*yq@651KyN>l~ z>6L9w)=Vo+JmbiHr?c|+i9O`4ivae#j}wo;AKFF!;J9_)sIQgFSuE+>(`x@%Y`cvf zYgbLZ%yt;HgMIb+S4!PxM;>*gz53isqJ-HV`|RaCB#ceI`qmqMMjo(n*r7MW1I(s9 z@%R&brxv!EqRXB*bSc05^2>JT?RVMYMT@*Q>xjdTaz}_RZJb6N3C1it*F9tc9>hHd zfyFzXDKFmwADU&5<*4c%W#m0^DKl|_x&02?I?nv2yPm@=X>mDy9)95Vb_NIeh_yfC zIA;AMLiq%{`?VcBBL^m+4qQZ}rLpxs=i7(^vzMN_)yfl@k|A*kCm(s9-wBUAcc&u` zlEgdXxG9M*A1*n+v2*^Hk`{2N^$ zQBg<2O3uB%nD4PnF)xeiX26e{B!#~gEvJ^0{*Hcmzt{FoK?(MPlF)YDJ%?n91bKkTr> z?DyY)^X+9SrNn4i&?SJff9knsy_K@bCL2pRUhRkfF-F47AjTHx8U|P2BvuuaiWJxQ z;px(PqTG}<3U#DAaWH1FbtU%rJH8XR^Pa%$OJ<{yZquPdeQG*}lHc%0|D7HvXJ1<* z5KPb@J^kxA8-J@D%&0r(+;g3Oci(%DU2^$lmLvMDU0>$;5Fga(+Vx{#6pw*=xg(PGN{0)DzES}mRg!aw=S@FPaNXr zUt5T*F_8zjEQ%&C-VxVZX<|@zdZrzE=%IGurI%Qn zWCh*TmMs0%M*bUkPq!NQV3ayMb>+`@_yH~vZ%-p9Cp$aGPZnTIk}_mUJimNvhOJq* z)}51s4>`oUi~08cD{ojvZnp1PnVp?yTaVk($2KWzR$GdFHscdpwtl4@c*J4Wz?i2m zw-B#%k$2Q#>Ebam&N~cO)EiE3Wkxwz64KhFv_0~zcX5fW`ERM^G*J)4mnOQa!z3y4 zhPPdNbhqltDqAU8L~pnpsEE{i_!{dL8%iIqmKgF+uSlp9qKPZnP~UurL^7P7mSWdm zce5KR94Ur0SQ zoDARNkV4YEcTI2ihXe!9JbtPJAk3dV-QJ!TT0ne<0Nms6;w|sCLpEDv4?cZc5VC|& zLx|IkyTD;o{C1hW_@k@~3Q6CEgNNu@oO4o`8MXeG3+;uUOC1M@#y5z5>d_mFABKy- zHwqKtnw5;W*Nzym*q$2rbt*H^C6BGdk1{f$i;Nvx-d|(>5g9|M+W|`4t z#DYSmpfh+ofBwHdONe(ETlO|2FY<|oj;)j;T*pV{0v?9EMMjTJF6jbUv^da>89NC5 z&9~m-!U`NJD==u)FwVtT18e5EgFl1e7N689R)Xp0ZHkyv(Zb ztgXw>&-Ffc@7@-j@F3~V!T93@ffkMjV~DgsdUWgV-Ed4d2OeE&oIh2udaX|<2QIXY zbqM}bHlCT703O6)HY`)*Il$1riLcZp(t$6YJ@^yfm7d;72t3bdJVLraFY@7;@6ZeS zKtJ-Pyi8yr5GT?)!spI=msz@o^sib9kns&@9pjP?*#@>hi&k#<)bhIe&Rc9)`gq{Dz3hV9u9KVw_&7h{Oc`YDJst14I0Gy0zX-1g zC}QZvN!yfzynxF-iN5XUogi zSxR<>oUv4^ZVkF}NP4m5$-v^ou$*UIQL3CewM3w?yeY=X*y)DJ8nn7`bO_MV$3FEA zzNC1orPX0T)0!1)EvHWO5G_(%F(%u~DN&xs!@q zayA~DL_hlI!(MLoP5tD@HQ(N!!r(QreRG`a@>Nh+&^Ncg>(MKM4I82A9rA=VA zDSUM~Q(%EHuyKN*vC~}_{0>*>A%RYuxkX;y-LJR=%I!v5X$B1*;M4XAOwO2iz(mDQ z7_NKnyjgGpAYS}m!*!Fr`FB8sZy-lpeiMqeyvGjPe33n%78macWSo{(sg_dcTDa%Q z>D~->0aHL}kQW2iRI>9<42H`VonZHV61pd19G=fOZgTjpfZ^hO^tM^k6!Sg(_z>^G zr|$@PDITm1lMngG~9tlV4#xtzGafUBc^Q<+BuudA3|CJWh5=cjzlI;XSm)+ zdJ&im0>k3O3X}nX*;vH!-x3z^h%};ANE#+k(A|UK!Vs~Y3uzcUXQm=881mwoe7Pbn zEIMSyC1;B9K0}q%6^AI&*u;+JExuVU0lXLwMA_VM^GzLaItwGzalR?8^F|H;->Kk- z9el8tf2qiFDd+%jWKl!x73)ydQ?t)R|82WDBg-8cgk1lj4uJAYl?Rod? z+0%^|u-&Q99UPcA!$b{~1})iIDHe=sO;xpUi(^v>LvhYxoz{q{TW@QFO|W6hd1-i?c_;^csDG?38<;lU#}w4Ny! z^#Ko&ZzyiM*f7|@0zYQF9(2gT9ydR?z)rjLe4n^b-&p6yr=+Xug^UOe5#?r9DMpLg zcjVh9dK@CBXUenpTdfL48t}rgfo>v6;HlCC#TjIS2^`IG)@a#Nevjj7B0SSQnZXuv zlu?F_<6E+8TkNAJU$P1rW6Jr_tXcNVyRW%pe%+*tZL_UM_|{AN9y-zTyOp@?gTq&^ zzAxRD>PLQ@c*@B(ziz#6F5t4E?{2tg1=89|@E82SlQiItVZdOqxSSSM&^5u(;)o>4 zpu2oG$XKczY5L6sq<0hdd+&D`F4q1LhyyL56NZvIIMdo~P}%v7F=KB`^56#2WJCf>tpEoFrvJfq1blJKn;DnSggpztyh4@dlS0 z;u7fk;W-*B#>bFLhb8<7v_>;!e1OMVFgB)T0tk5$C(;%gk{?T1*kCy`BhyZudY-js zs*GxVFnObL-TKgK7wVK*DIG2_yh~ZQpK;PDcIJ8K__`{73%K7MC)Nn6+@v9}$*z}O z&ylxu$vN&Sx5HLCU_e_vI4qV&}7?dm+|)XXJ7d8 zgipWt+;$qZljTcxDc?tL&9Hs<*xlayaE2X!%1QS7>LuP{V#gfnxzkHK&MJdzEw!b(#Dy zN|cWsKf>gmRCh>Lu3ByfA9#@Ki2Luq*KtC&F%S$%FpR-?7c!BTSKxYU@{}poMNT!2 z)or)j>h~z~-ZieWATKz)I02;Pd*EY`8+eNm=Uvp}JUc%jiE!Oy(_uc*iFc%_tFCc8 z3CS>of^$V>5QD0qD>i4c0&zfqD+q_bwt6-H*tvi}CjApei@DF2T9NgrN@QQf;Zs9 zIM=`P{x+W{uSGxC#0;YlqjF*wchVCSYH1GnM zArENDo$eo6Ns<0B_;^O*rw_RM6LNs!?Bp1Pn{v=Ml%bw?8Mljf=Kzy(tP z&*f_g^`40m$;t!sg;t@fl^rj3-ff)iHepZ8kTd1=T1xSQk7rqRTazD=vg;nZ$+^pR zy3&(0e*9RU{kYq1yU8%D@|_~m1W%@jC3yNv9SV3Mm*_BdP+@6BL1D4CW-!v^71zUJ zw2&+4NBNnU(kNW(rQ5Q4m)a{c-;>jMvn~F6o_+AyCpK@<0$(8SGmCZM@KVQpj929X z-@pI<+fF?GXz}A0n>lla?Xt@_d;k6S?ZX)}?5QVjvAgd)-!8rIaJ%Wo({1`qr`T0j z9c3pRKL)I=N-a3*J`3#WCWo%EOa$sPP7m~F9Ut;e-9(RYULWJsF(6ae9^(2X{D4<0 zhZb3YUlnERy#*gbGK3%@2@tmb6D0g3!oVN# z#Aa1I;{WyEpuil(=^TLBIk-YmJnk?7BcL+*6+?MN@SOD5m5+;Hyz3$W7sAjTNS6>w z5T%co4a^`g0UtjxR>I?BJN_;RKhNME;}duWH$uGQ>A(~(yV4QYnM1`<-YDh&Pmp2+ zHeG=nnumw_?RVeUS6_$2AbIzlE}nn<@n`$_=efFm?r2fb3S$it-U7zS>?`%D^+xYR`RpMhBk{#HD@gjbtDHVpx1sr}PE-D9x(~TWM zkth?Fk6kG}R}A19Va}TQk;@0~i0|K2USu5S4TJ4Glw(mOPqjg1NM*-`W4HT$%soHJJ5p{RN5eS5m%O-(CtQR z5IRE_ZN3AK!XeA-5Q0L4C-EC{j0_-0;K8H?e)AnZ0hf4m zCn6g-5{%dJ4SbMS0$tb`GR9VLfCzji9U(6@OSrXKQID=_VP}U{f${@BIB<`F$pP%R zz+?vG$YodcR*ct;x+`N!_nGkWGV6+gcU<7l`&dr)rPK=@OSz^7;Jv+0oPLQP*#7ECCvv+H?Y`^*98|Mw@ zhrv_2?|mV=LXTek{2TnE4)3|g9zHJi2Ojv)o_!&} zY7-L3dv$e<@(O$>-_AU1!LBsP8H7eMYW__$BnCZhksp*6Llzq|`s-;|Nw!GCh0a6f ziSKC;SXxYYUxG@09Ra{3Y8K_GzzB;265%}4M0^&hiNek}D%M*MZRp;ceEMZ}!QpQk z4YE`j0ar507*8o02)4F~42n#P2zCy5SQx(0d=9t~+6(6Rov=X3^+osu4ZyMTaNThw zt?~zRzS0WgP(Yuisym7xC_UbV3qm8<=~7a7D{jo>X=i82gApuRPE<02mq$!YQ$>Qg zl!Y!*)?zU^0=&3rUC|0-7GW&6OQtS2wz?w-QE4)W%ORWi{di!EU9l%$8v?;zf_#^U z$BaE%RxDU$tiX2%5DdB^xMvF2tw(HW-+%j!4I4bf`=9Y<5H85YRgjl!Es_WB=@}`u z#~!=;R#9158NOzTyx8>u1p?lbovH9tMCX}=pWWJ5EL-jZ%Xo=T><|qL)q-Ou4`_43 z2`AaOapSF|w5uI-@Id^nKS+$0Szg3o1xPo9J2-D$oQ{ZyD&wW7d%a+_`sU?ylhbL3@M2u=sORABXih|veLCdB^EgQ8og@@&&`@5uqEafQ$uDn`w zwJP7Vk{oMLnjLl@V@DiugzY_XU$t89vO=|x=`zn#t1?&o&FfNRS>1~)w?~N;_UU5z zy}DR>NuFS4SY~OyW$Jx?pYE2cZ`r*{EUjmerS~ef^sYsg)4!X3msp0rr55J;o`rQQ z*SS0=$uYr6ah)wWW$DWMay%<)D!h(UFBdOdWbeEseXQSazWtW3%`98D&Xz7&WOKg! zTrJs5cLd&<@uB_n$1nEbXP?@c=bvYH-FLqobuRQI*`qdKPt}TvM;#=r42{OE6+cy8ikr+Cd;H zp^MMDI`PTu-&t4NoAXfW@_t~P;skpTDp1$$AGc$-T5NYbbb|ysT^2hu_1O7(@+p@& zj2Lcv;5zRXiWL>H1<|;fE{J;}5(Nm~{_iYD#>@B~^GAsj4nFc6i@lSNK7UU~dW?k! ztdWAhr<{6EnZ0{X@S*|qAi{PI7$WeJ*y|a85V#3XwG@56F)bQr zgp>+2Aa9fl--KLNLX5*Vj%=r*Lr-8188XC;5oTCj=B8aKQ89*`KJD)Y}&*$t)fM$?V4_da{t zYp=cL-IGk0AHUCDUJ;-j0v-cp!I+_tfuFDVl!tL3XaWLwZ~7}j$w^QeJi>u}`Z=s9 zU(n9GhM}eK1%IcfE^u$5g6UhNKOyiqz$Y+1#e!l2bPPsPGNyMSk1!?|^Mt<4(9D-2 z!|(vFu(a4}D#9`4#HD;i^48g>&W)dbqh#PMUNZDWhDZw?h>!8g6l~H{Mt8O-hZGrk zGcf=e=W4+4i8_5tySbrSEu+e2#|IxY(cY6nV^RodSXBM*zyEsKn(7;@qB@L?{PxRS zANWVUoEO3)zbG8_j@)H+E%t**^V(9}Am-o*DGuX-tWoP)Qf&Jlc!1A}WgD-{9=@ex zBWdsg4mKrz4I%6d8Ktxx7qJm;x+ zk5f*Wp>5ztsw+wA3QHwWw!{T4EduV|ji_%d5YEZY3*$4=6O2c;OJ<=zf%u-cXyExN zZFY(bkDT+_cfX*rgf7PmufM`RSr{f!(Ot_dz*;GDrSh&cCYX^gFyI?`^Xxp9lgKlz zEGDa@avHFn>4rlll2zuE+As!*!J>?c;WD2pN9mU9Z}geSCmesg%g$Gyeqm+hWsVES zvK)E%;r7qGzwEoOzwt7$VYPtxGj+qq{&-H%re}}7E)$=9_POJVyq$UGneL21f9Q=) zz_CU@J6%P897yuy8-cR(jrs!4JjXy;81o{~^~*9EWP%A?=%kS&whHB)Htphehz}A> zwY~7{4TkHV4=%UY=jlNV2RNBfX){z&@JCCwdDu?aYN_4&;PoD#ep+9|36u11!o*8# zP^a-OLiwTt?D^R{d%-|}!0y1LL6H2n-qV#x=xn%{>P{hC!o! z=&s|$S7(&x5h`Mjp1oYzL3iMJYQczkP?#n=3 zOP8>2jYZAlcN=F*7cY^rF7|=gcRzUFjz8f9t7;bRv@%2)$`I*`;Q+QCLM5kFmf&-A zKfJp^-@%1$JK}L@VUQgR8795`+mo1l|lsf z%#3uk)Eb?38Q>&EiZ=~!nU#nU#X!447wjgr>at{PkO^9Ygj%(@ICSyxSD&*Lt5-P= zq(PoptPa0$+}uqRZmyqvm-1lz$L_p~-TlCQK90w`j&dkC{)zGs*uE^v4oz*!qEdNT z=)EDXJ0hYvA?hFk6JYr6vL(3A3&lky;Jg3EJjqCcSIqm%_vgr1Q}>eWuKVw{H$Hqn zLC??{it#$|N`x>=G&m}8D>!J;rAs)UkN9-=0*h~ynQ?Mp1s*5hA(AQ^hxy)xxr3G#-zZCj!5!wt zBN6z-5bu57wk+5I8f$3 zefl~s#7&^mQDumO2g*7j^7c3#c?ToRGkyC0PRg4&ef#wA>D3seci(;6d4=&JU_{1@ z8ROIb=^{e$v19za`TseLefHVc-M!t@PCLz&6);&i_{U#=`eIsWP*7CpULWP)hy}*u7A{(1Be&Vgai^s~ z%j@V9k9VP8TUqWEoo^fH%TfBd{;o#edkh_M5_i}}2+zT&;Hd>~Q1pa>T&5N7@OkYT8uDY8N~F28o^F_%HWt9#s)L>oA@MwS&%nzfN{m@RW8{0 z4ZUDh?KonD_37Q)XVF31v=I!pXTM&)_KA~^net9t^4eTmVLfD3;TEoG3_Fga{1q!6 z>-xx}wXSME%AyUi(wW3@@WF@L^*7(tiUTg5W1UDx0M4khA^@09j#U9oI5QT0s5dY% zo<%?*2S4>834_VioDzI8@>jGJp9b1i|Ddby0M*>o2|4KM?+UOBR;|7ZnhGlqsfn*d?7kw~BU{W23fPW>aQPY@H1k z07@`qF*ksvG5I#~nDN&B|BDct`N%!Wx6xp5UFQ6W!v{cl|Q4=^4{y0UbX`@E^tkc)te$!rfZk`=?;t6)>VTW1Ap53fLomdqQd%UT{N?95{ z?nrHAJ>=))T19nL&?=cpCK%X70cMh^&Ij{n86IuZcfdfOhybo|xlk{kg{J)75)ca6 zcaHTgv@hdj;J|kz5aS7g2`fcRTX;gL>e#uXopj1cHht=JU$4h5B*|#Z&a^^+K7fO~ zfP+B(k{&(J9KO7_#1 zRW-rPH@4})!u3c$+?Zqb7@7NFDfA0z`dp!>B*Wc-` zwx&Y7H`O{8cJLXZ@TLU|zEtj^9W#?vj{=UgB4>c0D`^A^zOa_K4lgM(PIesOK5-kPP@?A8Q88Y zE0+KaGw@WB;;Mbb$+(j)mJ1hV@(a&&GIHnY*tythYN~A4U3c>-^-KQw*YafvA>6S* z;yN3~u!auX+SB764AxNCH6jKE(j#t21FVc?kY?H9W%kcs|5#p5zMmY+xCXNjnZp11 z=bwtGYrHLRd65qPHCq|6M;=pn-+%voU;D(-`M5Qk>%HlDl1p6@ckf(=k26-XtksT?-BQ&m%hdC2sPaG^aJXFatd6)6zM&t zi*zGYI$bxZo(OZc3qwf)J}8KRcW1zQrf-#2m0Oau;wZGp0|p3hz{+NEE+<;E!v#50 zPG@QN?p;&9C_Utp-7Z^nn5+0wl%z~B0SH$o7j(iJFZvu;gW8cYunIVLjyloqC@jo? zY1DU!06cg->F`2Ai-ep{R8r6vb^p-ks6T>(YttuXTgt)E!5k7}- zRQ>!QOD`iRUfXWJt@q!71N!-C<9FYEw=YG3m*6d6Je9r=I#8@X|NINvSh7*o-)uFt zo2AH=T4mKHtEwxphNLF>IsGQCzyJBm%OJoDJOesDnYRsS7gQc3lQD59oJ25y1n>9XZM6=Mue`i7 zC>?hK`Qsww`XNdig$vFcFUxA0KgUWs(1~<0__&t}jd23eB-u;PhO~s!jz3q#9#*4! zgMt*nhW1EZU|4~G)QJUu3dFC=zj>bI67u(_gz$#((7=4g+JJa?BL9e=fb78ZAb2)c zrRDg}H-s}6ou3cjES5uufOBjI~%krXj-IRKD4T%FjRl>W%F6NOV#jaiyR% z@H=)U%H|o13xUtk|M<(-ZdmU#4=IBuG-`U$krA!5(>>lYv|8tFOLrw*tF2a6Zx*XPn_ge(D*c zZQS@PZPXd3`@Vn(Fk#8E5_OPN3d*GTYUA+ zSN84K-`E$QeW7>FwvnC91qXG4RvG9I3@pq>q%PpO?br!QAFi9&R*V~fN8+(14vd${ z4qbb77c2oD?oL4o21_|!7T;iCN`r;NUUzP#sVw4q8eM3>fe}W}NT5M}0|Q&4If8^o zTu#6T&QSPR3&tW`HW@Bc`!6G@Ns(J21=`f^$A z%SjFh$12HBA9wt#4Amjr)xQzIf~+kr!6SjTBr0(8$9HHb23&$JAk|K+_rkJo(M9>q zneGSpR$MG4{!4pV~2~49qhf2KeG!iz1;TP?+_b#`i*w< z3FGa_=f1MLr@U-q#!R;3kH5x-4m!fxb;w8ib}tg12KW;^jf-Ij_%8jA8P4!K?{74W z`YJS!;tEdiTtK%dq0kx6$lTD!f;$>~ae-SD6~-%`#zee95MIy!CaAOso{Esl6!zjTki7q-bugs=uF#*IRuZQv6w8bW{- z;imsZbZx`-?F566;@Xyi`d_eeyc)_^X&@F>pW|PJ;ps6T-%+5Bt{1U*>K|e8I(pII ziwu>I{uu1$8zrmewfa?c$2XH``jWuW_2*i*+gm@QoXq472!oW(|dh2a$tF4AP zfkWcp5C#B_X+Egk{s@#?=S*A1+G7d+uJ~ydT zu(cFB%Cp95uRZoOPMdZM8~jUi1>as*5!^~ygN)S$?K24R88c@&JRp$8%pE#(lt$a+ zYq$`gg3cb8Hr?F}%p^SdgcEJ#$dP_*#tqkBZ_mwp);mR=EG_a&&PsQt>B|8^WfL$nnNA#H^_NbdxI3)e(?pVF=}DIdYP za`{T@+_BiH^mF^Fk>qLvv2@yQEm%X6Y0I&a6#*|Hf8WT-s44p3G>V{4!(!_ zC?C-yW#h(S)*a8Bbk0%*XuDhY?rPuew(Q@7{7A0 z&s=2OB`ZD48CkGcgMz~4xTkH!**X*zy3i);EVV6kjY`g)%m0K=T#-FGbh6i;e%4-j z`Wc&j-!yymx##SaXP>dRUw+wMlcmq~`t#4*>(9-zSD$&-UVQv%n?Cs-YoDEGY2tC| z(r(k5rK~rLGN3yEr?09G-p51-&=c2|en%TaA8cd9aXl!8DVCR= z&3tT1YdNIlx$PL&BhSrfg@u4HiqA)%d|~ShL&BraPS^i1h=kUzco7LSOLWJumtXk4 z>1ptlJ8IU@uO3^pZkZhg# z(9yg1?B!{w1NlK@u`cApLQgb0;zArlhi>f*uei9QZ>dD6#m$z;W7l1F@r8Ws#=-6d zabYlL9Ss^X*cmmh1j>S#GDJKGu(FB@KU)Y3sZ!b!d!2FI2kq65esILoy;4b`@4ebj?J+9KE3EzYJ_VeB0shjUm;zB;)k@ZtN z_vzQymM&iEC(B~_Fm}Lq7&SOXaN;6~!ictrJ7h43PFoPb3m0iA2hGxdt6dp0inKwQ zp#ukIMjs@8K|wpWa$v)}BT$Fp&Yk@vTMkXd6;~}SoA{Ii{X)xbMT%j^2_odncgiHh zI!73Ij=y0}!0FGr;(Mr`VH2z-#sR^%;|J?bX?pkWWeflO-Fgdu8&-xjTr7L(SX|_X zH6pCA@~J1^x^(MmB^x(cJ7!LnY;d?0$?MWkPrC(+1my(_o%i52SgolxKyCTr%dd&) z3x2AFkdu(T{p#y&*x;?5;l1(dEB5{(yx?NJ~lg zlM%9W!lL~ro_f+IPP{`|r$`Z-gD4k|InMrFv(l}IKyyKvqAh}zoxr0mAI?xDyabd< zz2UP2|E{*dd&T#R>PDOY!3PrHYwcTE@dJAI5!`=RV`ZHz(j0sC`R9CH-PlVmQvJ>L z-g{5mY3ChV^CiE({$6DBo_*fe*PV0DS@zoNZ`%O}9cm*_9pz5$f9Ae6iO#6%7u z0IUOs0117zHGm8%?C%qrX>7Ri5T!Lxo(m<#^>P&lMgZhkLI|R;pcTOpV2el(=>q}! z+8h|B3MDP@ISuNW2@ZZG#vWoGUN6P-JcR5BH$Lhx;HuOKri+$CUc`+7p^hd;{m6?t zwq-(&A0^*;haGL3ZMN}Ec+sA~{iaPDY{iOIw(q|C+wQyXY5VTCx0EeuE@Z4SAc|0j zfHyiE?TYIY>nr9@d8M05eD)BUGVcVE`=6hD@@Wxwy_jgKl~gp?&%Z9Vci#Qkvhs&p zM&@7%4cvtsU6JJe^rMbE+>SZsI6LvAQ|xI8vg40E!7jdVtP}2q7hG(={rbDzbmML6 zv_HC=a+h6pcN$?W6^trJjKM8vX`w9SO==dt8`LIjM?lR~4xPd+U~yQs!htEJscmuZ zKr4X;5*`3FXQ7x$GhV5L7X9y{f4$x?RhTVluo#*ff&~QgV%8OH3N3*{7%H8MaWGt2 z&+lzO?FSw3@^jJkcNkB}FxX?v~tYPxxJ?o8FuhS?R!g?;*u+cI(5~U_# z!KvOU%!t!NbWamEdP`#2Xx!fB$_qLs5HAMe__{Bp^R`x8aN$8f05|(vV)c}lSLnN5 zi#AgQ}OwmCZ+Njd;008Bmkeax88cw-hcl+pZST! z_St7&cw4|P2-KDI1qFppYw$L{Gi%is8Y>`(d={%Ph)|<#5$LoV@0ctFKVkfyizPes zSC%j^4vfGf0JB?Z;88fB90{&4jum~e{~ORweRD|N(M)MD5(?G?`3Hg|a~M3|$I5Cu z@u*4aE1_d}L5a+1ST25thF7{oAT0>t9)v~WLz}=if3NScgAumm5<+|66I@&%ipHuJ z$7uizXD)mLDdZ7|E#?1M! zN<2a%;4zff_ToKokcLjd@8BX$ln=40W25x}GvDJynwpwQl~dz!A+#u{*d_{CG5OIF zv7*p~*+K{=h4xAMNDtZBnXX*{1L@v;^G!d9kRuSv>igN!)%~riWlwwe>m2)OQAcac z+{PL*wzZPY%r@&{Rh79mWY`GXVVAvpjTURg5LAqju$S5&3m4e36-%Wp{$c+t`O`l8 z@*@ehrz|rk(=7+w;!Q0OyxL8eZWIIMLVB@le{)j3IvzHOaGfk#Q^#md(Uqjw`X0uv zu&59yv_Uw;k(r*ESun;T%jH1LjKD-FhXWy@tq!tUvGh_iM3W5qH#P)K5SoE@q^uC1 zV_KZ~CYU^JfQBF1U2Q^p(ysc3qQ~9$$<#Ae6m3Ji)O3}l_x@e~XGu8m9(tfnh(}xd zk@wPMeLWJ)Pv!9J%15H@hzC3{l-Rw17ucO5Fk7 zn7Z(Y-~>u8m`s;Gy{t>uu2!~Yy>%ADL{Df*X|m$(UEM+hegf`YT!6TX+jZ{fG}j<) z9$X=)Xd4e|U&gnRl?PW^jx_n?dP~dH_oO<-Z85gcYHq3XT`u?|pkQ){CVLq2+#vO- zvbI9#Np;Uc|AGCiTlX$1uhu#iw71-hCi`j197}oQGh6oHb7BcqHhSbpTdO<Y}I8&cNb) zR)mLuVswI2oV-8I&0ey$Rg1Z zhyy$f)`>8B`P(f)C96yc5Fv}%NIv66y$bDBU?e(tKnfRd5`y>%1nJ-OCOtJnY4n`v z;9(p=omY$vX22MhQYb!_YXU#IsIw1Ts;*v^(xX{~KzUqbnz&RZ3IPH5*ioMIbr3Lr z{PBmoPS&p3=trC^S-e7xtZrN1;NO4w?N_Br7J)5PTc{1b|3TmDeCwrNJ$gHV_3YW* zw%cY~>(#55TO+JQ@2O|jdJupc#&W>^``f6~PIH$aZh$5c)0i=1Y?GK4ZNk2DRSmKV zatf_JCDYcIl-Q=qa?uBFom6$0bgOEV#gx*)7XDsq-+i^(<~;bQefiC|z6}t9ko8Ll zK-WxFb7BB28SEb$O(LaijIARYd}6V*Iiu^_SWr=IgAL zcBKuI-D<>ZCYqzl1ivcExJJ(PE_=Hu>PZyTH}1tasu&W1>j3 zJ7CZKY)1+B2kx3?d+xNmjXLpEyY;%8El0vD1=nJIi%p$8#V$DO9Gf!n9=rXzTkVvi zkFz;b?zddk`_gkRvgvo+XX}@)w%PYgv&s!6meG`AQzzYH*<#!ovMvOXrHOX4gqL>d zS=OvJ$P=7sy^MqSn6BEOp6$tmTQ-sfhg{UGU8aO3M`*|br9Hq|R&KVX$@1Q?WTnS9 zSpzAuf-{@bEnWR(-O^Q7v$oXoQ>0m|ZBhkC#o7`pTfN@$GBT}8$71!#BqJp0^p+`Sm-lD>=uObA{p!7n<2@%xc6yc3S# zhbV-2L->QQq-P~&L&BfbSvh2O=Y4i_7yj_=N7&A~@3;Q}zQ}s=ypNn-DUW>z3zDv}ti+fwo7eBJ|4?VzczUor<7@T{~g|_GJd$?C-|NZt;IZ{9z zUaWL+Mb!c2!F!wN`iCEW^uEo7fM(nhp#^{K+rO{ZbGUfNQU5v8U5M~BJah~(aG)gh zA<*lvjL4s~$PaiW<5%R3g~zTY%#t=;0P(A@h6u4bT(;8M@2g9uCF-S^+~j^qROLKs>kp&1-G{zAuAU-iID zNAg8La_1sMVyE`tJy^6sPyi2YN6wzR%B8oUr8S8GqVp3cSj+)`A@kw zhZ%LjyAWuDi@0cV;5xQdahGDnZIa;53H4Jx;0^j=%?hqW1X+MV->9r$gmKaFc~3cf z%g@VocPrD8Iq8>)4F?@~h)td_*=Em~X&ISm-ZAT$>aDix(Wi&3E2`p})hqqZdY?}|{n(y;>KUu6SJOR8O^}IY)mldmRVqfi+fpz z%zR6)H_L96px2dL)nFacaxJY^xU6cDvJ+;fK{H(7H#;lU^4f`swG&C?Fabk&O|F#o zF2P^Wpv>0$SP2t?AoBWz^_7&BVIP0{xqbip&%X1@ziU@Y0Ll6la@-15J2r|Ij_yCq za@2;tepTraD(SMQcW>9#HGbmK2gtKox^r1&g^$@X(aK3m>0C}LE;K=3@3gWv(O*kDR0twms?A9 zofHZs6|Nw6@=cb~vHHUwr7#3#n*I*2Vuoy{a3xJj`KWxgFXMLfv7+Km_SGj}SyAU= zd-?U(>|_*D`Up5t{BpI+AHOY>(t4KdDr;}j-IMK<(Wkom(DA8wh3yKwFTg|K4b+Qg zg7*vIg}Zx>WRXFW?1e}m8o$9A7rmMg?}V5aO2hAeF7);xKOf`b%1{OAXphc&z$1ES z_p&EsZ2>Fz5f0G#0f0x{|OK`OfSYyN(x6u6MmaN*Pi-rc&odExCG)6oOl#M{(2{b z2^0_9JwFi_Le|%#(HJCEL+h>bMAMKaAtnNX-FK~WNK2j}Uj-2xzH`?KNhb|GxD1oU zpw#)ijD)~+*tRr!By9EoqfI#Ej|**ti5EkL46@$6d)lyJTd7Twtw)b;HgxMDP9(&G z5wK>;>nA9&YPkOWcZn@sy4>?;RXQ#NTp)4%Qe^temM!zVdiLt$78tN_1j3tdzvT>` zG~fm%8|%Oxd<5`@FFz$cxVpd{=#4@OVafI_%qFC6F$C=th9E^iOoTjPED zzQh`9OA~DyG&bJH01o3j%FmE?&uOwSa4aUuAr7ZgQ%|0G2W-@rXDn6Pl*Q3lYf(6R z`Kl2#f{-GAG)t6`$b_)2Tl5?J5U>faykeZ{n`Sd+%y77`yXGp}XWzZ-x~s48eznK0 zySX*L^xws@LL^w3>8o`A;-ac;uvyb*+uGIZr1Ab&q}AeMhMboKtZ%*bj+ev0HoN#Q z_;rC8bfdlU;!8e}p}xAtn#91*I(@XHPJ{jQmZ=T~2TrHwglw4HaxS$5Q6N7%V%o+XR(Cg0%o^iiXIr})b*xX8{OeWnY$ z-u=5-USXOgrK+#wGQi(tXPUhT4N z`~E|0VAq~L*3*oOjV2JCd3z-KS5#i1ziQ#3Qp&+$d+p_i8=?q-KLkyk%55pZ#a?e2 zvZQQU1K0$yjIW=^gwwiK%q|u1&VK6z{9LuSN7lu>L<_w zadGwH1|~1y<=y&C8=X<}T-3g!!?rQ0-gfFY!08N{1Ri#^pzQp$<(96zsT2H#aYA3h zlc+yD2>9Z~6!qbIN;$2ovONzuz?N=UW3p#$-y;sOT@KjCYGf4+-fe_sbSjjUn_|1| zx3{I|=h&bB{w*aw9JAD`Pj44U2^hPmZp@ zOWKC`+r2d6YjM(-bJP6J?D34Mv4cb+a5OQT0=s>#Kv-f+JrvC zZuhY=zH>QZ)%ngj^)~-r`s>xUD3K3VjzEz{Atb2dwhfLLT>wtGVFB<)8-0A(7KHFi zsgR)Z(fFgus$aS%gq;5r3<+dKm@#oT@3@DQgVF+NsF&AGfjhXO zaTQxJ^j+qN#Gwe6_yHf}7XpBV;Pn%X|LgBvyL z2`eq&EzI6QYr>CDpuW6^&`3l6l!ZkB?s%pixD8>9EiKHp!+Whx!yvcn8en8RL<}8k zL`msXo)68f|Ja3sLGXR|+S^#z3~mVw&Sz%lSf@_14!|XNRonS72g<|q*6$>hRad^= zetL4O{W||L!Kd<+zNL9fyO1UZpD?7rH*m@NByS02$BaRC&qlZe7=w1mOetKXv@7lv ztV*_*VzDunEx&O~IqdpJUg1KZ3MYC`y8{b&#YIwDQD%=k@~H1UxM|%cn{?YGn>=~4 zPpV-zb@0tBP?Q2(QwUHxD(!UJZMWH!DO2duHh=znKQ9TUhjPLAWu1f?@u4wxm6$ed zn%yt z)8{O#n(A7Iu~+vVejXCjsH2s>V#Nx_6_Xg4S;_!E_~SPdPJo^FnPRHd?4mErflYNc zuPgDbhZt;cNp7~a8?y>*w@L%g+)YGoJ z@EY58Kp*Q|)L!^{*``gp*B-t9L3i1KXWXg;+8TPnwM4#NmPjE-G~8ID_7wecEJ$2W z=hL(~cuO5h;>6Q#meAl%olra&fAn=$`i+7?-}6Z|3cQ1PLr3JdX4P8n2QpjK+gTl^ zD<$3O1o~us9&7BtqxU0VP+8DR>`Txr?aSCAaQHXnbkf;lY>ljsq@*;NoT%R3MfDuwgfwf{hSSV} z37$dy!8tBb;3tlA4$)9yeu3V@cPL9R=@|h{!0K~_PbCEq)jI>Ies{bia7tNN|BiQE zNl!jLLl*QF1{ykNm^=UwqmJ8RJ;gBhsrwSHsE`igASik$NcZzkzsyRPony~_9FF5} zJFUGnl;y>&vUl;TicWSVE3ctIl4|9|1B7w0M+wT9VI*}$w3#@=8&qiN`yGaB9h ze+3_8Or*iOA`st+Hu22&fcTIP)7`_f@)u5s%SCf{>R9YkaYMR5m}qk}dc>WF1VL3+ zRamv~TUxr=2_iB=0(sJi;61ci5Kb{4;zi=|?_x+ilg2wwB2IjlqP*F-b%z~xaAw1F zbu?D2y+kOKE&#(>wO@c^6RUso%t+Z`mG3C#M)RT zT-RAkLyeV{FR)Bm^#jCs{{H)KXRMt%7yBWDXp*EKfAv*jhU+XRKTp3Uh*S<83s~tm z{GZyq(!P1)39DGN(DuFhQFZuCt5aEYh)Bc4k9X`AaJJRJ!LI4yUZ&nL4uN#e>=at4 zpX%DRa~BEs^(ryA{Awg{i`p|dyxFx|7G5t~wp@ZLXwsy?*H695H&=RIunxX4w#rff z1b>W6CnR5(6Q>X7=M{LLVo$ask37<0zU!{L?Cv}7_HCt}d-_@X?f2jO7>Z|}dB(>% znSI9i3sZ#8KKpDt@4WMD?(DfX`i#-OA@DWVT;qc8%+aR{uZzTng6k52O#aeAB#f%n zr0Mp+j9GTx`R6#TF$rtV+(#`puY;`395J#cALHbR66(j|@jLFg!_h1pCiXc=YsNuBMO(~tMXwe-8%O%+yK zR&DJI3W87q&l2pp5^DLm+4i6R9PX$2?$)uL{V-vw?K5PM(*gAN%94NWu*V;@pMUsP zN?Mj}v+Z_v#+Wl*aAfA@`{@W9Hf^x7lxEAz%y!y@F~j7+C84cVjvI}sTg`4FqmQ(lv>aQzsmxxK!m>emjlJwr>pyUS9dptNmLdz5nYs~9=rHyf zo>97hjfKm&C>hHqFgsSSosLn^cHe$yS(l$6>PSB+Qy!4(_aq|gvEqrI=8 z$f-{ibuF@zb!)9-eh0VGIq8|az)^%D+_&^g0(>D^0`L2ue)g@U*bum=umEAK4iC>` z{J=YN&=_OKe)6T6_SDOB{CfJ8+4l5HbG!gFa2NpuNc?~Vm<9Q@_z@&QXu)usUD(Zj zVv5aq@_s!}wTGXcZV$iBQT;)f(D+Op#cH52o_Kz?u9^1C%X9ty;3jsCNNjwgQv@Z0&J#2@g2SeI zlZN7#?QQLg$QR7aj@i7 zfA%r-(@+V55AFSi8*VU8$qjXp08|?gymQg1xp^IwKN2&!i!8RI?LVhoZ7C93^{P|0 zIxFx5_=F8bBTcLWWx`}=7ySIRRb(u{=*mH6hIQ_~)ES6p_vU4F@>K8fIpE3UBdwew^=h$P9uv1Br z3qFpix%b|?t-M0&g-EDeRuhU@SyiQpr`zU=T3fw-la!ETt0=Fr{DSuGIz~`I2UBIi z9d*=EUPpu#xS{>oGK>qnQy1K>z|HYEQ}3VZIAu}!)TvW_>N|n9rd}6bc%cj2RjXE7 zRnumxPpz_KW;mwS+xpE*Ws#MrycDah6LYf)%Sx}25>RW&jdfOFJ#}qmc}eMZ^vM5M z$>t3rc=ZvrH)FVOzW%zs^umjF$pzDlqp$4mr%ab?ssAz4^Ai{^Be4;rsI~rzzP^IN~Uq zJ!Pt0ck$&ms7FuB60X}L98)r^rnKCx5fmn$F^qX2T6e`ueE|GHC*aWOTJL#&;=#x5 zp}7y*slplk0qd5Hs9A*1u0PQ1O*h|cAI$&6tf<(|fBrG6V2MUnu2p8_Sf|m)+J?1d zcIo(Q9p;bbe`womv#l*(zQX$S=qnh4LWUv@TZCtLUsc|Lcj%jb0%N`6(XLFy^CO(F z9u-h%82_T3bR?EDfM8Ok8+l7nAXZ!!+$j*Cs00M(yD&(y=Sq9Y&(C$hVMd-!00>4LgdO8%p1;z^juGO9eb0C&7U=Qx&wu+Ei!>Gl9kT{~jf8?Dk@!kW zy|`dL5R=0oJjA-fD2U5%x5mJcT9vZmp_SxEaAfH_?uU?nXiVP6#mu~e!BP&vzlli6 zADke(^wyvG78@bJ6NO=zP(i(MAE51UC$7I!9JFsnlO|2_ zbOEt9i&!o^!{R8PvI6CW9kYRx_Npwa^vN4X9D0P0t%7HS zFOx!w#LznwbrI81e`-pxpMG9wl@;}ZsmOZu94NTcEib1?f`Zwoe<)qG&6)kM<8-$@ z_ps?RXZU8n2s84e-?PgJ8#yDCjy?8Rd+4Eue8-hD&m3ciNGm1|+jn7+fm0^tP+#1z zAAjtionnRr#;2u3T<4$@RAT4oBE`YnIvk!5`X!x?An+%*SM7 z?P}RcU2R(F#rEp*t87*Et9JiAQ*6qe_c&qWegda`y7snCg`JE|WM6;vb^G&=h4zw! z&dAeFx7DlGs}I%M%dfv}=g89kOkNij$79KSd{#C8U4<<8OQ9;m4eA4%~k~ zd-UOlZOM|wzHEaw|Kj}*?ZY?Uv2#y9(;k&|`{V-;S)OHB*~$%8DFxuY7hd&3@9W&Tlgpir8`pbWaau8C70l(u zt0oWuLW+W%(FkZV;F-He(>s@oEexciMI*IghCx__c!9wa*BNah@H^%ie}kaN2zZ~8 z76g(r5;39ZzC#m=6G}(}+%Q!nGcK-}U&u2^_V^Cw8H0aUo-r{wX0+vhq zhBML_a21jUBH$=~$q*4{upCJ1jq*Q@L*Sd&OZRvo(9}f!okLh%((oQw2re-64d%qgzT`ukST+HiyW){}A7LOZ_@QIA zt!sphyIN1yTa~X5qRoJTlXbClfq}cn)0HkQft{&)=4`ZA9=KTVs&s*$fN#nqY?&Db z_5%J~cym+!3}Ua_u-@Y_eHYwOho+{mCWn0JTuScw`%c@508F|0dDd0d7IlExaBf(f z#F3UExLLD;r$Dq86^6LToM!@X)YR3upg}o^ZOS@8wmjmvkN4((;5*}UYBd%Qj2F0; zFJEq7eDQ^s&9rDgU{QIp^T_=9@4JPz@4oxWiaFnL@X9N%xaC7U>gPC6JrIoj`t*}v z9AYP(a*ADW;YG42+gXV$gkcgm%(y(~oO7*XaVPujw*~gZlh23&W%zC;ugi*IU&~is zdc`M~APgUW{Bd_#qKv%u+N<{5bI;mE7hPzty!^7g{q|d4_N-D|C>bBSg2t+DrO-?rr8<#t-nLMzVbXZac1s>Xw@ zFyj!bZti01)~~eDS5L9gH(q3yPQ1$MQ$)w2E${{n@P{iEp@Aa#_B(IdJMX>X`*7mk zI$-~U)JLUoNzq_u`x?Q@bol}O2dNy^S=ELkXJqZL3k+kfC@*=k&Ngl?wX3hcPIThaiCP*<>yhd>r ztzIj|XRQx6}&iEmy{y3bKw$NMlqyUamD!53+t7;_gP)gGISQd zGgQKz-@NCUdo)Ob$7u`i;37mgB3$tL_?`DC$S8(h&XbSMY#~$q^UPyl+==O?o~iCI zV}SH&;mR&Aw zgQv0*zGHt*hr ze8vDHN8*tWck&@wYrd*)pFVy3q||zKSX@!Q=0es2)89Gx5g2P!m#91p-fC-GxH!o+ zRdlslF@Q{2-<`V@+rpoJvxeGATeI>vwW-8dy@;&7N`*99UH#w6M|jn9m_%a1%`9O> zhh~tOa#)P$Fs3zFr>cMKjSt_nL7jHD&b#eu-r7NF)NKW$P-gze8=PI z0++K* zeqZ>zx07;q_(;cw#%G>&rae6OL5D3(zek@w+S`!2(SA&wKjFmVTmUht0$6cFp~-W` z8ppAJ@WBVRS{5G61q%^3AeLW&1T_;$#*G_i^PZb$haG;HPb9hh_K7x4g6NdfPVxBT z#$E2?m5)C9n5@XLe(e9VPd)3(47dU|+T_MtZnkT$zeez7O4wd(wp){B^-8g&^|At) z=AA2{p1sjB3rg+zp>J5WZD*M+MONosqt>2WTVz>9d)R%~EVG)*LRsnRYxP)LDOOM~ zoR)|witZbPW9rGeIjop}{$Aw#gT4VA;D@&2nSKn?QGl2+TdOvD>7|$KzsDczc`yx~ zspZYI58i@=HLpSiQ&7MHEvUAl7w9VV(Lftv{ZyDVg6_To$tTd zpx??5`sr9$U~5;e@w4sV7X#TDcWf5{;BG@R zadTU7;^wz8A40^f=O^xpz~at#zOi>9Fc281MiJqCgbP?`3wC*DoTYc)KECDzBEvl( zY&$G|CCaAVfQzvogd^4m?Gnpjpf*rTfU6}r+p05q*^{%U+h2>Gwh9SE-@!$Z)``J7 zKBxvr2#9c+;61QL3lj!`CFONhU_A(NMvNHY9T>d@Uq0h_xE&)7u=3Hm!7lQV?<_3F z-ODycY!K^=QA~uulzXd#Rfymwmgl!9A~EJ>E2y05Q=YfcgV z#L4PKW9pG^nVoj}D0j0T0g3wegTyewo4bJ}&V?|?bpWn`zP;RNa=WSZ&qiC9*zi z92aTn!dV^I!M*#pnim>j+p;>#Pit?L8gm>TszmZmHHxw|=jJxTk zo9z7a&$p9LKG|_Le%zII)AcvntvBE7-)_Hsf<5urV=kB%ELh+>i_rhEy4{5h@hLb> zV_`$FDhpacV5!AjsCnB%M~cyQC;rIP-LCQmeRtcz%%Ayt-*tfhkv zKUBCD&9C2RKm7Q!&HwOY`~Jrtyj@XlaMePmOiKs%yYIE9rLo2hUX2nbx@uBhSYs}P zMf2c>HiWLZ2;ehbz(~EJuh?%~NG1YnO$ory5+8!+MOrDc&QbM(JWvQJH+sLwhoLCS zpr1q@%td}4ge%4Nh48!+$MFrn=`%4T&6#me3)&AM%G0W2#Mf6 zLm-5cR|#H#uGl~jtSHF8`Jz#fq4#_vP?if11?~X};Wr_^qtXOmi9*M>3Q;~jb4YRc z##jYNficD)P&}VjLef1V$?MhzX|C}k8bKetZUnx?h77+0a-*;$U#_-+_Yg-_O|^Ga zW}wA}1qL*77{!(ViHH1!7I)P_+#LN*e4a@g%Zv4)!-PW-1MVmjSlMXP57}!IVtV#1 zB(5`Lr6(W8v$h&K%sV+kg-*fXE1KTSnKM0|iuAatFa`mcmND4Y)bzGZm79Ea;F{Iz zEJ=jbBq3O`evws`BOt0QwMDSE)LMDf-&S2y>5PT-Ik>pIJp@BwR$(mFH@p=N(9~Jf z>(gah+ht1tV-X*%LGk$Au1B$t1J+koTV86WyQ=osWj7z^VoJPk!Q`HU1ih+rGlOfx zrj25<)joDgJ_tPGfG@_apgC}ZODfQs@)FZ=8WZCT@xq;WWfhym#YUGY@|cR#{h8GCx< z;YVz9*=BeDHMZ3II;_fy8izSQH_yk_9(dpZx2|yivBxEDNXDrUcy;wPRxVo2&o8it zADU}N9ea%B78P1cZIe}0migEl0uiePix>R;^N5Y_HR7`3Lv6RU21YOOHRTuH9PO`tV`aQSU!~_iM}ADbM;8wztEq zhjp)CZCT0b_I&C_+pxUOew^09sx}u&c}cap8kCqM%d2T;?>|4!4&MJ@Kje_J(kja< zTwvo)g|^Q==UmZ1gRh%=^_AD{tFOOs93kASwE0tn~Q>C7Z&wMDM=)t{rf|0j{8+Y#e>UaiYZ}%VY~P^(FEE_jD%jC-fLSyTH|Ldg#vS2d(j%r9Memv0DlTM!JO4OS0mjSNI6H%PM5w&)8RjH-PkBcH+6I zNs5PXeB4n-Tc0jnJf5rFs(ahG@gk&4adzCOkG}TWYvP3sc7kX#8Db2YaL`mk0ii<9 z{DfoxM3?~-#I_~#fCS!i@k~Jb$JONNq(M+H&k;aE5CD{?%If0IH?AnGLV1Bfd4PfG zg3Riy5BmFUEUW)T~|F`+qf=ORSB@IJ1c@c5J? z%_ckt*dmO$r8s1dHpPXA78k!!H$tofzu9picH*{#MQ3ChZ&5cf@7j5G-a+Yh?J@1` zrqlb1p*&?}>tC^o@*l;V|Fr7LMYd}7mlD8>d`6caa@P{HI9xW2lYo!RtaO|I{`>aQ zOE36-h%8X1ZV_LxYy$87(ofz+_?)Bdd|+_paHQciq} zE~SAX2g^Pv7Xi1>4&{bTX2}O_k@h1XlsFO{#?!8_Kuk(VO$blg5anjok`?|N@R>3U zPHA;u;5}M1)6EHtZ?*4OB+D|{GTCoV5rGr;6_yvUb8RZw`Js%XRc= zXV}<_FY{T6b7s%6iFZtLx6t<6Z*Mo=e3Q+ZGu!UE=WcuO;fEY%T!9ZvpXsw4*`Y&N zu&sw|ZLiLI+1_~V4cl$kJ?xmHkF(jc=33|CuJ-p||JZ+zI>ueDxCigQ_df3<@DdpQ ztXZ?X4Owjb^1RTddF=~q+{KsJndh8sv**sT4n;-!_Od-P<|a#)0JgPVEbX7IZOOCi z?YEDSIoA$>B^sA}SEJ89Bn znxL)P;9k&LhBE0v6tdqk8m1 z7s@GcvtceuV3z1qi1YZ=f%pXI$LT(?hLI5KM2Iv@I{E{6_-kR|a#N&JHcM&E6 zvWefs17?(7LNW{ljR6gtMxo;Ym{E4Ku5r$04Rdr6VC_~cPoMi z0MRSv;$toX00`#};9i!VeS=Y@kNL$)d3?R&PKa@U3qcjvFrmr2yHNo8MZ9D*7v&*> zh!^z>0rQcanYDDkPpATBPy_VjKzJtWAk1CtNhjYFkICYVHNQK(YY|k zCE?Bdf5#iiBf`$Rn%XLV2P_aVun=IFwxY^jdHpq? zu{iS7lYQ3{U|_<=rDHF#3Af$uV>qn+!VQVlIBD`7_RKTS*_U5_?UP43ck8N3H`%@i z?Qi3+x!Ou(&As@_%P#zx8TkD3&-)QLz<%ne(_Fi!J#o1qyg2kUry$=>7&X#1Z7#Kq zYu4GsDH4#f`e0rxIzBb1U1G%DT%U*a8W-QXSI zmGQreFTU8lMJOon1@K>@wq?DR&uj%o#r1YbC@JteJO(_+i+~d0Ox-D0`~u!0n#h(A z0Y0ZC;SU}~zrytn&m=(aa6Ibw)H6@o{)Zf3N$JVHHz4D<&>dDZLJPV(^Q>fpmFGqz|I=*Ac3R1`nxXr8ZhFGiSe9nDMLJkJiR|jKJc!s(oH$> zHzAgbM}ZLW^uK}f2zX;0X9^K^7r;uF^u(hx9ak_HpAMOr@kF9lX~}QhTd(+@3?xMf zfZ)G%XJ(ySak>LEguwznkEb|X?Aj2YX+(gEghAl9yO9!=XswLO-SWJJP=Lepkwrlq zgb;9s#!R^VL_{1>0q6E~0IT3-CF(?;#D|c)ED-_$X2>@hf~|H!nSptRbOA0$u&_b7 z9NXZNGy3;;9PpiZgt!TB+tB(;@5y()v_9O1JVP9$r+n^c+XUD-V?CZP%7W6O{pP9D zR)`V9Xh_fa6E2>*1`!zqq4Z8r>U=CV##M+*h^?5wBQvaU0Y3NKbBR1N{9LYf5;)Az zYd{kcvl@NsX}&EEd7gg$IZoiDr!I6Z0`S62zzxs%uwNWciwrYU31;F zcE$Mdwq)gUb)bMRn0~~w;|xoiG9v!eF6u+hNLYxRmYv~S46)rzar=(8e&Yt)efu2+ zZ?H@`;Ew#5X$9IGr@T{Igo+Dv^#fp~4DNBJXWM6lZ)GKmU(IJ8u2{BQWy>-X4Mx~d zF4%3u$1)UJK~DT8(6)qF7O=rIQOr;*Gh|0jxbYTy=IN*X{3GDQ>Lm|^1wx30y`+cU z$b;$Xxa+3eGsVu9m2=;edws1K8@kdiBX-!{pV^7y$;Y3tZ@&B1mM>jqJMFTw-FV}T zHd9s^R#TxYK-Olx{OU_?Rnm^_@(Uejg=$BvLEzp0zyoaI!iCd!&yZl_yVXcH=scp0SaQl73AJ&jnYxOPl5=#x%cbC<+YC)zA+o_9fTw7vw zN#Y|(>wE`~vc;?PyV;h0u&paOxb*Amz;}{m=+5X!G8<;9EeQyBUs57m;dbK$=eR@# z`eFvPx4+^$?&-U_7&oR~1l|$!S?|<#&OZ{&Inj*Xo{0%!1n#_x{g!~Btg5cyWERhZ z?=5UKrKEwrRkrw(_aCJvEzcB69_|rHluyB=3c{`T{R? zpl*Tx33dUx^Y$a`fW7u{o(r#XoPs|Ea1idHuTy{eW_o6}z53G2)~#m`8$NuvB|Y}= z11(533X73R>xfn3@s2?<8q<*&a`g=*UBF3TkcQ&869AMBgfH3CtD_+)>2Q%4VqSb_ z%z^iO=Y0@*p**yUh=`DX?9?DM(I}K50(STl=4uQu4Coj_6Q%>RRv>O5mT(tIg!GE% z<7K!RVT1|1gnOdA#MoU-PduK1mxdxR2+AT=W^euXzyI~R#eB#k-b0!C&0XpE4f7<}zz$dlkOB<{okI(+bYVCcaJaFx8GqqKM|Pt)irfaRBXY7;6hL_ zkk4v+_P%@Jg%><6zU2%F1hic8J7e@{`||V8Z0Nv2K2d=w=X>n8m+iCvzWUCz_b`)= z=3oXFbwZ1!JsDp?Yv*9Pkt0vG?|%B(ZoB;!%a`W(!3Q7Op8M==r;Hrg+OfbbfjYaC zRvnxnG0Tg0i9md4myJbhRd5#13HQcMBSuIW3HjjugT^@5s#mX`K52lqQ) zIIyg_!zh*7>9Mf5gP#t-o|~-mY1grxZ7kblEg5xYd5u<8U#b48cI&AAEEJ^_)>~Cw ziCe0LIf`4_Xt}9%)}upr`|z1fYH<3jXrV@t#V^v-hgqwZ%H%P@8tFdZRCJh|XW0^( zo0f+Y0APr;J4!0gu2?A#;-in#OkOAYjp&ya;*{+eJYFKc=c5EpE4t#dx3TWT0hTnz zRz*Lw5j+xiqSST&=2l%o$Pyp;eXJ{ypVOX#$MtU^F1$Lnw|lxo`)=u}j@~B}hXCD2 zc;F3$SdKm^W_U|dQX;qr#HUP7O8}m1891*z9~4~1Qd}+$&bt7(&Za*D??@# zQtwnrza6$2ZihO-g}~yDlE61+;87OB zECTI!T^{rsw_&;u)@;Txrt9#ht8uL3{iAufII)2`8LryY9NX5A65s z-qi++c#6dQGG!4keKjLH-Htu>c&im-qy2#wYau%~TX3gY+2&H!OSlxitEwtx1+BL% zF_Pb;rI%I7YIyZknYxn-sL;Btex^TX*4nh;GoJ?UK(`u<(8&?ZIM z`Ig*1*U~s}Qt|rt?kh%(yF;)_0AR74ch0$X*a3&wkfDR^qxtjQa%3}Aa7MYb2yRd; zGc4pfF?xh+v;a8`nVsJA3fc*VWUCS*YN!t`W!lfjKZHBt;?7}xPo;zr?FN(N8*M~k zz6F%Zf$8AtVV4n3mG-rGsvN=vMevpzZ?@;9T+E(5$9CFb2cMm{=bn4oVTT=J^XGqP zH{X1-k15gbF1qLd zGbKfTX~~wEn`0|it@M7$2Cd+b*^Mb;WURts?9|u72_MPL>B5J8x8zxJo!YS~-^$iD zTV1IHU{gn{+>l~>blue!Ena3EK-pABAEDne&Aizshj0pm<5hQe$%$wR2~vV=~sKfLwHX-I2rs9 zw>*>ykAXg*Cuos6*43z=sOD~oE3I#pB;EvVAV^$bAv~0plEdZ&@C^E~9=#9nDghbK zx`SW(EBU}v5s>5uJ!1Vg>+WX-DjB=F02diLZ&D8Q3tzyl?;%TlqX-lv#YK31^XV6| z6dPUnJ#Ew|SDctWPrNv^61bA`P&)8WzYsu%!Y@DnEJeH4CjlvmkeayAaxj@G80M)I0RgHk@2CXNG^V@6gn)QB9>}SY_D-ke z*_jSnjlL5EzWxgqf@@*QCtk#X(Ya=m2o3{fdhYsPz!TSD&~Go5I5dLZ2iztaKo|^Z zW9pEfYo`#Hkm9rP1W$4jGqi*p38|=&usG540XBW5kty06PYp|6;DtCe8oynDDR|u# z=){Z_L;*^i7>{(Vzo~{3=l>0S15d;yPx2!z`EgM$ZGZs+T!G=~Q3T*bB)CIe!bKde zAm9>b@#xNP6cEO2(7@w2Hq)j3m8)nW#odIsju1u&&}q`h>1=cYH0w%T44ekd3J0cO zQnj$q(1;?Vju-GXG^tM1U%$a8_gHt@kh&AVA9U)ps&`us)zq`%2F!DX*!r zq>b!ifufb-mI2Rv+e?go{1sQ)gAYFFr^9kI!}i;aP@N@&l^$w}aN<4(cG>{ER|yZa z1@Q77csN^(cMhlC!^kNMS0Z^J5ZQ%;I)ghxtQ(dnabWb3HsTmC_2gm-Kk!eVDxBBX z`PNHkj5)*OV_`8(UXQMjM3`{9@*c)DTGlb|@Bpmdu+AD)G_%qG0S^NT5=XE6vEVoR z?dOF~cisl75B1=pjS18b+T&ueHj`7LZQSwf4>%Z`$K?AF;3A{m|0!?C2AU0mt_TzJSmar6l@0 zcj`v_0XMV&KAi{fUeCNIo(m#TByfO@NJkEXF7hBRyal9(e};7WLR#g+7#N}w98^N57W}5KQ-9!0 zSDxg-voiKH)SKC)(1*8yerJllsY|-x;oP}w2{$fq48@agWkt0c0w@*H+s6B7li+2h|}?a6sE754N!h%r!7A^5Z*Y;R2*h z!cvALzZ1$U15>ZAs`D9Gd+)QSyMJ1es_e@ze(~c`*sXoW>{;%%WfNY;>ew%Dzy0@d zZJGM9u$8e5rua{LV5--HwI#UBNQX<%>nMc*mjc29TysC?yz?wGFUPHK=RAqvxRgZp z_Mi>*8x5FyByujtyIYwdt&d?QIwiRD4yrb$O-2mcR?JCnL<$tepnOrg_QWu$1-@}? zYDTVA)iW*^ECOhO8DvbO$DQ6#k0q8Oi=xu<*!5Zrx2`c9=MLU+hk0{DN=F_nP-b=*)*0_8ziapIwsy&K!L2&iHrYwX9dA|T6>e>j zhWg?DBi|}nNpTDon&5)Yans@ktdqt{-AIEFjV>$TBQJu}h03Pw%4LN^i!eaGyI|KH zYqDKY*cg}-nXy>@d(6=`ValCWCo45qw99!`KCa^@a)*8aE|N2nt$U9i-e=dWStXK| zz*Y-4r_%=$v@NB^Jt_hzsZsqfJqQQ-B=@a4Y;Bj1z1WUF@g%Ea5|T51@dPnMcA?+7 z-%y`=-LZ(Rf^vNrZ=I!i>$IDUhlAFwt(E zyLjKD?%lg}^E(TB!2`<>obD8#w&qmvwd>cplEO*BC@s($%%AVH57ve&WMb&JdV#B` zQ%7GIPF(s8@yMUHVFDa@i$V>!P$-GFVwLDY@mM$xzQ_v~Eb*Y7_hdyUXR*&<;D59% z@o0nChp>PeYHDmiuU;;2%QtV5MH4I^a8G?%vq)ez=BqEi;_KkvdGieyCg7We>S1wl z*n1ILi*!VK%A_9dA=5ix;ax^TSOz)_$~bgLAP&P6&=?y~*C}1b~~Sy2vzK7|ek&1hX}moMg_0Bqo3c+u7OA%BEjC?8}KhdjxLca%e5y%3w9GC_cj(l#)TL?C?(5ih_6!zFNpJsK)yAOy(Y zVbgCew(_Z~t8pTyO%Oaxd5?^Su`2LOI&#iTxD8;mbSQRVV4uTw1$n;Cg}f1}%*J9I zim{Hla~~2D33%o^FjGcuR<1KGwi^2NkKe6CR>1=^W;hL?86o6cvsPWG7pE};2NMF$ zzwiP-szV=qqJ~e1V7jeBgqN9%zT*Iznegjvq4=@x#33-)%X?ZmMX%}_g0_oh2{TY+ z?;P81HPo^*GM({VHEx{cx68HbuemXiw#6n+o@7^EHQthh8zu7gAYHOl+f*i|lvRi+ z1q--YbVd0|ii+M!T&xLT2e))M0!jjt66k~o0^q9Q^kBsSmt2uvcy_mn>P#6ZJltvj z+`M+ySYGAnU^XeTPQU^A5duyEZ344$({oV#xUh^$HkbN0FDHRJ0_D+8k^Z0$>JeyD zFw+0^UWq(TN>ZR%#!#5G3rxJnN@TI}OYgj4)uInJEf#YKO3X%CyU>oTdh%k-nYA#evK*K-9g0tXmS z98ek^e<)T7rHa5Ep-%9!bj5sPzT|;qZJ_inEmhTAY&rTx z<-uD>Po8xWa^HXZy>MOcg8HF{=SnF*!rj(tK!+>B0>7ag4y;8GBD`=1^Nq_bGv&!# zfN&si5OkDj$QRKaG;-Z_*SJt7FV^KT{l7y|AzMC87bbAq1oskvdchzoRnHE)jS~AP#x>vx+7j@WI$x)9W1!j7}b=um+(TzX22YiD_e=Jd@6umk7g| zwcfL09Bl{!Wtw+PL+4}0R}2w12rD`Y!DmX*=xU1!38tbBg;rY;rlUrUm#D1aB0Xi2 zp5svV-g|cu)qLyKySFW0x?1HW+n_;%tz)}F`{MiW#q6?FKu9xeo8i_=9iN4$9HoKA z$qwj?{`}VkSFiqk-AcgigtbAvz#n6SXjo{YmtK0Q{kiaWU%Yz9U3WSz>V5yp(4nX| z7Yjrq<6+7-Q?WU9mA(2@F?!1uTqr&PfyQ_gcmcoBe-8W+XE3_h4tcek?U}h(+ST{W zutiHHq}7hN7^v&uK?8jIpUVXA{deDE?ebdemv28%2kK@sADUoOroUxpjXB+>%$Tn7 z!VU~rKa;Mz%1$`;ctKNRL$(=VS6qIBz53QWHf+QQd-}zvRA2D1Wl|3Hz(q$Lp$FQ` z$JHd55pH!=wf>H}GUf(jZI_#8rR8BiI_d>8Vm;T!b?aTAW1Tb3G~w3U?5;_7NpJ;M z6;|=0MgMyJaJA9V_1ax9;FKA*e^UOoHSQP*eM=?R9xK68T#Vi z{<867FZVPJH4UDJqp1CN}PKfR7W&?6b;<7@UXJ- za;JxW()MeVKXFPnZLnp_R(LwMI>lU3*kQhmDWbSB)=Zw+Ss4jo9JV>DuCBD=&Rsn| z!VovR(}XTuszpUbZhb^J7~dh^$Y0!g6AaN>_O=pyn@Yk~W++STWc95T{C)fP69W%c zDvB<9IC98raZyJrRawkP{OzlEZTN^GR#jPRl@+y?lU-m%JqAkou5nlY_dk4Vd+oKC zZQfMrW8gpj^o^Z$_Gr;%mMvek%-FNBq%?RMA`Np77c>(X8{fJ3F5=Xigj`6xhdFvbH+_v z8rpyB4y>&(C*GrJB@DIjU966KESEe8#E<3Iu}g^FMJ)i>0?`Fc9@zYSn=<39o|p&L z7G-?&=_gKz?Bx}|5r5vic|q7H4p+>F?*Wzse7aIyhDsw%REauA7{H~MuXiA+UAsIx z{P07qs8gPA$BisefWybSONR2m z^|sp%bHR7Px#!tq4?ScZi_~GiTd4BP9)0>rJMWUK?8s;vIBl@oufN#- zbI54xAZBs>4dbnIw<5dl#tC-TdFR`cYDW=An|1@DKx+suX7E8X9VCP}jFNcX4(Van zh4x}D6`RYwvqqXH4(Vf_+}+(IAqo>K?oe!Lq6sY6!Gnj|FAIKi=7Z3|okE)^kt=$* zyW^(8^h?sa3zWR2;Bi(`3w@ir-qqFyw+##g7_g$EtIP9M;F&gsMx%>|z6@jCX1n3` z-S58$C$ik6Fjdr4yUULq!%;Gt^ha9ONF)uU%#X`n8wPDzy%s+Ibn%=e+0ROu)~s{|L$b4%k(3%Ixbez7#&% zN$4+^^_VZ(s<2;v`B|`1r?A$Qbkrx(H6hj=x1?Id1(V}VA>xNN!nKUxVjS?a(?c&h$&XDo3E_Hg2QfEC3!UH0X$R|*aif(48iLOK1GCH7r{xs zWt&TE(cgbsR!W{U!YZrUTxH+=@UwmJ;Yaq`tFPO=Q>NO)TPNACzy5CX=YQnH!o@fQ z_@D!@+XA!H&_X}?8CO~=CJ?Rq#JIuXCHmSk2AmS%ByTpzd=3*!I@O)X=vhQiD~+)tWbj7-II=G7!a@} z*)0>N+xC0zU_FNPmWB14O_?&w$No5Q6CQxuigxJOz5`~5U-S(2Q|uyK@myI_W@&;i zO+d3zDMC3@SLNoQaWi8NZJ2>*#$x=yKG7dE+`gcLb!y+yg$ZLEq=iWl{#IMZ^?Xs- zpsj;*aK^!xSj3n)pr9+O49_x7uRjL$5B~EJfCO2U+~>gyVYkuatsZNt;Z|mQ{vj zmLf)(3;ndqvKH09DJ#v&V1SuYUYMbpooOu@saDg{=!Yk=68_?2dT zQjJxr4gdV-Pp1iB1~1SJ%oy*$vVRs^Woeo3^*E&eU|TKgsC{9Pz4*cljz@TyWSiQh z#b!P6h);w;@M6WTShCb-kwUAtPMTo%Po3)P1s6-vyXm%D9sjf=LWwfLCFO5gzsY9J znj>7(m(6E>I=wUTL+!jq*7;}_Ri$rRZ@Z1noIcyFzukA= z-P`cfFTb+oD_7aNwI#NCWvMM)y4=cZDl9{7ux9mgTlmKUyMFwwcIk!Jh$eg3Yp=X- z-+uL{ef#y7w($2~oi`%1phnpfR}!gGS5LqNvR?|9dcm{{hx;&l>Dc9=sj`IB-_k;_9bfotLXhl@C!3hjPgI#zz z0Hfe#D>NxB!I_?70f!Q)d>ABf(;=l%NYwQEkbcOIW-4^O(^Zar_DJu!K@)&0B9 z24r-wSMGSwUcP;{y>jbA_UeQO?Tsmq*#kG-ZFS2^t)`^HN|vv-ik0hZ-Qwl8cG+s% zuwt#1uiRiQWwn-4B|55<;3}!K#`T-6VO^;umDiY+Ra;&|l9iWicHxZG?3S~L58C8H zk;E!wi2yv08MwG#&pKnYpW4jWbL0`!@Auz-XCE$i*~71}`dM2C&KLdpm;LnPkKPwb zh2xD9fbb;fB+uz8hN7NaL3Z` z)3>kJo$;l>)0^x|^}YZ6_i*PQXP$kQeel^g_R;4H?8P^~vS|;$Z<8N*(Z{u`#ZPe2 zef7<^w&0K7ZROfE&TH7J4GW&#QKnCy?)kDlEk`^9ZtL|D?&IX6wgDRATUZ)}=PlqH zs~zRrce#C~6%#FZ1%5@m{O&@aN+ae5jrn2!j z<}~TaGvuQSVg(q5kXLX$!3bksX!`z6pSeH`E)+Nd&%jQeFs|IJYf+iky}trEU2x$<&Lk^p0hHGy{XDgVkBy5Lxpz4qS6e*S5p z9e3<0vUUP2f}Gfn7t(MM*j=PtxNBe7!STX!0jy(af`!rLVt5@3+uIG-Tx*qO<<_;h zvun%kWtrs4GQ_os7CZK$OMD+e4rRRI+Ur!5XifE`??t8am!E!i4*|5pE;Y0ZbPEpe zzkixfTkqAYhff$mD26t|$_|reh!$AU9HoA-j>@V zJh$u9&H8Pn^va{5Ru-A?2ORXB*$>WjhI`i?lWnVETl>%mY#fD*o%ykzmX@T#+r4)6?VJctKK-N>cI)Vt`L;W5ZzFcv(RsplJB_fEoJ<$!Y`w)6TpjWY>^1ct z+5trn*C>Kh63aStDR$w9K!kQ`m8P&mf#dCg`={DrM;z`nH}aHI+@;4j-rSk9d`FYa zyewO@d4snHZJ#bu#Vdk>!8_Uo7cbu!XJzIobu$Zt z9(>|SwX@PSO2KKY()%jG9$d6e8_JLT&m1$Rbu5oD;8RXJ)vdZ(^3-o|2R-qffG33Y zbM$`a7s4<3aS!|kBtimHQQ#R3mGbz`_9SRAte*<;=}6oKG>$M4 zGiIXC+|h633L(N2;ZxOh!90{V>6DjWdUhBDq?_otCDqY=inD(e&|(1bA}uZt7y|Y3 zAubh~lb`4A7Ishvfew2D={&~};h}z9#0|I&9f1ImVgdt0bPw{KKpan(z>nuInDDpb z`av5ZO|;;M%Vx&JrEwt)&cI4zk1^FJx+`!kp`k}uYwFb2(z2OAL!OE(;S|<{(edyr zlMeR~%$8@E7_Jt^H2592&6$AfNyobl?FVciy~bRk+Gk z9N=?z8Ll6}LmS65)McaKfUfE)Yptk5dn=J5h_WJv2!7q;c z+?-rlN@c#k9}_s{h;RhV@;NOzOh4GI$rl{kIed30Q9rU3{;ruCcy7dii+n zQ(}V9_&Ql3FTMJT-6_klXP0hv+;PYGR_BaOV-9F*~L?Z>p{I?XsAN)PT#e zNwm^H+l&6IrM=fT`?tzUSr~fDj7Qg8R90DOxm&E6!V`px_WU;|&mpxPhdMSC)v_Vh7lx`hGVL2a);`+{%dHAxB&=bH8G*-OMOJn70y4lZ5B z6(!|t&I2>OZC-ilWqbIchurNu;r82Ikw9n_2%ji{5G^$R{EIL6g7AkQeZ;aPnAmgh zhXp^`l!b#MDCm|WrK^PkVP~OGBvoCH{TptK^LI^u*L}pEh49hE_Jh;5W>4LEM z29g-G~& zV>;q79zt3eF6Fv*h9;*n5i~_b?Nv6g2aScp3n6&iuQ6SW4~!c&Y;uC*8^VTn{HC6i zOK=?MdxVkSlvPn)?%zXQLwyjQ1meRauyPyR?HoFpe?w^TJ#oc$jP;D~V`%$~(&QaP zW#QkaPY+oTKmWPW2OXlkF<87m?O?K7J$t^I(G4Gckp^cXSqO| zG-0Ck>)pqe|GUJ?W%qSvbuv~;hi4O5;36#yiMuN|iM)!7iybG_6AOZI4g%jP-|?V+ z2Y!LqxAGE0C0$3Afy;+)F+OGSyDi?;Vtx@q`HCaPwP{0%<;k*RjTyTe;HE^#&==UZ zaM_Zj67co5YQ;*MDqKGyYl}96sda4E!Lk}fBULq)+AR96sk7YlOcxGS9H=S_Dp|^b zOe9N|)yMDjYAI?J((=n{EQ2nLN2sLCS`^3ORlX^r2WIhhP#YtNnX(VdN=}TA)285! ze$cyHPd_J)F(c@>j|5eRfnQDQe81js5g^M36&#fP|c|3fSwA`upVTT>&eSo+_ zhYodkp)=xw0}PKn_uSo%KQ^4m%{vyflUA9!mxcaMo_-{e@}+p{jw{wrDAx<}jeQjw zFtHFf#34{8@60Mb z;x59&29*dW)>E*7DGPuRC^5iT=}6?o1zeOz-3YvcA@L5_V`$sRl*8SBt5Q6(9S_st z(VlTb;_t55T9qb{k7Lxw;lzyaKR!0lp<~W8N2qz>+FiF#@j?$ zjdXO{78rLJ+S5)x>3GY|>S*U(c#hqA`((?`DUkJcnU7gIF4R_vnt&G?iG2c^Y>@^T zrogM!e1{6!7R!QiP#(Y`XAOZPEH4JreOh$Ba4K5bTw7(inYqr~*lLG(;1wG6yb^dq z6Go&&?*w_l__h_JoB!d59+#u*8B@Xf0(Sj#Awt}m;h7$lB8l{LC6Mp!Kr9e^j%*SmffUF2r(%F+_P3QNgDfePd}sjHrbh@&NOD8 zKJ&~xL27ouAqP0j1e9?W>#}=CURHu3>T8br!rZwJ>REWzUt=?Cki>iR7Z>#Uwr^;Q z3g9&(Ev%^{Fiu1KCT0XA7Om4Z)D8HdWmkGt7VQ<8I0^_t!*`TOxXsC%_T)S9a7EHS zKCY$sxG`bu?!EAS15GrG2UprDV@`Lg2Ulpjc7?WX%_`@Clnop$;=%juv4<`C<99pb zjL|m#{SWk=zT05a@4w6b{QDpK{fAE_G=U{qN=BY_@7~iktzK=}9SUsq>Xo+euRm^x7)>hEyd?$KO7b-=dBgWvGvw$aXr%`B}7|0_MD}e^%9d~b(#Cx9E z&n$j-&BI|0-x1IuZbBF((or7MmV@>kv}povps2wU7aUko#m|oA~ z>c9T{)7u7NJ@)cTtpV#qbznRyx(jfl#o2@U>EFRUbc7&E6%z^d3vC-*sUi3T71amA z=Z;0i=)hH1j<@Z%+s;Of8s!}x0-rg1w$t0QPd;UDzW#K0V zy7_wR+pmXx{pC-}rP1a-{E*#x+a$aCy6bF$gdt;uObSs~u$!+NZDY>3Rzjo1cG_hp z+jEZtt*BF1`+eab_SJXax8j)n)Tk46V6Qu`pVve1i+N$?0eiMA65u=PKS#O(h4kTchnp8SQE(ZG zDe61#p%(-KaN;Uv@&#p$ysEm&XZvdxhhw1SI1UbjIWMyVsO;eqnH1ZUyY_9e(AL<5ukOnKZUS&oGPszCA zTKj;ijO7LlxHx$~pi?);(?#cAV2?iXs2zLUv3A>SxA}$T?=&fk4m_YRC#3-QKnTAD zs~z_nisQ1SE1VA&bZBq+xncbneZ8LH1m%x{j6mT%E=dCMv5vtrZCTj9oo!gZ$sT_A zVY~kN>%E<`)Muy%LnLe}&?13GdGL^JhmY_HA+!Y>UL%-*6E`X@OqBer+#DOe?Fjqq z^H1E6z-7xsj}D?0j-vVJufKiVjt2$tiG)f>+@9l;SvlWZ~ zb+_fX%f?!JS%yrsnR@>e`+K1T*O&8EuSQ?y099Sif!y=m+IM^7qMsR7him8Nsr9E zuLTW+f;f?tOgxqXM`ANaA9I-iSgNZKA6zm?3DpijT)UQr$-rNLDFB8LV?sm8rgCqb z_W44L8a`D-17OUULwF&y_`M|rh6geDOfxYnkU&|90z6LaM5GU|!kxXbfRzBkJYC`& zjmB@>7Qn`Lwx^;zN3L+eMc!Q00hl3N;vmF%k6Oy*_7iGhDE?g(?z4^8uVSm6r2RL1_`DSKThSLgr-U(B7&_M^-{P*5> zm(}_WYpr+BKB{|z-FD;665J_bW=Glc^Io)nmMyXTf+8R5xci>_{H!QCJ`AO$dW}t+ zdN(x?Gn!zdMxW@148HR6+jiDjXW1VM|FW;Y{#xyPq<5^Zzxq}had6>Xcf%XjVV<0YBVs*2#0ki2Cn>lUNsdnS_H%M6A?zDTwmE-Kuhaa=iXN+>#{&U2U zcGAfw+F??DX3w1IH2LyN^K7S`x3%R<7f7kevSC~8VqbszgFXJ@^Ac$FcHxB=`c(Gf zE}d=l+Vx_DEykHy)1{!?H1RfBxAp2HO_nPw4EHPNasBuBWBp7sEDY~o3BFOUzF*v< zo2BGtS?#I~VG@HF_wu!?t+V>csuinU7*DzPZpS0cm9b%PL4RTLL(gvA{RC=+A={XN zEAYsI{#Rao$>WpmoO93e^UFSz<;xNKBS((3r=EPqo_Zq8cx58gv-4gG3zieg!h(f= z`r=#M!w5s%nCG5*u6r850lcM8zusQnx#yqf$N$rwjD5rF(h}Qb(f0IXbu8cVi}I*L zvIJ+g;6;E{R+ZbRlSisuYV66U9`{qTDHDEkgA@=pZvN$`Up+szZu{ojZ{6kn?7U}e z)TyHbUfcpr(2dF*%gtsmmgoer%(lVJYlIq=3wZG77&n~$3o!;4iC_8#;t;?zPTPEWZu@&n zXWSypysQK~o@q8L0BF6?Fxk< zEP`Y|TWQ70RZg6wN0VB+evQ5G@=MO(zWL@G*YII5A4>o;!GZFCnac@JceH1o^CX;O z+ptU_=*0x^3EhPDqP=}kTutxGA%wq}r{mOjtPbtsG)4zgR&+*i;_rp;y${;gZn*tc z+wH);ZM$7|wErA+m>qb~{=P0{uYLEhJ@($ql7)}G_TSg8zTrBzCdXYh-tM~VF1!BL z>#e-1-e$~x#HwWt_3S;scG-0|%gb)(iywg_S#@QH@syn2cF|Rn?Ywa}`J&o~pM1ey zdg)!eT>|9uFTR$=`5!?PEG-nXIkV=tR`17|t6i~5u^60rs6BCaOp`Uj*cG@3cTYa~ zq{sc^j|CD=CGL_%W3Q9&95-&9TPvg|j!&L(+(KW%i^_$rzzxhH(inFXCg{(tUeOBy zeDlnm_5|+o^3AS z5xa%#yZ1iUy=yo7Ry2n4*rj79pY=Fn`UCDFB_5l7Z(Os%$~SKm(6VysL{kFe30Y6C zy!eupZ7Q)0)!~`PpSF+Q`oI?dy+{g6imU-K$P_7sBAPqyz01|vXC8aPCf;(J?@!6$ znmg<;!nPTn?SfNB+1dX&UT`04r=D`M9e3n^?SWhFu$ePwxhojHh9ZSx7TPc< znkS!fqSp_ehqBHyai}l2=Mp;XIXB0k3W7};1&?)ctN{Mmo1jkm1<|7GsgKH@Zhyzi!I6~@1o)kBFR7xvz30aq{O20ni0-Bav`pMG*)2fYm*GQ{hO8Qy-WrK^&MELNekF_mStNd_uc(WJ0F95dZk&4~L_ow%VM9rF&5Db#p`KWh2nVM3GS{oQWBnxCA*pdg8=8WNCe4 z+YjH)9(w2@X`u)Cm`z5itZ>0OdD0|l{Ab$c(oMGH-@j!EG#clOJ@f25KcB5p+OBtg zm4Qo^XWEA{pyB1qmx_Uht%4Y@T)HHT1;LP*W-UOSR+x-LdlINWRu9Y(oHNG7_%vE7 z6B8(d@elH(Z!-?fX3H@xWizpc03P`rL!{v?0el3n1R+ky!PShQrEF&b>SJugO@3)= z7nUph{r6w~8$QCBWzYq6VRFF34?kju9J06lweTC^A<0JUu&X^MtN8mr7CQXLop8L3 zI^}e`{mzNL)By_{ys$Ryf^nA$_kkW@6(~H^3%X@yAHO~59q--SkP%KJMkskYo^Xj4XHiF>4Q{m9a^VjA5&jECa6;b9;Hpvw!I}Xk-q9H;kA}lgzP{ezT`@bR1c%!?OmzPPYsPeJ^kF>aJ{`u#h1iZm_Pd->Y+iW|+32L8x_jN*K zW)a8IJoaR8U3k7KyVaeSfQ>tN06yF(yY0HGuN9#quHUrL9(wE%rw=A*5I5#U0EeVQ zh>?f4c_L17T4+C4hxE?N6LawR3eZW+i+5ZSg&r^d<{}O_@NA%2s~gV;Zlc|0s~946OK979)9p4 zD@^RwN0r&7;n2em^KHLsqy)m`f08!arMR;n(1>MA-o$6Z#M^JbEhdufTWZm#(3&YP z!t%c`GmaUzl;S<#d5^*bbEdwYRyc6_r*8<=ymy?tKnnOLkN6zEg?4ayN@&=;5A+o# zP!M43jG3H#(urOc6CG%WWy_XIxDT{%zx~?jzNo0!-(eZDkoA*KJ{8OjR#Lj&veJTU zE;BpNR;*lYU;px>TR@DpFwPVeQ0j+^jJmSZ23k4%23I=gJkdTr`&A$oiXUxQzg}Mh z>@l#f9}A0tA3@+QGJU6Bah7q!FUkb{jySOm5J<$~;y0?D<5^0PTfsuG^A84x6nG{- zzB&FCmpe8cL1)=)kWCh!{45f7Iqc#mH~g( z?*IU=9%`y6Hf?7 zDR#^4Np0O1x@G?nQ@t*<$DAE)&1j#S(CN+fOM7s2lz%8e?M_wH9!V(<>7wI;Z zZuI^!eE2p9Tp@{ub@eC&8a~YRSM7vQ5=8>dkcL7-aY3MLckdRN;!#+Lli>o3h6IV; zi9}Fb)#~gKD1wh~Bs4eQ-Xl>C!5h?>GYtv3`O835#8*7DV(u}J2Ny7Kp%E}4BwRw4 z>J;+_W&*pW`%dI~&$rg^i2%HjC<9CZb^_15=ZeIIwujY4U3gFZ$pd0%l|AmY09$Z7 zkT=#AdBu00kM8^?pZK0Q{EipDV@Ckz1qJ!uE<7W&m`TVWE{jjSS`tKPQ5;Oibn@SR z``stb{QUE;?(Vq!(kn!$)D;LqyMwogZ-1*hWm1O-6W=y(Dsg6rwd6uY)__{nFSaPO>q}{kgg+I&_xiD}{q{T9op((39Wt=WVdfmM z!NH>_HmvIcCKv`|8BA8V`PQ3k?n85&uBc1f_UAWuF0^C%CW3)_AmpL~$@hK(`g`AQ zgh|!ai1x!7YV;4>RMZDr`vUt?mhqnpezRYG`PDxE@*Df~(~o6Al#5TWEJMnWS`fOU z4H@33l7)cr!z2*KT)>&bshAFz1dm$Fl`j@kC##*H4d@gh3?nbwSmO18A5@ERDxuel zywLPx-9kMRrv`}LDF<3-q4{yg9OH4E2M8c0x9}U~3Blu&Ta><80`;(i4))ohTv=)9 zJ{gB+%B3Iq`c*wAA!HJhdQfa>8_KN~KEc7D{sXM4w9GC%`&_@Cd*UhY1B3hYSAR?Q zwS(>2h2_Ja_F-U-B`?~;!7gh`J-I=zJsO@{gx5GLyMF)B4MjgIe_glYoMM4wxO zPnu9>G?f1LcbLvDtOSTN3z@Vq1j>laf~lzdCN0}2xT{DZz!3`wog@CnjS~a;cv=O@ zL9607E*%1J#{ldE@&Una<%#ggkKeFaDA^~bB;v(D3H**iiO?#b5-@*bZJ}_W$t>3_|(#xFqBaV0uog$Rq+)Sqkgb&_$PdU^nTCUW=C$;ci!Wo8n;rVAf zGiAqb)^5cK4qQRmYqqM|8hiVl_pEd0uC}SP#Mq zY}4jaPY?Xy7ytf>|;UPSa2h!4;(Bz;wwU z??DIw7B5%N2rFuj?Sk#K*WdE-%19HfaB5ZN>Mkw;Jqp!HLSRz4p4b?~rG^@3xOk zxP6ixd)(Ic%dchDuYZ9Q*m`^V@ppVW`j}BCS+Rus^ap0!kgbQf(vyNUs0L8|H1oq-+lMl^G`o( zx7>EC-7PwpGIff(nyF0Rsg1&E18Y{U zQoTbPdcB1rEHRYC`|q1#56*c&0urH^W*1&K#?mud?DucJa+f6rGEERxuql^K>0agJ#J40cAKYjH=pk)VuCJVqp zBxqUwU11Eb?PUXeHnz{Pi_SrfM| zaS)?2_xuSlZ`Ng@VT)w_H-YrTa~Klu$%l(_xX3@kNgzJyF!jB0#TujC6cCn6KI$OT1u%eE6R@gq* zvZYlI-*ziMwU#*$5f`*2jN-+YU-TJHufF!G6Fb%bTmP^NLr*bGj&>M0V1OSixyK%R zxHb>&&>CTu9BnadtF3LTAw&IC;eNgQS>IlLZP?(UewgFX0fVex&)&Yv0v9_f@VmHu zC+k>HEs*k)Bf>V{H39crb!C-RZ!WiY z-+srw{QL`#$AVfe#6C^o?W{oC1TRB^E>Q$@ht6q_xGM<(M#B4OrSiT{pFVcc#TWUM zW-hehD8OJygeLVJtOW7}$7qzqV@&J93oo<5L$+~CxNDc5HgM=rADf^pvC4`%7F)UM zvsr?ypnW?VzTFOX^fAXW%Zq*>zg9Dj4CA3~$hYqY$$hzsnaMxSnZYCG0YU2@4->(IW~3fi@| zZ@>H6)~?R6-aY!;{`(wnJMXxkF9#Sfpr7?0ytQpNd`DM6I&~-#juI0MsQ)u}@QX?kGvTkNuZCBc2GY?D9f5 z?YYN(KK@%(*}g>#&>wsMk(74 z|6`9#mBkef91NLCvrv6}p&^!uh&&eHGn0HUn6ZK24#Vw))=WWkdw?okztPhJFaSc3 zEI`IWKn|jO(4bx!#aGt4hjA$YO-Tz4&NCNP#?%J}U}4J>8bvWZ4>}-Y7vzP*h*^dN z(#FQ&83d6Bnm6m2n0g&udYIm%kJ^Eoyh=-XSXA8Ma}cQKrF?ky{OAP=lti8B5O2Kk zhNownn+O9|0gb>~4F=`OhxjlY;sn^3AsCneS_>hjcU+0Vus9KT>U}qJEUP9zyQ#Qq zXV>0naJ1gaGR8G3ebddt!gjV|wX77}sM2!#_U-3w1~D_(`^&EjJb&ot+(FlIOiQg30T03({I8}qn1$HGi$3+sD*j;ztX_;aa_06?5`SyttGG)GQj~&RdaIU-d8r!gbt*u$H)EVW#0fX(S zXP$S)!k%lKZcWoN#&ysE2fDlLr(b@y2c}K;i6czNVH)>WUw-2nH9OKXu?IS45Z!4L zo`BM)`tTcJ0({f~_Yz}|Sl8;@J`UHdTMrjzPP@v78K?-ONY})pZUp*uw6G(s(!)@2 zXk*%}tgO^JO321EluMs~_~8eAwj^a;t-7$(;K)M{x8MK%Q`XoaK3N1I$|C(Muee-_ zPo_^@U-0XXg1grK{pVY0@+r2{4*S}Y`TaFW%5nRxGeN7m*gx3AYB+-v@a)TvT3Ik));6v5$Kzp+zKKVqY@4U0mb2l&> z70iEczU{yNz77ldl8&VAQWqW>8-uoZCd7E$;|1=(Tll7Tc<)*QZ>33Cyv_t8`W^?- z4&Q!+B|ZJ*O!4X<8C{!H4QE=p~L0ngkvud9_!Yx@d0JDA7G{I7(7pDpF_OdUKVaK=SIaIqGOJXmW%T)rWYR!FcwL0=bm2Pebc5o zZMdeYd>r27RCRzF-@O9nLtx4`t`QjO_19eM@ZWpS6ves1CfqsE^JjBU0FXx{@5umkts-)^|!Iv)dKVn(#G)IFS5 zz-^#_fN!h{b`9`$NhnF2xD3e31WCRA* zu}pv;I*9E@JOcG2J$IOix3_4Xsr0-fu3{-&7|-H6IE&+8uAy$+C~JA3GsLora-|Dy zFUCJeLpEnLrvCEN)+5Lt&+ixN`{4 zyeALtcyoXe<_PR4d8En8NK=|{fFg=>z1pc6T2veH&ZnS@zc5xK;t%a3futHZ{lcdj zlEZAnX7xGnO#annVOuf+U2Pbn6^0Rpn>K9pv9!on7*A%_Y_0n2^Uuw*ktd(% zctW_M6!Yx;7iEqmN1|0MQ06HByVp_lLtZ&qjE4qK8ox;!`H169b>R-2d?OCeT+l1LIOKAqbZ(Ph{p;OG=nQgHVInR7q<= za=ReH;t`5G4-ru$PJ&=*tqg%@cS-8A$A>T=m=HY>kOJ|z{22>N&t6&mW>$?0N|nvs z;}BN@445c0A~)u@1#tmkFxIxg^Va3*DBI%%xXB~JLWp@0f`}A>B;;Cz69z^3@g3_X zU@E{C1s1{!%{#&vB6F<}`;EcRsJlyfa6Ue(!MB4!K z)qAGavU3CN4AYG1C@a>N-!TAlEF;3J(pFvWl=5n7hZV5-90>?dFGjR`|Y=T z{Sds2Taf1FTW%CF1UluS%D_*Zxk@TZeTw^rO&c9AFb{+diUjeY6D)u5?Q|`2!)4x< zeyAt?mjKQftA$Zx5n?^?u4kX#?%G1(B7TJDyz|cUB_JqGFdWt~p+vm&(o4R({WxjQ zEWkbau_z84_HX62wf%X{iki)M0_#)qW^wOhjQ45Xv-yF2LzkbNVC=??}gUe8+E?eykT4 z@1Z~EMR-Ld1UME2Hz2#VeDLu{Rt=ujADIXO99%AByaPy>pt(zNAV26>v0BR^&<+T& zCedEAlGLhg%0$D{X3ntBzWUOY5Cm5zSy4VIN$~itA%d-g z@t$^NH>A}qzg^fs zV`0PY$X}x@i1;N>0{3uH@8AJpO>9PHu+o4H7~qjHzE70m**mIm#ob*hFhmvd-8bLb zs^u&E94huzWA80C4sBmhC;&piFb-zq_;^Wz7)Vd>22gOoyy}5@#}LMJLQs7@@SQ6P zh1i$`|E>2ij>tz$QSbN`qWF85j3}!$9|Dyp0867fEM}i0Qgx2`@f!qj2X^9l9DO4l zLFiGb)JDGrKfl}F{Zep(Y{Lj*9%wJI9KO?_-05HuUonl}RWZk%gu2g8qAaI-Tobg1 z2n%T7J|dhGPdZ+|L!Eo~?rj^?E&&eVi@J;9Fp+}+T6ZDH;=)zI38z>eF%;uG5&SF-Oq4^=Cp*skE3-3t&T%&@|x1hd9{GHdD#pE1a>8f-MnfvUw_y7)N9 zHrouB(5RI~k!4$LwY8Yt1unGscG#guxImaZ`3^f>%)7W_M{D1%*hY;y%?jJKw}TEk z$j&-*jJrF53s?!jPhEXmDS_5p7um!O6 zJ7Lhzc_wh8F8MOXf{QaMfs}*tLwp1-Cd6O~w(GCE)?LrI0bw26=;9+K#@GiV#uV(dMChtesf*5GR2rlFv+J*a(R`GGJfk3i$Z{Gt?(A*Sa}U4Sf8cSo?&01tt(+FtP;<&c(V zUqhno99~^?c*>6Pxcpg+nMNT*+&L-H;3D)0s}rmm#Vvw_rh&G{duB?(d@|KG{$BAJ zFCZW)RxVpAYk9MNQ9c?_hS~&p5Sn3XYP^7x^n%WD7U9I2<&Iob1jjEf!M3=pSAJOG zSRB-e`o}RwMN}a>B<5M1G#Nac%s3RQ+njsGf6Y?M)WdJiU z`hrZ+Dopj(TW|LC=}c%5ekdD;O=riI!UTo!8v7~6=8$uoKG;^KXr zbr_gE^cwO{(R3*MC=L= zY$Q89 z)0MvhcCt}^iy-8?%iy~(nV5)@x}z9?|fHf(vHADomkTr`)vy- zS3Kvpy5kv$yfR(=j%~b9W>L(^i|ytJv=JUaV3uN%aKC^g1u{kgvmqqD(%>4b)z`qp z_1OVpclex4wzSD~CIjK|U1h4XW&|Iw@+Gcc>PTo(U`TD(Gx6Jg*U z-=m=ss0U?6cc8HDiX&m_E%WO}Nz_m~o$7Iex5-9C@_2E$NsLlbaj% zUL=2lYmNFHEn4+=55UMcm*Xzcj?@o=11GdQY0;plgIdC$5nAAeiw!|Z4>Z{A7fmT^vO{Ft_HeUrc;HC4DcSTvbb?(%~=^`hu5C|QHHESxZu&})= z1Pd1aA)E-ug2x%JE{6*E)dtaHzy+QVnq1Hx@2D$x0z15fcxod(6NfgS&II1~@7vG$ z0E{k2N-UNk42D^eC;|kSP8=`eVl&t!9A2n2xS%z5v%Rii2K2g02(+gp1SlMU}?_aW*)<<)&Nh zCQhqXK8)Qp$znb1;6rTdL0j22vZD9jXI~pObSv9>$WR+TY#YC}9y-i+*mir{X}j%h z&t3MgTYA(E!umfq}Rvom=`g{gojAj!~jLf)Wi~^9`3Ma)))ANsm7~t)*3)*GDpA z`=EdSUF=ALaQgKfU>!Sk2!oyC2f~*Z94|rywC#Hb2m(|H3iq1XEeRX}vSc0%=DjDihc_+GOXQceUZ$ zjj-j?7&)_vjZsO9An~?JTm;I2!E#5#hLC`fyox#$Nvm7z+Ym8JjQ7#%j03C$>O(+d zMw4PT{Is%2oCb04RJk%UBVk|+_)=!dU~m7I#X&SGY$RJTftRP9uzYy z6Ml1n1FS9T0iIz{1fH3>r$+I0jowybDBc#dz0z@!j@e`g$@QyO8w@4kIncVM9p z12?lKVeFLW%9irO<4{#z=94(4O?$wtr!T+!+-|z@MtlGL_pOrz9<#zOyYwI{O(7-#G*jL z^0}v;wzAUARxAOGzLBbO_;CZA2Hm`@55c(J2or&w4{p?mMKr~1tGHu28M zwq)57Z&Q{?R8>`bouhK-!bAd@I@If1d(r6-4%t+m(8>4TeXTOWB%b~DK0u1bQsKtJ zjOd0MJN@+2?X5T76rMAj9&pFjseEYDX@dSQxZoRD@VuiQ^wDU9fG^66G9n15z~v*q zAU;cS7%wG!B&&UoJ$4hn7jntO5#bz11Y20>M=I3S$TXD}3bR0KMrb+FQ%>R_Hi*_| z7b=|(#7n9H3_zfDp{;-{?v#F3UuRL)&I13k}A1uOwF@@S8Cm zF4ykFoER*JQAFfX25V_tFeK72>kEQKD01fl-bf%yCHP=S0WS`V0?))DZ{9Hu!xx8L z54?*)iUx~Tjl+?M2Tr&%!0B!~b)u*}k)HUZr3}v(V%2*Z5?s5hm5DGg8GVnMHEFip zc9;ZWi4zz2AU%HR+NxUHu&K=JTPIxP=NBk`SR~xDXLschtUibwA?J%Fr5VND{|OLK zgo}8<#YKQ=W~;NJDPh6!oxEWnOtRo2E@=@WFTeDvGhm-8jN3vmQa*7!Z{gB$ot$l% zIh*aolPzCcjnPE5f;tTD# zqYtr@PWrFieA5js1ei_9uKMikF?Q@FHuBU{ZRl3RY~TG3uvxR`s-7)2<(?_NQ^r~6 zjIkLKT1=tt)u*>jntW#~T|}Hhzrar5&RJWu6@j>3FEu4`ecdJR5>})zo#+d?tFO~v zxS$o>Kl>kefG;eEIYArS@3@0+O|{oPd;6rKIHS#j7$UPonMgulz14kF?lsQcJMyTb zd@O`9A=Za-Li5f$?QE~S`l=Om>}*$Dd8O#2(Jqz(bM4hv*eRzRX{U`m%;wCVZ8zO; zg9|+B!Lcvkd6F12R@W;}zhK?F_K;F@lO1~$dq4&TN&TrGX`m_IQAcPbU2ViWpK+%? z1-;c*aXfvM3rj!ZL=1iRy$6bzHrnfNzMjC9Sz&I0_3hEeHm%>_R_A|?JVe5Mqun6u zkA8zifFNb;Htv{#aB!ZY3oC~mR+OOx@p1{ljS}LEZP}84+#f;DUPkc(Q)KIPV3Yfb-qg z-`Lk*edQY>H!I^X_L*+)fApdK^Y0Q{xae=+Sp3SXuCs?9ebQu~+4_^ekQbg--`7U`<9G|ycgb~dNoRbR@eA={*r%}dOpNQ$zyA|q{kkb zDgh7ChXe64GPg)N0vmR+*fJ6~0f4Y{c*hngXo|#P-3|iN{p^y|Fl00wy>)^iJz84G zD`*N-ir+L$SkxCQ4O4+h20y4*UUwjk=?E|;egiwghst?++=ihq``RY*Cm$FmFv5b! z17=Hnz7fas6U6$OsAmwW#3AsGbi_x9_^cf@W{eZ+(_*i@@{-pJ&BPl}-~u1h}^{(=I#PL5Cb@*IaX5 zaQO%~1t$*#NRTDR`T zi|Iaa?=-vM;tT8nSsE~=Nw-h1$#+bUMf;De6@2umw*P*I+PvrI+1A@^YhQlxl}~6H zf8}_)>gua}vJAi3{U9%|ojv;K!*=%BXZv^&lRDTe7oi9YObVf05SZM-G0FolI^Gqi zPh<}CA>N}%#rV`M^sm4K39-%u=pdfQ#&{k5n_Ua&>%<`)QJFOI#v5;W{-Lcy`GsP_ z4KTh$KVr6JzyAGw_m3XMU3}@q#^ z@!(2w_??!TDH>M&rPW+})x~BtRd$iAeELXjwUn!tB+C{Pef`CkZQgUw*^`ew?&Cs# zEco5;FjOo9+L1{b;OCzE?)7&BX45izl3BF5x%9be!Pnwz(2hRtSocI!*4NnnM;_*| zG2NfgAmJC4A!skwfdJgCOM?`!MERa4i=VBaUVLtzr^(L9v~jWsD=VseQ(xjBRB0;4 z*y=?`vuDrr2`9WG4GIo-lteFA{2uz@naLY$4uArU93XCbhWNbl;+PZyydQqUas=Qc zoxg|1lqYUK_+g|y+6ZMqkcIxIJ4YV@JHMIG1Ks_g{yum>|6p-3jdzn8eB-7q$BC0E zjHHJM3e(zs&Sa+V6c!8g^+9S}&T3k=WVvf+0LgS*{M#%9bs&_^5eBja0Oaj6)TA#U zu+rSG9V{9`vzRlLM}w8qmrG-20@70-`##*c~BCvb_UoYVn3`rst%&mbrQ zu<#wC;G(0GCu3O{hjK~yNHd}CPMDJzyo9KPk`XIftSaKbLuj9Yw)6Zb*%{1u=4 zSQyO0W7bCi7lCrabAUfilZM#11M7wj>vRtck9VbFJ_rU^P*ewwp+OK5d={h95EuZ) z3cTUwn_NR=tn0_0zV~t%BO)(gq#fA#;Hqn{u^Vo@+HSo5PP=8&J)(i&ih>zK$lzw} z`gNhr5_r5;7ENY;u4UwB*+yxC-+%v|Wl1ms6Bo0vNV{g;T3aG|z5a%4)z+a?#XU5+ zzyY|5Fp)QKfmeRx7C}(leaBsnhYK#e(9XW-JU?mn((&W$oQp27dW5zZQ+Y+T&>7lI z7*yLOTgReKexfv5F5_TKfoIx0xaeHm*_j3Xh;6r6H^&0Z*w#!)zy%5(sC%Rj-~*P3 zi^vrCPQIk4eZn0Y40rlR430~9k(3eo1nszk$}drUzy0nz?{DsgQ#=$P7M#ER_FJl- zXiMF(uA$b)vA9N`Hp*tte8Am7k396S)m2v8&DUOU&p-Z@*Eg3v^x75r*wITby&%Sy z<^s9DtU0D+r>MPK>RM#YR7wf1^Rvvb9A?a&Z4W*6sAVzcR#adUCf{Kt1po_p5HWDT*U8ZOM& z-gwRaS+dBc+<&hfc*sFgv<`L!uu)oW*h4bI@j@F$xYfG;$208(Rm4ea4e%ntNxNm` z<=Ejz9N`;3;~Kp5yo;-L$$03gQ!oOIC!22>Xq1ALSnaG{k)Y8=Z!35t zbjwxWAPZh?22En3;F5>7S#D6*7~GBUG=d*%Qq?-n((Hb1q%Ilc=I41NG67Kpgh@0p zX%sYXGK48HX28Nh2Fmf-v%I!aF21cS4xF5GqMpDn>)a z2BQPee+8A^Ed5130+K%W)qnuj~Tr(>G|*?_q#G?N)fsNUOEmZ#hQ+&3m2+JX0^2A9oZz`Z3=F{gkV(GJ7v5Hsk|dKL7l4#R&=k7hVlK4fE%J zBLfsNK|EAXoS`2*>cOh;}h8bRd;YA;7t#==s z5UI${{{k8KSP}vvo$21fFZ=xU@6|{3%u&5Ndi&0rHQTM!Ry+ffvf~+Me(=Eu>E39s zzxjrUCkRCtJ~Kg@#8VKed9prfU+MrgaR=u@g9mRXy`w&Oeh6+IikD(d0duY_MBwe% zp~$9Bo96xFmmhy>Jqr%yj{_s?E32$W=M3xJwb8P(3S=Fp*f+l{QvQNm7SkTP4Yz+6 zF0pz&BWQkE@UNY7?s@iz6o|^I3LC!dcCvccsjcW|fky{C3rBj#o}lp^7ZX6hgX2~2 z<2Ud{k4MmY5Ki{lXD>gH7mJJjMt?p0@IxiIo|vP0hKyU0lNd<$M%8=a((i;8-F$#g zcj8o*SGdK-Jb%tQLW^W_0E9|T64qMW{~tdIs?{0%_3lAe^e2T4y@A=OAuiX^Vd@^2W6ah%g>!tl(cb#Wl!S#NU7Y zW#^oIx^Gbv;?wW8xJc^jr11+r1XM|BnS@5V5B#IqZ?nxd_Tw+V1|cox0A8aN3Ov4p zf$EE9hZV(p(sb+E&0QV19~S-dPncPnz&Y^I&MeY(JSA|%H|ig76)ZvAl#SJ^?Y=3O z*qI|Ir~_tOdZ*3-cHs^U4q@PQMBZHBLf3Pp@Tvss;ckl=e!+6lfiNiOjD^0XRIcJ`Bx-a0R zFQrHkJoU6wT@gS5WW5u+gDm)Efejiu$f~3%bJ{X)-33w*=FOYujE8n&td92xNMNNb zXe3VMr)~%mV1dqHEVK*s6zfMCF2|E9NxQVg7XdnG`H zzylBPwtMTHw;irVkp`1W@T4@Qscz7@;)yi8uGBr^J2Ly2uhW(CAfMQ$a2XP>FeBUM ze=y&6-Ek*-?bTO(Lex*+e(z)8Z1l_|D6IKgZ@bBQb*i#{Ma7mS!=*{q=knDJwz;I# zI^|{Cwu8H?`t{bUXMbyIOtRJMR*RodUXrcYR4MwbvsG(K#0TnC2k1N~r@#iBfCy~# zF`!1_hE}{!E3~L;-XB#juaAd7f260aZochSuNUnB|4Dl0iCHa}xCAOoL}B@C1h zk>(515+MxYwm9QsW*iN}eqkJb#+fb@8sZ753B&^w?|G(TeB;^U_!Z*tF7C!0g$q}j zh$7}2VTusBW~Ml3#W8@87>Lhz%8B`rHwcUGVmuN$ipd~>8v=+UKv;fn1AqJ-8q56H z1~edi*Pf>?1U$z*L`}+FfiPuZp2lcyxOj3&cc+3b3nFsAJGk8l+vXTDjat zj~eNViG3VT1jJYiWnztS`Z2T8n68|emF<=_ZYTzr8MpdQnivDe99<6lz#KaQA=Wjt zNf_(u)}@>M@#i02pM`(^>4FQ~xXVP$k?{w%D)PmO3Akfk1ikWSrXDv-5+$XozWPIW zL=88V%RQz8UxE1s!9_rL5idO}Y@L)U#wSYlZv<}x?%_vZ(E1hgbQf46KgYYik%oBu zMi{jXbS9Pt#5zHnjrz^LZmj}Y46gw=m6Uh1DS`=WaN6DXt0Y;Bicen9B8!oyPMzxc zF&6rt!w&cST|2I;^*#m^7oU5+kI|u-11GdYhbGWw&;-9@-LRZ^uB@!qJ)Aj3Jo3e@ ztydnfXvov+o4{Ah13Dx=IEpmT8ZXf?z&m+E4_JBdkBw!UZ0YKi)~8z!$4`S4wOZkX zjgxoTZ5La+W}UCoVLK{z{Z5qQ74B1F0c zwy1n@gbMwU>iD`jwM~|+!5&h+`2OWLUx#$EfCzr-h2k=1I-qx7Te9e1KVs+XGtRUR z-h1E2_E8$3?_NE^BqJ0-`W|I6`wbkiJyvXc-~l)PVH_(t&E9zH&7j~2HoQ~px?%3x zR>26bL_g$09DDslhvZ9paOWGAAGq~+w29*270ZzD{^8TFY{;O2_QMZ9I2>5b1eR{h zd|-yJb3A{{+160K(FXKNvAp~o%WYRIddsxoBX+f}9rLVxHs_#KC~qc{iT1N{-Tk+5 zeTDt;*G9|DE3o+=f8y)s1V$@u0Ar*P?%$-wF<%?(ugFl*>gOx#BzWCw+VuLwv^-(?SF=2i#c^FKw?# zKy9!1E!K%=0t6lF9B2KJo_CS(_#GJ!vxLAof*`sS+v17%Vm||N*8gSwg^Fm{%&hdM!10g=EwtmMY z=7YX!2#zC1s8EkMDJBph`Geaaa2yY!6Blxd<890a!s@gL6awu4&f4OXi=+_-^5KBM zh#Lelp`c@-3!Rvj;C0ip*Hy|?*PcDx?Zd@;$|F8`ai{KFJoAloJQHB3kxq!iGXe>V zH$jMRNV`tB{dPYtCejUoJo(KX#Q`^!KPTYkB5&d#{G5R|huB9&Nu7NCTpMos@bCE;o+ydGygo9p2MNkG5aG{>}w0mLUgY?!WJT zK5aWqmfbXU_Te>8`G>s;;iqyOc3DaZbPov|myYGw3uDUgjJ^hln_U0$! znwy@B)6cmr4m;*z8M77Q!Yl5HS6_QKjyvTHm)|`q<;#_6weE;sHdPZ2zW|=G30#Cx zce74odl)syr_BR|gA*R|4Z)&EAG%XqNR5LP5QZctU*b)bLGnt3pgg=^%*!sq2xmEb zcfbf`#yfohx`*+ci6Sq60sw^PXXoMJGQ}F~i0kx)Jh0<;4Bp3R)}dPvi%G)Z`=@q!LM zoW^gSx$BpQP5|PhxXF05w9ESy8<9nQ-MpAOWs*GSH2-4!@=};QA3J_rmr`3fRMBe( z^oybDEup;7Lmm_#ylz}QCzKk9#4j3b

PpvT1lyFZWq*(=RxFdlpS@uX-{7%Gk0 zB?B^pHqGaMEVJ=R1(g>{VgP3!t2UX%H*O14rq>M;?wdPiGZdQw&{Z z3D<)I4miL%@WQh%C`D)NzUS_)ThRG}%0ylM>o!|Q|D}dTN4d%+8K76>net0=fY$Qz z#B06uZ$<5rMW&w*C{p$&^)gvN;RX;ob+J4jK5)wT=9GcD`fE+3%_o?{W{?-kMF+y!J`}S5=Wj<63zmhH*b#Z4f;N1 z%4Ee!%e$X@;W@9=eD;Os?YInEe#KZ}iN-lx%}OxCb;0)^UpkM2O$sXGb9a8 z=FU81h7K!D?nD&p;;6UYa#ot9TOYjlew37z#y|JoNAc3qbGC}m7D(%raXQ%tod5?5 zjo`VeU^L3PVC5^mD07%uf8b+Y9SvmJWxWE7Ll?hsVu_PiG~?is7v-S`O1Pb2?McigIIExI-I8DMQ9fWl6?bKTu9x{8n_wAuWP}Hs}Ng z@6%9H;vvADc=>$}<3bkP@#Zi}EIVao7qpvaqMv-=V{S|`WcxjWzUGe8=`a#`GH$(Z;9elkEl$w4f< z@S=3kF8jDv9JW#%dBoA?BcCn7D~JCq`)5TJa$=({OXdH%`Q~X+KsWlkmyi7Ujf~MF z?&ux!JIH`^`5pBM+8NU1H}rNdFVDF=I0)HE$YGfu{N@5Lqc2k@P4*o38f&f=`|Z20 z&+(f-dybDanl^QE%$_^Diw5?9vJ9ION?0sZR$b}gL@#QQlZIhV`Cu;?23@?kghdTK z^qZyTyvwx1M;79s2RxGx3(t_n^2@Cd1N!%mRaRa(-g)zF%R**fsYr_sQNQ8oq8R_% zSUE`vCp1vEq;WFrth}p^KDGVzt0a2Y^p9nhUco&|^qzQWSdw!RXQy;Z56|*SV`%DA z)5k-K*Ij#^d(i-V@d+M^i&rXgTwcIovKct5oQ;W&5e9yMys=I2feTz2aEb{-<{aV2 z9z-^m|NF(4Y=2oGWH|~SN2USL>D8FfQQ)myg*fAkGyP0`A&vFFkcQvHg|1wW@bLMj zA!W|-X|$3|@MsB3JSd~gV@~2Gbf5r{}X3w50z6)GHNsFv=_n6;A1QGj&Aebe^ zfahjJ1V9BN1EFGT%ite+2wyg&IH@ak)23FW5KcucfN7^d?N@ z$wfJ+*qLSw8{y=Q=LQaFWHCph%8_`P!ckUMXY!0k2G7nv|9ta>GW6_I6HOg$UL?_{ zmNVH({1MDV_u`6_uVhpbFL*~@`5g`k>7Wrg0L06B0q{G==K=?i8!G@D&oE6d}uYp#lGZ@w{#R0lr({NuRmzI&pix*{HW^07GOU#EMC|Am)d z8s}YdQB?KrWv9wo4L=ITfqdXC^yJ^U{PcL}ZRK~lyg>Ida^>(0IgE>Pa8brw=KMRy zL67c#=)tIKY>E*-{uHnO_thBKcVO_nwnv_LTn*b&QQ5OP?!NC{pGwXg(XF@M8sb#U zm_5rIdyC3T-2e_yZ-z2u{y}S|!@TZHG>Od&VlO ztrFK=eM_8u)&-)eBsSmtFK!6XW%$Cdt#MMnL~b9BdJ<5s*>jTZ8#i&h9h4b!QV)}> zJKs4JxRZuic=!z<_wF(PU*5~tl~#=}&op#srS(BL8kFa2Z@+2#LkNpD`0P!wdOocS z)yT-Gu@cbEfYO-;r7IHuxQ`k&!nm;`fZK-xT}l0^SJKC31x+BKq zMh6B-P@J^UnUs#p^EF~z{>{a2gv{AhHb9kCPH%vzw8R*5#?L9I(4)Y_6@o-x0T(oK zPwWGd0U-?9|A+npB(oFcE0d%)TmL>Q;i-UBz)qDCt|nVcJnaWpGEgapMUB+^WvN=EAHtj>5PAO^G2bU zblllf2Dn#ID0|j@S9Z%d8GF)ZM}uehV#h-In&VIwWX!MzzyFvXFdZy*lKRo8V?>ZU z-e!(RI=+C+y{c!g`0%B@aIwmdfnF3!?p zLn|lHj~e|`Oq)B+y4qeC`G8K2PXqd?H}&&(BdtR$2`4=(SBskDsLL+V>otFr-v#HL zZ+X=8mD8l~^rhjXZ`27pgrWu6qWd`h==`~yoUeT013I)w_*+!C4jj`Y0z-G1_}oF=UMCCI z8M9~1jxWCZEjo%-PT7&e_S{PLVM>gfJUQ5?J!#@JpHTm?>fV=Mf8&pn&YdGYmHT0v zEh5|oh!-e$)|IS3+$m2E#~FnWDP7VJDVA+xu7B*o1Xz4!p6$8EP8X$N6O~woE+eGy z-5HiMZM0BL!Ze*-SJe=m;LJq=y>RLLpZ;^d)iYZ8yiZf8RQ`-fHXk>t8pEt^W4cSbyEMV}n1h7i+GyrkChWn>sOu4_`xN zVQ90$JtWSyn|k0XClB`t~-hYjnc%;ifStxJTE#7tIp}&kyWd0DAp3*z`mzL+Jq0%fvAg6)OcUcPI0M8lHP=0m<3p%23%D@WBj&jw}>hh=@R2v;tB{5{cAnC|#8zb<5n>6H8 zQdAmq8s^8e=`*8QhI5%^mytfs3C`Utmovsl0Zx~Pyj3@xC;TcA-&hSPnNn^}&1XwN z=C}7Xwa9T&gG1wK{h|!ImQzDMVBo-*G<${*5UB0nFM9aX{++6SsuQwD(D&nrQU2an zSNZe@nU>3Oyju>}AUS#H_Q8i7V!gQfx@+UDx8I6!B*u8h(6UQBMjKUUqXcA}o$it6_O*Y*mw%ul% zIPdIp)$^Sx$LKTVCmxpdir*(pjo!U#Vo2YjSZZK(RH!$*$8Oul2J5aCL-l+5lwYGl zj@Oa{heR*Qd+q``Z$0Fk*tFvaFjAFlbJivEQqP2CTfs$*%JsxkLT#SH(DYrwa%#vY@rnj<*#ol5Aw~0Coi5UWW5xgo&>+$s6d2D0FVyI zG;?K|iSs|dm5}_Xsu+n$Z4j%yk!PqxB&Q1QS+?~HsnM^UAAJ~{9F41N4YW&ppl zC!cZgo(p<%Z<4e}A9a*J8$w$0V=1_eDRB$$+3$lFnA3Yxv(nekWkR@@57ng+y5ZXE z?7`PJHTrZH3^qec72-J!EQg@dGr~Jp?#2fVG??`CrcId|lg3Soy1DZ_jK=ULawbnM zz`v!poa7-*v9aWL`c#7u7xV-kX&#^NDokM-os1cs|7HRJ-@5FdrUHH#-lJw$+HCF;dxK2Ge6$k79H}yi|5M- ziLWdYsTJR$5qil7T^X|cl2NXPkWa=Jt4`7IQD^wrXG?u!%$__gW=cj)v*yLzNzGU!P#>)=qJtid%0=F{ z-*8j>`0l4MW7O~Q%SYeDlrKibq!B;I@8A3w55EB9h@2T= z;J7n%b%Qn6_TIHYOHiMxJVHQEhJB9ftha8Qd;WRx^pj8dlo}SvaCRg6+&5&{w_0rj|xIvn$4@pO031FXvmPe$&6K9T#47 zcI>s+9_M)jOLR%P%u9)?0h!Sa-wWvB4&*#=7ebmu#hry*a`#oS7Ed1Xo0!3u!aMX|OU~@B%Q6u(x2q zJkhA~6V6cK1{-YPjg}ZvfQ^6bo5E}Lfy9c(=5G|P1fwqkTsF}`l01Y(SRSgwC<2V# zGd>JmvuDkSj@A|r2hmGG`B}F6pI82EuZd3@&=64(u}3W2piGy13;(1m1spbXw;H(#c&lo27lts;)XosnE?EbBRv3@%S@wH zpqUF}lKH~BgoiqrhCJIUd&IoPdN)?Rs(br13UD#sL^_N+4G47OQ1C4?_%~FJ6z4Gx zR1XaYfin^rsX!k|XB||Tm7Pz_AiP+7E|-JHJUMZw3vA|vCeFx11;9=I&^>Iq<-G*_ z`Ilbw2`@CNfXhiEt-FVl^$fjBF0*trsW-=QRV?-(|Dx7~@$3^%#ZgBd=_UUxB01)e z!(Goga*SRWy)TB}acDVG?Nc=vG~CoP>N>R2i)1C~T5GQx$DepyJoW4|j`!!yHt`%B zL!>ISoun);%8=#4hza~-?h8nANbzzxRC50=m3%PvW$G>FQ5u~7qYpbG9)0u?)6q+` zPMb10&Oh%gmr0huPS1b={i0P4z(U!gs`4s1c?&FGdXu-_A_w57(J^q4dh|{0(WLn4 zlO*V*crICGdboH7pfmsGna}>C59nx)gOi3sOkJYPS6z9z^??hu zz-YUdL_$fOj1ef6fW$CTS?ZrEUcoSsvG;)}J%#}Sz=3e+b{nMN{?v8BhGcLnOPnwu{bZ;oLKq&{zz&mi`A$%|^E0bwZ z95>R6Z#@0VjDU{)j&PYg1$N@(VA=R@-b9Lxv7D4lWK%rINv;ippNb zg~8)psVXaz_BMjz3gMizPM)rm;uV0b@;kDmXUp&-vE8J|e&D_X%QvPTxc?!i z-+7lE-Lru|4?gsWJ=Ch|G&T*LLx;%ImO|ob0pMm6DwTP?_0}`pc!~S&w~y0slEfhg zA7=hi*0^snTdxWoGMyl}$i8ctu{6DbHCJ z@hsZlDeqE!NyZ1hmx>pBdV)AKd|p_f%1>{Q6=2jS_T1U?Y?B_#_!KKH`;cfH(P`sX z>5?v^>kGx-8tYnhuQc(^a%a9^>(ftUdLt2 zqKmu)9OvWB*WQlxH~+J@X?UJgJb3WdJ3Z%p#u;bW!C=1=i%eL3dGhHe+ey0l)|=zJ zbIy&ecH7ys!BZR->L4{0^+gXDGGpc8>Z`66Z@>MH%gGY?0Ye7+b3T$BW;V(z3d`&P z=}r{~TI=0{dGot;s6@sAelKcP9j+=DPpQjk^Eq{txHxaQp7b)+gEEjxU%^x96}{?3HnzmCYJfO*6dOfP$fIJ!SDCn@12|MXGhBa& zhe*@b9dOZUp-$(<2fCfFibER8PJyVCd=Z;3cn}9*D4UPmGBQ9s^p7;?EMIv5|5evq zt#I|!r4t-@QM~KU+g(JDKy_NBObrx?_(vGe`QvJO?JycBATb4jQf1&WDv%iDxh6f+ zFlA-POazCA_4uc|hbF`rgu@tZ+H*ntLNlrCEijPi2l$EL@!tY?2uJvPs6yuV;09bC z!jxZ{Q&3t762s!-jFgU{1ed)~ZXnYO6l{}!r@c8Cb}k9n<%Ul2$oM#epD z9}36>7-u|D1cRHP%Z^`7zhg%TnchfRuf^RA79C&e>z z;487!y{kQWSuCZa{8Oh*i?8GXa)SDoUw>t#WGHRUtl3H(p4*x&17jW(%7d{YQ~2)Q zc3~(No>4xO6XSwBdiUyWgWA}*KnAX7eD~eAarhC3*{cQ*Jo@6x&%Ffs&l_$OoB!pn zKG%%K6i*bd8fE447vFvVP3*nTUeTwf*1fSmZ?u8;*f6}okj!$+E+1ci^OX(4MjLG$ zPe1jfmxjOn?%Sr52FOD}Qf><1Mn_K+6k4+UuekKmIQFQcV#vTg@!*4Z$9La+6feK{ zrYFij|KfA=llYx@jYTr{&8@M~hHJ;f$rG$wd|L?lEy9pX5Y_`J0P;wAEY=(J+WMn# z!hjCpW&EFTj0W>mK0{H_I3frKgWgo#g87NZ1Nz4J@e?fHX6XYT&Ex}^7$yvShiZSP zdbV9?7Lxt__udyzKK6JlHEg+swzVT=Ea%N7S5#z^>i`7X7XWB4qwKG+6kB4fF@|*vW+G~m9x}#GEJwjUB|s^ zIZfC;Y^rLN_+1)RJu97Vi!J`@ddES^G>%1&K74y86)qX!WYjabS4DxveT*@$isX%p zEQeFE*pCBI*{~&CX;{t8Kq0&fsA&4loEfdJ=e!gTz*8b06oLlNpuDLJ9rWZ1wBhx; z;S;wAa|^8$+!f$BfXMUvL{sus$eX(e>7vW!P##<`%=<&AK}zTGeZ99q*E@g}ec()+ zfkiJ~4B?`gaPV^CGOOb-`Yt2970O&LxRW5=!Gn?m9Hcz8qcPro{f$`EDwCve1fJxh ziYbqq-bd<^Cve$h@ZbS)Gc9}{2(*+9zVWGy|NQ4=ak^B!n{|9b12mG3)nOcYLyyZR zXDEz@$~=QkIUw-8^@sm8$C!c&;UjG?x)YW{M z&wdtuZRE@vNBR8&@pV9y4*g7wA~;=v7JQ@Zfv2 zrBQ-nSw-+N#7rE9e!SuoonTm0vh&sr83_1^;U#}YJ{bNg6+xV*S*->JZ~E3d?})EP zjIblYY3Mj7KCoFm0O~j!vq{e>;glr-Hbc56L&H1r%t!SM88Fb_%UWlJmE#i`Jx*=l zTunZIj{~*-#($0(6Q-(%)*9b@H^RmpXHK=;osQaSJ}8;aUu45I-*Bsx(K`CLW8{+){0Wy{t6*6nppVs8 z0plHcSar_F}ykk8)f* zCWbJXqoM)n;<3t?)8;VPoXW764)VnV!;@nY9s{g8WnQ;;H0z#Lhh<#(LJ6F|V(^>t zV3hEJ5IFBKkO@-7A}#_UFAo8UAm+F*s8)PkUTmOuKt7NeP_Dj{o^`3|dvC^l4#*KPJjBUZO{iZnuh&!#ay9<3IoXG>X-z!vjt|U=I%`dwUqL zhh%|{iuV^>c0o*-HX+80pQ?trDIR?2K7Rs;`Ma(j6*zVOqb}ul-GRA;#`<~>pR!Pe zm232};W4yO!5h?gRLglNmLe?lKBV+jYoJ}aR>ZJ!x$1%HSlc4WS2|HvuKZN*Iy!Z! zzAaRJTU4$>loc+;Z`J#{4mDsE#nD%WW8Z`Ji!Ha=D$cyf^4i;P$g8eUFRdZQP8c8K zCQtNdWnTZ!e?2PknR?p2YI{k~JEBIt%d!gfOv*}Qh8lGiK+v#o&fef92gl}r+bZsU z@IEiz_~?tzqFm0x_;KSTuaME6?S%%GgH(`SAADs=I6bW;1`c*lgu|#OPM;W6)$-(3 zCeK|d4cjY#A4fBESmZ;a0oyw2iuBUMX6S`}>!t>_@O?kwLH6h_01Z4N%kFvs&D&4p5SOL)*13ZC&o?~BN;a-?!p*9VQhT%<)_w{l&&Zi)YZqu7hmGJVd`Wl zy=^GRuE;LYXc?b)0WCC4G>)V5i>r@!3MvxgL6^?^!Gz$``*mcFr52Rfg0GDmUc=Kd@;%+-g!> zy~8u*&Tk6G;i!1CUK~MLMKB(NNmRidS3M_$#P}n33Lu}!ivU=F09mxKWQw5jJ<-CS z0v@GOroOBQddz?VQfZ7^?>vO1-=Bkjt&aAkV)<%(GV zcY+n4w2O^UY6yu7hK#RkbH^(?JPlW<- z2NDel4}f=@%ACR}FTX7(85No^J`BnjJalsiCW|HT+)+|DjD`3BWybuaJN#q=G!xiV zGL$AQK7k*U5g<=irZMM14~p??%7S-9ypcct5M#!Tjh%MgC3e~EA93A{H~2H<3~BDO zKZbKl4`WT7GC3~4>dKg-UK>lH+2?ZX3CG7##~u^oCrykg)2GEh4^;eZw~o2>b^g#K zXT|kUp3UOJSr^?OwS$+6dGqSrBiU!qz2o8wFZQQGSVli%^3=HI%B!M(-@Z{*-VxjH zxJI-#&5Qm1xuljZQUW7z2m{FV%#rQ8?Q~d#M`Z@~SHFv_g$Zh39e_BtwRvShT~ibdRdC zn9))njioZa{d&ikSySTmFFtew*Ry|3)HiW}uXIaBga(Dt4H_}ePe`_2iRiK>Z<>az zNqJ13HpO%?l!Z~R>0cYeS6DG_z4f+OcG=~8pzS$lp5;Y7eE5+~$M3)Kj)x;zEJOJ# z`qf0uQ1x(czBz8b`KCDdfCHkTPK}Uk(Knxc5l=kyNUXZj%5l}Dms9z@S`VNXJ9G9d zH*|b`I!_~1%E@7&1aqUyF11vwGJLokf^@_Yb2*Lm4Zbrkbou3%dyissYm-+8@|AJw zB4wgy!@Lqb2!Jgx*ajS@7?hWdQ0z>jzR_x z8KSoZXA>H+F*Hz{{ADxuT$|ckvXLCnul$KX0@5Co?Gbf(b9|#Sd0ontV0Xsx_c*dro zC+f^d@d}ACu&__b%rJU7en*J!Xs-l;(h^7g+FY27gCl&5OYumjm%1QeaZ7Ds5iSt> z{CDvsut3s#aDXr8!95%3oM*=6)lE>TY&f71KoMx6iNg>OK;uSwD@g%Nvw-rT6#Pc8 z*%)OY4a_H=8&@VA3u%G;M4ImD{uqbfIjs9TfIK}M*sexI`7jWgX(et}ICD?W&_iA* zQ@m-8Jo(h)9!qD#^xJR0?fE~( z(ix&)|I5v~-*)@${*1_j4?PqQ-1k5{|J)1S$HnA6!-aUljPdiS@hFm`BH8oxVNNVC zZ&a~4sbTqHE7%F!bML)l%$OO`(pDQ6UV2>&9I|p$l(Ju_GRBSh-Mqk=TPVIUH8X?L{ z6#jd7zToHxK~$7gMz6vBqiSH^s2ormm3j{KTFZL%l-D2`se$C=b2hCuwKt`nvt&3>Ocp+H zG?>*vzZa^p=d8i+zy03%ayFnB!nh}!_Ff_ zz-IWh;_{xQ{OBb08GV2I-FKYNoY`sEmJ8sHogg0%t?PHT6O+CV{)4&0(O4p(~RP^+d_v(Er1co>-VJ9pjfYH7e#xp5w zp4$S5#|0rdO(F`Rs1oA2={E&Jr~vVJkD#2^O(B&&;dH|&Abr9pD5QZ=7O?Pu<1`7lEYVQt7Is zBgs2Y4?_#ccR=6jc<+NxzPS#@+2wwW5n57@joDZng0OKWQ<;9y>^%&>(9_Z zgQI!+oVZnbL62%cZC~pGy=ThBnT9PodDaXYUI41rS#6E@>hsUdFNO?PP>+oj zh-1c$^WLPH3=IzGAM@#rRjOwse$1_(@5U4Ttgfnb!?5Gl+j;(`k9uTx%CX{8J{$je zqj*F*!6)uBOchNy0C(JWhx~!IxaIcS;=@lq@hVH^%Tmv(NS)HWXHWVqM*y8;2%9_y z_8(|mUw`ps{Ar!FZJ>#ZY$-SVVKGjFoC6jxop92L@=c{X>Sgc_-^b7B5VDp)Scr4s zsb@)7+M;)5uUK)#rKIb<bjqi~GkxT=uJX2XL*;}VzW+h~T_!yO7nkEGIs01Ej}+d65fSl>m!p3CMb3y2 zXUIxPAq<7Y8L10Sg~2xaJ&hZU1_hCEO_s9|t~5$-BdWU>V?d;Y&4qE`VocS92_xg0 zCRPuTxlE_b1apmcf2g!q)1@_Q&n zI9U2leAA@V4CAP{nf*?2c72On~vhY>xbDHd^M8Vml7f15Uyjh+S` z^l7J`YGX~$gx(vA3~svVCZ9$DaE{}@|NDygA#bs*D0bXoJCETfC{%D!4kV{R`3d*z zsq^DMFFhSM+;qJfdNo=e4lL+^%9_DpqERg%CAk%V-` zFt6}JpV7fIgrDf6!37oRtk+_4!-7VqBYl=h)-&Rezg1c3a?iqHLdc!C(4`!Gj2J6+ z4>{n#m^fjwd*uWA^pA({dnlGyW5fv#=bn46H~+^&A0K}Bkr$URP0?QsE;W!I)2173 z9E0Vs4eZk|2GrC>dsAzSAM;xrdC+08*Y1179=q-lx7>7d9JK!dao_<5$DxND9>*Vh zg1-oT@WBVg;VSQ0XPg;FDx3zjR*lcV+J3S8Qp>1^s$Pg>ShNV8!=5DB(!iZ}?uF5- zXI1?2)9ASS_B-Oi`yYtwuDLcIyyrgk6km@=A9^%qO`9GgzaQnZA6Z4|uUt@9bOF?# zJVJpDSS-`5r^-&xyYIP&c}yn*XFkg|;mWp4V0|mtXx+07q26QjJJfIiT{I|+JB~hf z*?FQf*1ZI&z7=|l1zjQzc0!o^+_<1FiXMC9j!qPlNEjI-k|w%$rINY_rZ;5BWE(}L zd+6GMu_zeKT)2FKJYdH78N*J`Dk(Pcc}KxSR#y)xr!y`p9fTLcU}Odq6q+eGK)h7B z(zDYG0gVSZ)1D`V72Z?ROltvm79e+44R!aBG9dKyNmhY+6F$*Hrjjw)3<-}KI58P7 zpN+T~7mZIYBNxxzfiUMGVD9S6pGL-SV}FmLwhk{*E|$N`4M0X*@D$uR zFY+dgv^Wezr>BLHV19re7raY~kydQlv2aeD=UH0Hi<6jk-(%@+2;mg$a6wx>zntT4*Gm zJvQQt2jJo2J@MKKOV!)OGnYs4zziiU8%75Ik_UOswU@<$`SatN>;5I9S`k-Vd1qX3 z;dyb^x#xI^Z|X9odXV{0riQAcRCuFYJ)pMeXp_NbkxYTliwfn17KVN-^HP@2cLYn| z;Ri!;e3y#&UY@RS8cdd-6UGVh#Ooon^}^j=l!m2Q0jONe1NK5uX6WLgr$rhXMCzhx z5y{-ED=Vana(*OJ=&Gj|rMSc|E=mp-xae6cRhO>Nn4wDmTQE$6bb`1gGPb5wr3ava z6_4PwLnVg8`=a(vH5`>OxUzRV{?H?F(upU=l!=qNdMCtZ6ZtK7-0opRbeuVszWw`o ze)zQGPmC=#+0=7k?CE1@ncmkR^`dv$dFMFw%rm?al7?~2)Crzbp(n<^Cg@{6u}by- zvNO+*1NPrPo_hRoFJKrxY?x23U}%&@FXp%Y@#%f|$IvIhJlu0Hy<~YUz3lRCIN|?~ z-+mvzj`=0#t6^XT-SaOz8^e}eF>b!)hB)tni(-K2p*M+~C?^IQdj;+2DBCBXZr}NHI6m4ed6vr?^0vLi8>|GP`@D6TlEO72MTL% zlTJtmB)=pa+kQY5U=_Np!ku`u!`R#m^AH6Qz!BQEcNYy?pX=c7U=NEJF&jD#OUnR{D=@t$}< z#+UEV>bVL*&NE*sox@XJsbM4>;0_KdI6VsH2A_Zad3#wt8AC=-wx=7N8R$u*FpkOU zP!=i9qIiAOmof9V@xiAc=y4SE!|y$|E*?1Ff_$^*iwv`paX}Nj&C5~&CZ6#mhYug_ zc?T+#@v00s1IP~^_NnRV$!<0WmP>JdA2&8uSbn$<*E z{U{%MZs=t(iOgAtY%0cczu@AFJlt``rI(q8^Upgw_TPVdHCPdkJp6>`orVluE-t?G z(zx=Pt9@bu^f7FtjN`&{PK}<`HSxj=FT}^6d>uF5aCKaN!&T}*b;N?kIyrcy9tN!_ ztMKRn{BKfa9JSBNTI?n6%sIWu2N&fS&740s`VSo7`6);n(7R9Uyxre@ekChO z`A!)NDIR{}@%WdXuekPVZ`7`k;XeJM^W2l*D*-rSH8s7Wy+ID6%5%(ths7lqUmSO+ z7sBS_C*)AFIi8`^_19m|PLk_Tp+1ioF~Y<1oW{bJ!bku9tA{diqJUq%|5^31J!Y$S zL&G(C#Md!*&XkxoZAzSe_BFBI`s+L17F%uMx(7eu9eKb%bd`Br`1HinPk4{iDyyyP z#Yz({ZtKkxm2U`pV^skMRjylSVZg488b6$*wKGDfh z@p;apP34u*dMq@{> zgf<*zdVE!tHFX0OM|ZBameyo!l{t$MveDvL8}iN-gW)a4V>3_y8(Z?!Z!TyiJomz& zk}fIX7)A(oc^t0B(a&i$4Mibe!aAgEd8mQPn}wTskkTz~)Dr&02l7XFTs9886Gz|$ zG(eBJS7=CihF<{h2qP`WBK4`Ll9cK7fguSL;5|x1T*BZT#mltt9$Av79+Lr*v3GpQ zmvB}Y(qm)}ED196CgA~4xZ+?e)WqjZ zSe3|p1V(@pLXZc0d5${#2oD)D^wHWv@1b|R@}D=Nu}KX9L#hS(Fk;YvF0$pIR8Gjz z$DA0)9(!yYuIpH)4#-3mtF3~1PtG7`?qc5 z;tMX6&M&ks(HlY^01j5g2+%v2J#$vn4jmlpt+jT%{_1~yig#;EqtD)Cn5Vw9Ga5@o zS5MJcTOBQx#j!v}6P>`3@-_uMFH%DT8(DlKOQLe>hw5U7e$#>AgbR$Zx4Vc2;&EhH zb2If%brR=Jo-(IEc%cF87C(5#0QFcT-Ww-+C|)U#wiY#}YOu%$`@yhLkIv$#ELE>U zxqAckE4hQnORlPBK zDx8w>r`1+>uVcrZc9LWk#qp<~5+|H`avXg05pn_+D8KLmkZSRFft&&8XK?^~yU1hu zteNIN+Y0CfbDt^xj~FpB-gxZ|>20Nyzc_}in7(7j91paVO9!}nxhlFDhTgfAOXXA)Fc|b3fY7uSO$OY+cFURG@xae;^LoVjkP zuE`=8Cp_W$-};y?%7;#Io@N^W4g>hlKIYx@%=p2To%?tJ=VEU!ol+m(Qv!kTb`{QE#Nlbq0EI5^y%m7d31>@Vt282$)D2 zGa3Z{E)MYiPsoxuFRY6_yOXxTfA8wBMlDmIwUm0ohOZulH}hOq%M@HD^3A7 zMW$h~dBigR=38%zqfR;^-h21cxbemt0W z>IPW-+0@V&$DDM6`M&r5`$SE@Ua{W+dx$?r#Gt_gyvlW(ZMKyzNK;j2%0h#D*1yj5 zF;OQUe_T9#|9x@L0sF^`Pe1JwQ>IOxl8&!ZJ>|>1^p2-Zo9evbRnoU&>l-~~!Z5z@ zlLl+U4L9(@oslC)dJzgv@E4zb5g)$)frl8;qfIy6H2U`Agcvy=4NcL4UJECuz6@Js zMQSG;BoB3mGfcPI>Tj{q z@Kt<@2=lLO#6RJ<<1KH?R(wDgsZ-Dd{}_5@xS5d>oQK<`m#oTt^X<3%b%T4wr-_p% z#U`6=7JvWy-zA4s=j~V&0KTBBT&{ZxH>i}%=Vr;Ern z&u0m@SC()bhQ+ zmULq|YtC%1cpJ9D^6|x&UnKgZL(KCeJxDaMimgrQ7)Ir2BhE`q=S8L=#WduQ>_~>IBfrY9( zA1YtYf*ke353$Tr%c}R(>O}yYr+DNMN5qv^T;Zc{h7DUWejW3hWy=O|J|RO-i*iky zI!z9UWJH-&aXJ3-KPCM@!eS6o$9j`r`cuS&u+SMjY?c zUa!Wgp*hN17DnUDxlvPI6(!8+(jbX88lMV!tGY8R!;wKWWL4@Rm7{cOsLFV5TNLH< z7|xWJOhcuMc;#|^N|9%qo)w=tkRlqm<}_Syox+nADs+xxpr|K3+eDiT5T}619gV88 z(m3kSebwO1kWLlH(o6M=!2`?VmOG!oOR)YYBPdx*C+J0Px79W=c*z;!+c@dml&G$m z7pMN~x+rGuPR<>+q*lE!h792=dx|jV^eC%kno7E$r7e_JqgS^l~+b_aYv8aRn!8E%~#)eO<~Dq1Eft?YLvjaI4m`~)McL?t9-K!BrjGkBW8wkS6O)#>ublt z)GNz&oCXY?BEB0A@<0zoi=xF8)gL)s$)IPWMdRhxSI?P=bfLw@t_#D2C(Y`lY(z6S zGga`stUeR$l}viY&;}Qcl;q_LvOHvgfORGBEmc&Q4WvdPF$U<6G7^@b$s@n#IsYaO zGy;S{4-Cq9avbg`KYZXBoa}|cOW>kn5za-N?7hPW3@~Nz{Fd;OmN|?hz(h;V>yN-Y zaA(Nz=gppD1I;s)5$H}QUQ5QC%f~zOLPGXgkuoA_pg`%7Nx{1zK0LtN^s!q?2R?er zq@xUk4H`HkCQqIgwS5Le-@g4_K6*453XBGNf@g_0i_r%!7mC-NCZ5>~lg~JW?xYu~ zEV)csHuj`d4#E}5C#8U+i=Q$o^~`5r&|{Qb9P)|k3yW07iW1phd44K)b+2mc z^V#R0C)&%s2^!AOlezuQJG|67(Uj!D#ZG@t$6(%zO2b|+Ht}XUNyGiwXP*`QtaQ6K zIDKREFF(gC|NW1j(To=LI-2VnqD)3=-mKYPDTO1PG+6VKF;VH8Riw8ULU zoE`TZct%`%(%G@nz@=jU?RJSB{O)4vTM|ys}^x`%$_tm7O;9yjqv>Wb<*Fum_B=!@N~LI)U4hhdV&mb z5}4~`gaEx6KWTzT267$Rea}5DM|8$A7Y)q!;rTPH$2<0t;b3GST^<5-TIm((_}J(2 z!-hGI>!0Mj&bsUQtG+CbK}RxBUnnah1cXD60bHr;>U^Ln+agZq0I#f&b(zI1^c-Cm zbeR@_;j97>P8xvswlR9&wZXTb+r;U5FCZN}y#Ij*y#JA5(`izmcfNp>PkLut;h{lFA;Xqe1ky|; zNDS$YBooN+P9A3D0=PRPdrgZO3h{(vP|G?wp;&2%&r5~VB?NC;LXMYSpg{PN7nbP3 zb3*Xoh4>7aCLq%SpcpVQ-xF@-Ep&Jl-2u-j199?v0iFonE|AJ2AuB)1)3xMX6{oB0 z0?Ny8?%jc~KLU+7kaZ3mP4b}0C!c|c;{g3xk-=M9Sndu$7ta|7?8rk%soY6M9qp2z z@}ptkYs4trVjOtQqD6YZupJc%Z?j+D0rnh+4qYNvTW$3iKVgF7%5>XP%=93aiV}HB z`qv`kLVnN%Ej+XOiN-whFw+GMnSOxZrc3&RzQ7%qy&ywZl1#T~%s}JLMNbcUz)hU4 z3pxsMnFOYBWmLaXyl7Y;i(a4?z$?@%%b&Sb?{`t2-fXG@QGps8o8s16Zuj0Wh7T{g z;G($p+UwklJ^IL_W0Q?Gjw$0O`I&M2<8(jvh@+x$etq9`9wL)%c3% z3d4cxUs)3m-~T|o^q-gFndhF1UX@ibQazY8R$D{$DdNLVKZ&1y>Y*NNM~t1cL_G1* z*YVj$pGC3WvA>9(K63XSHT8goEhSI*x(TdDSLjtphE!f0q8W3 z)LWe;XM`^sD^?eNhV*hH*F7!UAg~(%b;fmol<-&bz!}5b__xYN4X|^kq9SL$VoW-Y zJ4?vw8ae>Is(LAAN(X)ey&CgK`XId60VOiMTmW{MGLZ&-rwrurr?uBoS(39) z9i*qvXha6W^93&RlY9&90O3BIT6t0)-Vp}}j%`0QY8=f5-xS$8XYx#9!rR?Z$3`iK z#e`??oBb^razcQFT>SQq`2xeal5(VR=Z%tfOOtT|gi+~yz|XwjpH^SPN9wGmZu}a5TGO9y;KXQp9Q1xyS!ES}`dk=| z9~n^I$rC4e(=5C|CYfIH$~-WAraAGB^vE~s40I62ogO>7Nqm0|TH*Nuh>urIZx}C5 zOtMarFF1*padM}uc$mnGO38c9P-6iB#tCn?qcAtaJHE1up5l72NtDm3lL!1~=#N!# z#~gcndUe|h=vgfU}dgLT)BOE0-3o_XR)_ni3@4B!3Y8%}rMdAHM0C)ixO z%yR0*s-c0_B?b>Mk3V?#eQ(fx=J6-v=aC~~rD4m(F-IO2`|P_93gE^T<3ZWrU#6QO zD^?KNF~jlEMfqG`^`7~(e%_Z1Yu7?fI)h9>n2&{!|fpinFTDa)czG z^v$P*vHtq&#!*Kd6`O6kQ5<&YLGjnEwy?f1=Qwuaw7BEGN8;&!zZJJW^<;ec`4_R- z7JrG?UVp>9Z9``{;;N!F{{6~-e171a4?G#AJ(r9Fk2oQYIOfFI^6!67pUhDYmpTkx zX(WN6CTO5;clvxwwxa;_w155em((aIK0wc^PQ7k$z!%=pYv1pH{e6lH^`=kXT9?`S zMIO3-9`$oPuSWUayYGl+pL$B^=;<{j8>Tve9`HS}$x|lzcrC&J^0mFvyS6q~D5h|} zg7EJbo{!N#{1CtV_=_D0=%EgghWfP9s;hL-&BSFHPCLEJw$M9bE)fTB+ika1-6#yJN=D+8c#+7-`jMY; zVsM&~2p4Ti>RpxvTdXoLZ|YC7h+pK9=c|33nD~q?(LmFPp^G?1;D=V~z1oHXLkeI* zxV!O6MkL4K&U*^RGxrQRo{1-Ep+V#~MEE&HlyjtaQU)&7UQa%D2|xw$@F4LDq(Lmu zJ>Q%+1qV#GF5VN?{h2$8nCMByo-5H7dtfivAFcotK;E^AC_*E#pa1N1=uy@ehP+)am6<#50P=uWqsNT)!O;wF5ucT#6DE%D8tTgY z+@J-j-l7y_93|bN9H!Ay1V3mH+-X z_Sj<&hx>g2Po^cO$wP%SECBC*{AIMiEbKGYq^JzdpbVtRDU9aAVAvF+SgpPmz-!%^wXU%13@#7VsbXI7c$kmU==M6e~2e;$eY9#mSWw`bf|3 zEC;1lE_kMC1!vyZglrb`Lyky6MwteBKkN%)l@~)oENy2aZ13K^EF)#_c%1B?3|iI| zz0)&dg1_|I+@OhW9Itt54B!j=K`t1OfkOtzxo4dnS6zEeoN(fa#!DWQXZsy@R*$Al zM!h_4x$FuVp-GkndGa-B=IKs2{zQMPjEVT#{{3u>?|<-tIQGm_WAefV^Q~+~`b0@l zM>25I0F^gl>7|!;KJcPdy?4{oZ-9+mzk&Td#0Ot6xX1!SlJz(7?tj5Dp`KuM#0!Ri zN-I^*Rix>CN}>`S677T9WIyCh*Ug^m{0G(ck4X#WM0u}jpAd1$#TUi?2Oba)KKNi9 ze&}KG^wZA-8}|Rc`_8VN(9*A_PgIvz#muSGV(rz}jGnbMF?{t^nW=AA^4Cgo)!U_qns@L`~0hL>3DoPB`HNKhrDt z%U}Lt9*9WwV$;w(I9N!;aP>{M-k^H8j3{o4Uw`>sPDg3%eb7OAS01gzl^C$ihaGTG zyzuOE@wJ?Sop;_|xZ7f-75TJ~dQmDBy zMf<9905;!r6VLqu;4+N@*A+q6^p37_h7@HlzLb3QJ|Rz$6hXC-UJ1`RJl~0Hw1uG_ z$M;i2vjjtfl8p_(PzVhi4Pi>Bg8NNM3-fYi$v|E?zdr(Lh)?CF0C-2~JiW#7NlP3U z1uSF(=b~1rUZyKC=Z_bV@7*B_1{z)dg79)aKu(w53!m<}@%&?1a@?+RVhIb4RtCxk z{dr6tqmqp_r&Qbf?@YsSc+y-{3?FJK%S$+CqJe`nu!nr%H@LIXrbakbSO6(tjDgHw zyun;naFE`#>z~V7C=Yq^jyoqbFr-VGjGLht(t&s3!ZtN*>7;0kFGF&?L#c5TXr%GV zF=(~QpOs@A>%*8k0AFd?yirqe!HL0Mv?vX2K~t6&z;DwmoP=Ri05(@s>FGHsmflmb z5*@_jo>n@hXMkZTJl+O**Oy#zd2IQY&EvF_PLADn*)>i-<Wet15#YUA;`^f^2sN}6<1vzH{W_w+;+$9aoQQDdpHal za4?>e(PD!B42AzNa#ZrNDJOCjJ*hq*m(*x6)W~N(rca-y#;hzY!VwS38M+cn*xN*- zjpIl8SwK)xRvLV97>9tpPz!LLt_v0FVZ8L{(=mDMc;i@orB!1XFUR3LER^k6VqlymmE=Xm~a z>rMU=TWz^zoT|pWOq$AQ4RwLuIe9}<50!(ulI2}3`g0us&^Pu$F)e@`31@{Y4Lf0s zWUx|?uW%zH^a_21zZs_;Tj6@;l~>}z^Dl7Y#8(VBDhekq>pikVx4l(_MvJuKBlUwg ze0k&Ii!WDiXRA2l>~mxM#7VKBp-J*c2Qer+1NrisOSRn25po_nbg)M`XuQ()0~`_b ze(}gf)?wFsHX--O4qXD``HsWp8m(TCDuFMy7IetgEMkNE;;-352axHq4bmN_DLGEi zk$Ur)j@;46b%^-;c23yYM0gNN0~)Kk(GJ@(i=GJyrh?DexJh%#5um76h}C60g_=-mr))ykn?Z_(Ul8bUA?$+ zK|9af!RxXJOiNdui^E`Ej?+btvge%}TU}Hr;z$gB?{{7Kq#*`{rg9V-0!~uEvt?d` zxXwd71u`8RfQlEKk6hvzTwEhYj*L6UNyn z;-L;(am9G?-!HpSa2nJ}`DR`@ObrzDVGs!80!+Kg;QaJ#ehJVA0D0$S%%mZ{(-tPv zGoA$GnsC!w&E@a{3spe&H)Xlg%bGWDo}Y`MN%z)v^h&ao5oEO*X%Y`oo_s3)y2W3js%LM{ z;Vr*{dXUl^K9Wg;xZ9q4#8Xc_Wut!O)mO&IAAXEp{c2;r^pVw>J4{wv&6uma`fi^erzmL*_lpD&n7e?-LiEn?3O^S{I}Uy3~Wm?x9zzd+?im zZZv$%Us4y?V}+9fkJ2i*RDURU2I^*Yuby7m)31N69H9=|2QOe^eo{2~G!*n!yg&Ka zur+U13?*Uu0J|XJ+*2+b2eh`YD!PeucRB>Z@cOgqq`^3MZRz z)@QB`uZ;9G)y+6lEa;j>i6DOT?it5T7(?K04eM+31R%qs) ze`6bw1Mzvs+!}IVqymSuQVs4>ODq{XZoj=8FqKpB;4At>T_O#1QbvHI_;7G3>rbP9 zj-n?XRm0US5*b-3bpiRl7$8LN3%?TDWT3hOzcE5-e+~^{Nzzd!nFVjRgzf+zGU#@%rcMAd#=ZMP%#BwC77-`qdoiVvz-StkoMdQ&i5Uf`A1GT17zj2 z&@KLyl*_wUueM#r>ckUH@ZqbR)WK&Kzy+;*QJS6{4I1-V^jg{HHE8AKO^@dorC=;2@Zk1lZchE=IFy_msE z47Cn2Jc(12d;RbU{L0ejNh0@|HOX-t92K$uu6x8sAAA_AthAyx@qRaAlp3)ueF8&8 zuhb~=AxP>q!_X|~;e5h&2`f9R^x){V)rnRb6nZGkwc=zjzck_p>07mQmb!}GNLJJd z7H2>!eB!IV#~yu*dc$%M3JnMwuz&vP=lJ%!Z{x4(4Koy;^e{EboGA0e;}6B0x^Z#X zq31?%RoW|-bV_+C4lMx&e;vsQnwshxRe#FO*E}E0)(@Q4FTeas&PIQ4*I+mk9&t1n zdI-IhJvn<1dR51C=Od=AqLumu#Q;X#JxujBhA+QdTz&P`J_%)?z4o%)IE)&+IKBt% zzhC@ytG~uCzx?hqAd6M^t&k#th;&^O9xJEnAeptN|8-FLnyRd^w%H&P@cEWxl>r?R{%nk57*A;utmTS$sq zj8TxcuQ2{`=kp8_t>1$Q!ZY54dodUX%I|_EZ$78zv3(Q_a3=FRo65(Z=5997Ku^U`nKms)0NKf@auY05lvy6)l} z^B~|ukEqaqLLCNVLz!g?z;pPUdPZsZkNA8SiHhPlde1w0LVauGsY=P=Iq|4?EH%!n zSE=yKkK!3Cq4O)(^9ARh8&_R^MSSzcm+|q3pT)=Te;EJz*9Gy>r|( zv@$eh@`kBI&_zTxP{04d2l4i6Z^Q>5e&}yRaR%LodglDOci(;2liqv;b1C&Y4pa~A z&F5eCA+LMyzDLX&H!0@MoE`UFc|%MdKhZ-}7`I(^-Bq-9D*b!r|9<=J7aOj>LA?9+ zd$I37_w{0qu~R2StLk8foR+c$ssr>q;h*%Nxw%o!2#aTmqHgYduP~%1iBWA3A2||; z-YcKQH$RmQ-N*3IgR(qSZsOxGpz9nw%==XDkdJsHV~DK%PFJgv^=MxdBR=^ercIkJ z-hLco!ihYdNQecP@77E@urCA z=kznr^s2{)9)2XAec^f23LB6iJt4NKFu$|y-?oY6mL9BLXHoq7->=%%FtkWLE0gZC z!WDg8LUr?vci)bMD21_zMZ&?}Mk*TigzW*gYf8(8^N(JCFOEF;ka+K%_u{>G--vf! ze>;Bs<>%PrAG^CT`$4_9J*2nmuDf<@xy9Boe%yp;podJuPhBP#;VY3Hqai|;tYFRi zalNTrmz@jAhb<4BGl^c!5uZ8c40|$vLA~U<(C44x@Gh8N=lNQfLusk&Q>ILlDq%}x z)fnI86Z5I1;F`ilvMw7OYe}AyxiP!*ACZ|4gg~7BTo(B;&M*N}!e%#kA6= zM##!0urjEyfbWFsLLj_L6=iXJj1NKuC_q&e!(hro7jf~P7?OZ?ye>St)FVk(m!gYi z();V3g)5f>JkXN!rs9GhXMuR+&%~-;8}f4RTn^sTc;{>ntz6;Oobno_)#r7slDA z?G*nyZM!(}_#NWRGyb7Cr^I<@pJQB{vuJ&hETD@`=w;kmUmD40?x^boq>_c2{-3?-;l~#`HuD?kwof-v|r$l&m*kQ-GQabXd zHP=zEZr!-?=9@U05jL48Zc0?=y%AlFEj>Zb?1X20cZ~cFKk|s!b+>=G{&HaOH$RRv zZQE_LO_w3xb*G(T%gr~BQF1I9_N)?rFPFYzy!mX4>x}Zt@^tz1yvFLQ#O}N88pRd# zh6dQtW9XR`n+!3YdG^_IatEr;u4Jc4>~S3E#&#n!Xv{JL_#h_E#ux8>7&~mUUYvZw zzHz`lyT4%@=yKlaa zAAcI{mAK!2`+fZI!%y+ucO&AJS6+<^F1RRex#c#|pXw8JFnMJyH7QSAWdn{SuGB{LWPTTDr&-nsSYz#m) zdK8341i`=vfVc<*h_AsGH#HIYiC$2y4$l$EF!*B2c`F&Qd z41{Aac?XTg!KHB0a*>8Ro;*rK14}x>8HPpKxv1FCMA;~Zod*P?FnECxLP9R1c+F?W zxBL6HvC+mG#9ubwM3r!j;Oxkmb7rc5GQj2Hqwb%4{DCVGbn-Q1WcuNU@5iVSKgfWk z;YwBz^2rj);0b1i|3njX(_NQjyLir!3tl|Viz}W0nz&F9NvDfXq(Ls|3&w`VfZ-kT zL_czR_k49H9sI*^@{ZrcHGc~44a$d)@Zk}&e}_EGe|eJ&TiU#Q{eb=VjS7_;J{)@R zS#i<%_rxiu-57oQr1=N%(u-kE!x9(n5*fC{YcjaKQ$2=FPwD;;!DkJbAfi!GM7Vs?&KVif-hPsS;D$}MQn$2KlZUdjfGVIVy^;Cvf01^P4!~+wQVc%xi9ls1z^6qeZH>3?DKV z{=mZzMS0IE9~}JH(@)xg1%E2H3Znv+ivE_?j`;YK&*Jk>#>D#{z1<~C(Q{EwZa zXT$t;7Z%Aesfy>GeJWa1t~Qn9)?06ha^bG3{AaaYGRZZ zWJpMEz;w^bYEZ*wGEfqQWrJa13K&8lJoU^9#zffw#L2jL=E@Z#y$4@1b^waZhPB1G z6Ad{%xH299BLd`i`FBp&6}K=CWnmS4^&b>7)iqycndRIq=cI5J zY;ZOqW6aE{@R7X+DW)BnLb;)f=k2!HF24TuYs>1hPrr!q6DPQFb37>td1eP7%NY-m zXB$I#a_|PFq%lan!BlQ^jML`94S*v*`!x50$De7@YpWU+frf+lC!cVlkHtCgzyt05 zGu-&!|GpBVM~;m1F5EGWIqICKDjgmT^>bt3GQ;Bh3onTCFS*DKrYnoC3K>B1rBZoY zhIr`msc~dTgv!UtHo~|l2ScOu2Kmhi7z_{1Rl{)C{rB3#?NIz*CXS1o_+U00s+#ZlkQLci&ovw@N0h;xG8X>j`@JuV>DKWX>ncUdbE} zdI6WMKriwqyj+a|aXFcUh8c%w)QC}0E`6iU|NQk2arALVI_(Als1a}f zyzz#y>t1_BYe5nCTcO6)3pncPljET@haY%wJn_&Y@zM(~#-7{n9Iw3mY`pyP!!f^Q zK~$FaiGB7u((||2o%;HzF?Z^Ba!}G77rF?K=gnW>`tsv%^JDs~1ySFGo~3$ihZLs; z9_X^H)V5G2uUJ$VykZqA^&gvIJ!wl0s^3*72b*5`si&T7$79B`X{EU-(!kKsn zSnpIZdaAK;Vf-$VDw(`LuJAAB0`zWqsj_x-Q& z!AB!v*=2`Ic}uJ;(22rqC@-IheLf1w_qrImVrXlPHP%SFQK0FMV7f(#Zhp>?`2cQs z%EgJ%nSLAr-vxiVp`_b4pT)-G>LadZ5HufQ{fohhUL^wUpU-gOQ2KAro! z@4oYA`al2t3weH`rKLyQdCQft=DL03_Pb73y5!|1dZbS>*k$ac5r1qng*zSandqx# z=tMk6@2F_pZN&60hoRRrzR% zkCu&kRh%EkGppne#aBwO;z`2p4k#p2_fteV7`pq3GbvT`>T z#iy(T2c}^)K2*8vs>9;5FTYgp>Pypy;pNm1=0yh%8Wbz9zN+_6j{5Nj57`1)_qpi( zkT=gXn&OtqB=XfO>+GRtZu`9%fo-Tba(3kQ0KmpYU@^ZbwmP(Wz!VS-m z4ZLCz(Zv^C9>*P!4GHEw z`I&Go(h@g?CnH5T6(tLocfa33jR>pk*p=^cDk{Ao@VxNSOKAlkv=qu!T-dk45l7sqY|r@AC~{*Z7)yQGAo}Fvk9$Fh z`cf|AQbU)CiPNUI$AjMs&oGMg4$34iCbHRTy$m&8RE zU1USdycc_@&{Ois6^`SMw%m357%}O0HM|{O7G5s8`5w{2(xMpFB%XIHjJ5u>ZuB46 zFIF5rELL81wYcDd3(PZylEK5g3XM*ES!aCl(+Gbzsn-JaK4p|TWq9Bf<;*f-u>yDI z&fsB_7Xqcm2%PW?8i|jGOkVsZoMFpp)24Mf4Dgb)=r1D*I6m;FzNIN*>Hc0uU)i!K z_S$;;_*%WgGU+(SV$GN_E4G%d?DCJ@qO(Hs&_9N*vR=3sgPfG7^+EYFp9&v;RzoeV zQ9K&Vbe0{@gfYnvJpgIZ6;@?p*jSy*P$|RLf7x(je@+Bi=_Rwwd+DJ=XKT1#0Bi?xbt=N9+t*vjA7oNc@>Nk01{qZNS zn6^*@l|J%|&L!s%I}T5{XjqYVwgcrArT$1PFjaclBP%LbE?5Q&qX2~SyX*4#)oHQ} z$awe-u`scgXnwN#G~~@zW4f7P#Mw0^-AvjI%qw?s$0SN;uQIeEku~ zBQxxeadMde=%Hfgp|nf`M(mG3cpAn_jh6AVf(?&E&nOYSD{y39awi?YZ|EQ{r$d7W zrB(!oIj%UL9SV+{G2YA*487v8kr3~gXBI!?JZuCcGfwh=PA)L<9w0Ah$chP|ScGAS zTyaz$aAp4S4*I~+TSkQjn_;6|wwxYggJI3|k_X}7A)Mdy=GK`4)+s|4CGpkw-7 zKNnjdIH;+&BVfKMqrv4 z^c??$j!m69-MwGpx2S`>679pZ8`xx=^u3yx$~QJTsK^IgZCcN&@ntdc*u}={f#%`<(FR$&S}IsK;Ma* zadYR&IP!1juegN)r~k<($AJ!@E57c2ulisp=q$$GwOIKX#M^u)KC;3gVre?xpu+(H zC}X`E74AnJb95YV;DKFvip2(2CH9goK_|F)&nJ#dubhy5_uVI2nii;G5e>R_+IctY z60)2)ak7Y3he7&UVqc+0Y`OWCao)LSdRV-%wLUiAXd{KDxp(M?e=aX|REYTUHsEqA z9(u%72X?(Xf4Hzk(8Yyb5Vqll8#*4pneXo5(|e&%8Q|%IG$YF3bJT1QRY{1d%)RnT z7s|tLfOn+LMj$V2U~Ijusj!1U?de1rQ%K;yag(50u*_nyYAT_`=Cn!G{ZB1 zje%VDNK(PO0DgiWKr!-0VhkyCAZWZyy63&B%VX&!2S<(WgZlM}p7K<8op9K@Mq7P3mN+RW6F~<0)zn!2Mrv=%D7^Al(Y1HVKg-~MQd}bKXJh__%_|y zUsbA#M%lRV(%Cn}1x{7F=viG7#~!tR?ER13C&f{ah*3S(MjHnhUy!9GUB3m=a^guq6hO;iev?X;{hLa9L?I z(KA*ac)H|4dh`q#F`S2HCdFz!}>QAf6ahe)$ zz4f;0LW>*lrIuaBd;p(#Ql1_0cQw zp3V~0H+0B{!Y+)>Hrp(!_?ozE5W0yI0B=Z(Y$r^bs2+4nd_Us5*lmwpy|`hMO*f6V z)oaO(QLg_zD6DAnsr2Z$pA&uNg&G)+PvT>NLkOvG|7#+-z3AK)TH04^|t32m9XM(jE3T zgO5BIyYIed8ud^fO-&7=rPcZcf7xleNcA<3)KErxX1;?!z-SKT_IDsf2YSZEGjS+; ziF6hmY%$3;(2hk$%AE@V(1;mmtPpq)F;CuUr@X_gT*OUrQaXUNUV$bBwIIwO7?oB8 zrQb;~`I&fh8HvY5Bc-6O^jyTxzq|4kkRQVJ@LM4~-M1|6j$4)36ec>Ly~k^Rry6joMO#OU zmHxtuk5h%{i~|qYJMO;Y;utyNN7IdQMwb}cGoMvPFNl#r;rK1mqTe)R7EUumW_bl9D-HQtGw1SgCoW+ul3`H?`@*id>KZpr^hDm40r@}`^!XQGh|T}+&lbmS+7{(mrs`-? zuaD1Y?C|&PW6wSIFfGqK^|a?KIqiZiC^($k|NZaw@N?ea2cS1^sP)-?ltmZMg!4sf zmr?ICgTGNK;fV9;36V`sP%ncsH( zEgo4(^-XjuTbBWO$!O#T0HE;#|3;f^5^JxuZVX#)`B-`7m6HuqLyL}P`VTqekhtrv zyNru^@XRw$$$kl~uv~K4S=JqFlIO-Ki|P$!PGv+gN%jD7vkd8tIW3NEq3ooCe#%cg zXoNSsn>}k5bz3Te;^=bW3V^Yq0b@8T^$;+WY8`n~5CDKD$509B8TKM$l+*>rV4>`~ z^E(SA6Nh3!EJA>p$OkWpW!^k<(d~!$?m{O$_xw9Q1Gx-cWpS5A1y-8eAZHpdl!c9K zy7QbWQilAy`#b2Mi_daT1#~e#(~#2>&y7g|c$@J6UBXZ!v8Y4w@X{13_jpL3adYvU ze zexn#{)>O%CnAuRsDzX)pT`m^tKKiGhq`We)g$m%Zsq8o*l!x*mn}&vFR~{+_Up)o9 zZ$*^|!n%3qHj^BdLj$j;(mKr!Hh79WC4c}}{c>1ZQL`!=tH*jb~V{5Fs+_30b zTozRedqnSI_0*fy*vesr&&)qt&(X?MRh*|CMO~B?%^@u72{Pih?G3+`o@&j|;q2M7 z;_}O`^m6X%GBu>d(jOUn&OxN-h?BrjAuC9k^94T}%CU2K4WkQIfu0cFy_HG`B9AXV*pBy!vRJD;=FVHx^8r<$Lq&`Oz|`E?V`zeSU+Vn`X}o zPKT^f-h=z}jeb?VyrPh!scerai!S&~WAOOXPkEjPecFBZ-Mz<;{hd|P!?)jgCmxo5 zG342_u*LJt=sb=e3q?vRN>w)oxc*}+S&?#&W9T)hGUJ{46v_++?GF1ad7za1>NZ;~L7oHakv#lpYcbMkN zS^$1$ARGbP^Vh`?1QUcbJP<*pgQ#5jO$b#^zf(RUguB8CLtP=9N|+TBu(Ece0lYx> zFo55S!@#Lhizc8@2Mpcgb;pszQyAs`->?*(8X%r&bn-Lz41OotyN3ap2N_qs+8Hij zzDwNnux%l3RxqC70e1uq4%8WAN_q@=OGAD1s_7Fwd)L^@_C`_Fe1;O)@Y&MbCfU&7 zCc{iR4vMAn!lQ4$|ISAc(a<1cy8N@%7%?_Z4~+Q8C@(|-{9EDlFbG50{7(7tr3yljuaGIKwQcTPJpHMgmFw!-+s06{PWK_%^`;ztX|a_mId=y(^+(( z+RDiRS6+RUm!s35FdR`)T4_C9VyQkcW$GL+$=z+&9lSI@^O`(d7CBm(=Y(P8G2ZZ= z;Th=10T|GKP+WcO_3mvj{D%G?eAuDUf6zexW@9huUwrY!_{Tr~5qs{rXWVt~JyEO% zfxSiG=R#k}g9=>Rx5nNsp*w=iNmZ|Fl^Zx{Xz{Ka+vM0ZHQGVJ zP9PWF1Du1`Dqdpr+!%^KOD(%}?6%ilUeU=gB6aqR(@%G=a^Jo7ji(=fI@Vre&3N>o zhvEgv65i6_xZY9lf&&M@zkDZ8a6vmjS#xg-$i2n<9$FY;<)B_hB3OLUf5@Py5bqbL z0b^w+D`;~={KPX)$7*Y?;idlI$To=2`jB@^Yjb@6&9`y*VTZ*|+wTzLfBW4stCrkr zs;gq?5`$tuzy2{;jrY*OLt=>`L-jm3YHO5l-~Q3Rwx8->Z#$d*u%hz#+g4l0He3HK zK78-}m_K`tbr}cN9H7j)q0^L&bN)y}?}rt@(2xG0Zb;T3Ps10SywRc>9ev&0D-%1cTSdvshxq!wUvygL(?!w{ce^ z&_$~}JHn~B=C^RR$q-GSF(o$IaD({d(~ry#CRaJYa@zDM=7kNN$Y;qZzt>)Gy;yOD z;Wk7}!e<`xjuoNsAN&=ioTWy#G8B|T@8KmqsVz3!Jih+^TN^OGCj05r=jN8Qnvh{AjEy*I8pt=}%Q~b#iQiHrdIbzgAQQ^L zGwE4{Wu7VxKqJC%+@%*^5a*wJ8JtsIX}IEY^+@R%&}cJX#s>uF*3D1Dd5Q@g=uT;Y ze&#YvoA|+L=Fo&5Aa6c0nNK*!ph5$2Ed$Y)ojF%Z>F>I0t`(cCw@%EQI@xnBJM6Nv zdI@u4#MBA#?O;=s(Rd&$fG`gRuGO)6c2Bo@1$47m1EMxiE;Hc*Tsp)92qB`_Ak@T0mtC9qVtr zp+`_Y{P@$@aI-(VmjfV2)eO%$Ntuj~-YB+M#gAc2EE^Bse_#A_kGkIrSIyH=si3@ zj^N}ls)>sZ0NfD@=M*QQxA%se&YU43xzgAicSJ5Nro)2 z3@B9o4dU*1fcW{sOW+QmSQx^bmT=Nh5pu(&r}TGmc81goO9mv}Q%{F;x-#$^#mmpm zM+}rHHo}}=hD?{99L~@5K8GjBX~;W6fzJh66s|&;HidEL!~{Gd_Y4chnW4(J-g?KC z3J-_aq(>+y76OCsR7^grPg$I&CAnrq0blmd(%J=$@oNUpdgQZ^|S_*p)mX)r$p&`bW?Z@XQ5JK}rO z^UE(k$9wO8=q0NhiIinQPr!83;3y5xuDrqsO(-(>&=ZU%@xbl)${!s5R*#fFFB?W_ z!9gB;@f94zoilr$4I>8BG^^qekKP0L>>vnEJLOb)`49UH!Rm@CFJS0YW#~{I$OdPE zJdhpV?!plPA9_Ka&`0B#X?4S;x4E~(szd1EgL-~18UUx$1syano(E7$8s9$Ey+kiG za3Vn;8G`m`=~Wi#vQP+CK5mgAQ;V;dBizI-(b>yvYm5 z5Ap)~_Us*>y!&x{Ax8~Il4g|QvbSG-Ilg%R%Q)n?Q{wnjj<+s?Z>ufSH@T?u0Qg>e z?JesJUt4Z$m=`<$8IJkOs**R;cUL zsU)|gV_66DYEaWDTF?!i0i0dp;yg1m~Qcvxi!)O+;f@GLwHrEhM-A`-K+)(k9>}LLiA=l zv?YVhE_=LddVx6&r*qSQ;t2xaBb*)zi!>M-!Vsam%)`(FVeYI|`~n9?29lts`{B&| z_J&u{m@mA3jIw!+p~t(Ge9)I%o+LAL)PAqxrB!~Enmn(&;kx+OIcLX}*IW^oU3qET ze%qaK+m+YFLdE0!zh?EW+A74Wa`C&O#0`wkxE6a`s$`7%s8O!1jLvHHDy!7c>1r>R z+zZbOD;2p~z29CHK2^Q6I;}WkXqa9eE7sg-iOxl3#nGl7cUzfyhowD~r>+Vam`ZS$ zIe&h)31_SFTYZa-{n>X9RVsXJ+uWGeqd7_j)cDvZoJSf|uDqy*ML(RL4u4oJdDIa{ znos7Jm}1=!R7Ij;Hroe@L%D|Hj$ysz1w>(Fz$=-L3C9 zjuLSjQws`Dc_$qOC#RTrURQA^PM9Rw_K5Gl|K5jY@3zMtD$fSd(pC~<=go6(VYAIP zw{9`qhI0*_;2{h^R{(IFcG`LI{itv4@SvlvchFq`^Pr$p(EHPbaguFuG|}Kzl*LQ$ zycIu9n-Evsc}p})h6~zTqPH3?vYm!4X(m#t~2ka2mSdt{i5a`5bKDw!L2pP!XR z1Yy|8FsIFs@$rre!t-xF;ho>3l-={@%JDL|9g^}vm(%D1@|`k}jw6BmUSW7}-8UQa zJ}WdvJ}YU0EadKC`lYg=02w; zaTT6ro+~4`%r|8Le#=Q0<$-Q^L$3##vYhh!>~&^(c7tFFG9kDJ;> zUa;v@UJPwz`ngkupjF(7YW2e2c=e6A;+o6+b>F-1y*JJ{^Ix&sX-7v7IU>k}p;hCO z@nXKfi=Tvp)v6sWZL#S_o5p8feqsC^6byL0L3z-q)6f^oZuYC}85f>;j_dU0S6%KE zYF~ZvMXa^q`tjaJ??p!qhie!3j)B*m-pAs3=MMK8$p_$wG=`RQx%k8i&pqm-KP45> zw4lMg5*9bq&7Bwh`qcUxU3uZjkR_M!b3;d~>j>urh zZ&@f+{RcWEdt~0K9s*&^A>X1N9>ZY9U(k!JAK-&m=mpOi$P>U3p%>LKcfK1yY?dNM z&#KC3s&9(jcKt`Z^TwNT%Plv#7lJ-P>kBVF=bjGF^h9uw{_>YiC7hz@)w@sJcH14E zPs4)XM6|%)>Yg4t&A^v87)?3v{C~yemtAZ6$RFCUzuEqXa^J-I_FF~E*$(UhcOi-MfyOQt7-=_(SgLL7ki&c7gxjEivS_WOdzK^(fk>{UR~ z^W|X@PPr@qI50HuI@8V_9Q1S$IQjRKCtX%r>ms z#IWUu+tCm~jtju=%wLQRjaFWM?GT>sPz|YE87Um>l5I#zWhM1L? zz5CV1q$yL~^C~Gr7G-hWl{d&BlzTo9XN~e_oy2oyc&falT)HTEsv$rgd}|E7^iZ1g z8pyoKddL1i;N=%y^amo@Isk8&<6`&+Lx^#NJGqRMkGoel!Y>(e95L`>Px4u&(3S!I z`gDJl8CEl7PX5q=evKM2!p}J_bsHR6EZviBC;bc z*Oy;@+10xu55g8S)QhjuAMpwvut%16S-$AD=V>_=hrCQ%qUX{}u1R~nz@_|gEJ%Z# zy7X07r{1}j+YK+naR7}ze1tbCyu-T6Qu-UOyI%CRx}lvnZ@zfPRDo=&94F#$vdKnn zG?+)LkW8y9)!>RA`J!fDLl~&KJL^3qL@QPJfgFcRv3!T9^gBWJ?sFWJhmC0v z4v~w~C&-M*VLSuSlnYFcg|rAw2ptYpY;f~%7X=c)n*XJR=f%H)oL9cPH`y%;N|9;E zanyGDIfrw^42Pp;C4xuL`NmuC_&p7s1tnThR1`4h1FgANh4EksxAEfT=^g3#jRNu& zQFu3F`lLAK*dt=KRaTQCq=hlF=gcx~Cb-H$)~q~AqR*U%WtF0))Z^GovJedZ@d z%XiU^mkJ;EOX{`Io=OXJ38ccr*ZfcAmUk7U3csygHLI~@lc*&}-X7&#$Zq5^0kUK-3YF<3_p%?zrY|WtlHfr_l zEz#D$TEQ|d%9&KDo3kK#mB^5xx9w?8a8PZ( z;Diz8fT<%7KlqS)Nwa6pii3|n!ufVccm4e<$dm4ai{3NuGCjBxtaJq@fKlZ=n{e~~ zCFq5&wx)ELE3485_8aI$8vE|QzhxyBxsCxDKUeqP-2GeilV|1z$tRiUOB-d8imHj`q*o)y?g@BU3cFb6*9`;;52=DS}dX} zs$$_pS_GGSo1{+-PpV7ihcG8uQ6Cbm`8V;p$|F5nZh6UPY?}ARwin43Fo$~1>Hmr! zz8evjTzG-`t&+zz*IXGhrcI66v!+RJnqxs-Lu|12pQI=3=lW;7_~P@?P~RGpr_2!M z;+R*@aZsYC13kjoN-{|_CR$C4+K%E*ITJFPe3(+yF4nC=9ORelEuV%!Be!r`T5PmL8{3>jjW zlM#0k)4?ex$T#DsH)usuMdCM;;sE&J3=Jgbj5%$gOx^EXydy2;2J$ns^NzSS#s%f| z(2t7kGV5J;;C&bG#4CP-Bj?YR4Hh0c^sywL%C4xUmGY&bCX8|VP37szOXVeRXatvD zI2aj`AJ7I)rDsS53tOx@!{M>s10_vEPRz#v;zYU&Po|v<-kWyOSgc-8WzR~_C(S8c z7&CiDET|#>BqyIhFEpg%8E9*7^5_Dmg{!KYAEsAeybKqLE-nt3EiNzdmxs|KdX!adAQ{HgxOYxC2c|#A* z8`~o|vyyZfCqNu zG0wxGnO3J!BLZFYmYF7S9aWu9I*Ohr+W2j}(4e$D1F7yNUjH#nsMXV*V0uZHUZ%Q( zY#pwDfUw)y>8D;ftDij_-Ch?1`c}q*xzl3o&)-Gsg4waKc}A2hYKcW{>P1=yDNm{^ z(3?QKSAJb1VagA^N{v=}4?vU4Bs0N~De>qvLR;29)&}4xqMJo8Jo^aKO^S$OLV7Z+ zw1^}IA?H;QM&WExZBUa?d9P?@4n!njs|czvc}~GAq1u`6V0bJmAH6HF2%)Oi0CX|5 z04PKj0Fd%Z1;s;#K@d*hu%ztX$0(lg=A&!IL{n#5kp0pEmE%0;7N-U~>BApN}}@vlx@ z`WZ8)x)LXYoVwQ>>okA<0`n%*mie>gR$Kc$;mj|j@HBMEa1%X2hA#_=k|HWDG?0e5 z1(w5q_1!mJ75(8yA2MB3@XX&F-@kSF)9?a@oiP5q^OvrbFYz&)vL&xZLR;dB&-#dy_aa$MiAx)0ZK{r$eoCx8< zh?u8J%FrKtp=XRELH=BAg&q#*X}tRlNT2h^Yi7R=0kjv?nFHgXAYNJtMYZkm+TJkkR?Q6%1e^1+8br1z&$qvEkg zpHu@=7ej|E9StfA^F(jI`G)tzz5V7}-o!j;@Brhs1_&1i)UL6{YNqd{7hj22-+4W1 zYX&I4qB!(~qvP|hzw#oQW;J}2nO-%H2o5-Q6Fp@$sx;C3NOIG?pkkubVaSi+KICCr ztMuhX%&IEBcQ0{rl{-cPzX7GF~~{gRxYUP-t9e5+Kl=A(`NTc`ixsoQZyO<@mYL%D!HPp(GgA>>Xwxt?zbZQe57;Ji4<~oG{)2 zgy%Hf^JjjIRg&IRUBJ%}qY5P2gh@0JPTbbQByS$t^Kcf!gep5~l{|YXv-pso0cgl{ zkQN;PAD8J;TGFJslav>bH!=clunIiE%Vk~--gdoLI47)Qyl7mq=SRL&K=_!S8P4Kj zbsZpK`coBIC_7mm4cJgk0p~p0OI#9<3eG&b)~ltx_p{sVYCll07`Wa~`AO zRf)GH;&Vx>-nXr{u((CkL+D zch%)#Yh)|y93~dD zz!!Qbc=nSfO^o{bIy)B03)EGN&Y750KQO++L>X%O^peA)p1Nei@oVTZa)>@wq8A}V()$Sj9ve+qxBG7z(E66S!E^R!x1Wq z$y3Hj@2XkvJ@Q_eUmjye9cIP<(4;;eJ-k2B7`Crra17h z3*w_Mrp3R`zBB%H-W{>&Ry+F>O5{UY0Q_9w$-QPS+iTsaKbDI)E%uA7vKsN zj$d*xyH;aMFO()#bc6;tvVIUTS7I)nF_g(zLVy&6iBJTJa3$2tj4r~ZIPi%$T)g9h zU|b#|RJ5!RcntaC_d*rKxM-*lhVEP#bjEzSECjvFt0Fz73j;tbfDYntcNv8*hyVX` zfg@iz?Ei#^^5=J%XF1Pw2S*yV!t1dYM_DB-1mzxrDg?&V&#IiH%W@zd&nO#rfXYXM z!Dm!h*kGQCr{po9Z*45I^pZX}liXPugi;WX6;fP4N+Y_(%lzEE9PAgO?D-uAevxoN z1HYN$Vs3#r(1?6pE-4)E$y1gviA9yTy@`DxlAVk!jgfmB3P-`g34H*#Xk5)3T|DPT z&O?AQtlft~n;YxBij3j!MQW(~_wOs*DuaG^N?$OxEV*rKYSgpn!AR=jEJr@f3D4-s zvZsp1o^f?5RH^tvX5fW*KAQDetL9Q;tl_{JK~;dqEU8v`1z0!dPYS(osCOF)H=Dx7v%cxvf!AFZvof zqGwA{^io*)g4QURUkG2&9=+;x@jRD(@QuT8pDbacb)q zM%BEQ=$t;^SE=Iln7P1LiSjI&)flDIlzv7EFP^EeIn7a`G%>3o7O7XoRXRuEvm1<~ zcvgKZoH8e>I%yE3Gtv_pA{@3)KmF7TL-yKZPs<#6-*eABvEKUY#EHkBUD8W6!=unkqlNPtsF99Ab%v#47Y6UME9D^Mu0DIAt&) zQVI*W5S*C{Azr#IMz||0z@Q{%B!Vy>Tprd?T=P@uS)D`|-ltC}nsF;F?@f!-rUGL4 z{96i_dm<>EjTiJ$5YpzxxS+5WE?W2p$hiOCz&N`B^pVbKl@C{rlkuVG0D0#y;$&gK zr^U}{7=m&j0V-Zs&!T{vxIiusf~JusjUHU#$BiA6;?Q8op{T4%E66Ceg{=ptkz&x$ z@O$wvp)OTQmq!4fbGXaKv%;CN|4IzhNDmx(xN9>F5KCiif(0ayn;N4!_a6c zy>eCLJf9;AC8N|SqX1tpUh2`Q6(Wp*u?klDL8soyZU`ywS?WN;lXR}FUYe?ob(Jy%EETSwCu6L*KFM3<;LfK_IOUt)b7#(qmUa$IF16M^7F|LRyfx5tchAyHTLQwS?G67truHVmvOuk zD<6BBXVi^(bLPv~4~>fA$|zn`B7D_RThrH#C||W@6=_vvrNVo=oHWMtxXX)KjhR+v zrlE|^7&KsTtnsHcWBFy5bzJMR%2iP;V+7|Ue-;~5@mswx^h9@3Pnhgh~y<&hoo%Hx7A$wJxK{`%w3){W{)Rypd8-Y-&bG@r_jjvxbY zvWNt-4ML><~#D&7Dh{JQ+)l^_gKex z>7|!q+_>L;h9w6@Q@^M~^m-Xe!;uyH4cvK8<3PQ)V?>#uUU%0C)z@4feJK|jeB!uX z3lH+9rDZH*^M*Eh zf7q_BhRL)h=hio&&uXi#5yMto*+VqH|NeVduS=Plc05ex#!xKgr84a( zc;*L7emC2AllbQQ?@Y_kp+n>9Yp=2%Aa_+&)61OZ5r-b;^XgV!X?WtJEXVBGvz<4; z$rHT-H%6ABBoy6VuH&nm>dAOGNRpo=hM%rkLqECiG#zhh2=6C=JE=L&!|d5JqeTW6 zy&z9`2rcA|_su8l(=cH&W`u)-_~TS3SPWukg=(gE%1ZJ>uhQ_TWNJz9c2XQ4+bGkf_Y3*q8-q6AyY_^|Tw!{dQF?se0Emc8-nt5G+9t{mFQYLF+mH_9Q+0M6JN ztF3MwtD9SAS||%LWtg|JXD`Q#B8Hr4WaP}rrqM8ExiZ`d-}7ozWT{sM;!r>AP>C1J zQKt4l)b?;lOdAfo|_io)7V;?A~}Py0{pksGBoeh&tl5(@&AdHdy2dvED6^M@#RQG~`VM zANj-2YWMJhN~2uf7R%v#_f98H&~V{(_N?hC28!Rfosw=JR6DVkK zF^ZR6ajEA`m_+WOJQUG}>)-o+SM4Lw7kQ}(a2h_ zJj_Z(O~yXSM=Vx3^H4PSDKnKL&8H?=Vw4piBY+VscN}_f#3#%$RDRx_F9YL-Q&KR! z0QBK;izgUrz#|jN6FL{D0U<8WIDQ!Qy!e4QTzIUa*bO!G=SH-vEP4h9jW7q-(rY1) zOuc2{3FROR`pA!Wj2b{2CC)T1yYvdekv=LqdGbW{ ze5!1eX?SRWkr6n!a6nt-v{CdFmYfEhGx!Ctnv-`vvk-#^T{JAZTfQno{)BzvcfrZV zh>0>9dwQj8#-BBNevJC*$9U+8N1{l4V3A0+Gw4?;pBheotAA14dGpO4uKVKCFFaoc z?ZcK|A@c7!(1RsPIT7fV>>K4{VG4I-0bgBC<$)bZ^&;60>QQ#A_?_zna-**CIisdI z3nUTQ81e4Yw?EZ07IRU2`o*X5(7pG^&O7}*ep9`kHf2gQH8(_)>L2W8j&!}X{^X&= z9e3J9aens6`%|V*mv~Fm!{h{;j%aEz)1)Vi{G{dVvu4e)43LQ(JN<*cOf&Q)dK1sF zhm;lC%PLZj4&XzrJMOr>_*oG}&ph*x>P4Yqp=8|vMEM<8hRmECPCNjE0<*{%0=!&N zOaWkU!k6xJ(Yw-NxFv*4dBT=F9G;j$#_R8{)nSH@H^3wlpn}p z8F)@{7KfuG^p0{kib`dN4?xa`%JRSi55!SN9p&FxI?6!#UwQTQgpUfBk~!SUr{pfaq^I|ky9u8oBO24}<<=d6F((}PS#_I?4?Uy`S6$J18%B!!i0@DL3 z_evwlSPkSZ@{lmpY+|IfhIV=YG^FSeI2r%W-Zut}IDLBc^t(36oE`=52!{@aiU8(V zd4|q~9#-kFoZuP5ZA^6Y4*uow@jm_f8V6zg_I#aqibDjwxlv&wG5KTU$d_IsI5F;o zg9F@X2C@Se{D7b7kmk)|F(o1^Wln3vhhKsVZIuU?Z z=mh0qn6A3Im-vxB!2*Bt97tXL0vlwWxoDKxiw3l)7ny0zWdSEWMtOu$Sy63WMQ7pN zMVDV18*a2oeEIFyJ}!xsjm$SuN2upGPteCmQUBTjao0_^xQEOqMmY5!x^~@lSNA~p z0sx2Ke)Q2t)`JPC5DHlcTb_TJl{OFTL`T^Eu*(Bck_!elcn4v}ji` z7#4?r&;u_h1CaC*-Anc(%Z8pLyyQKA(?C2f_`pi*@{S(SuXmq#>yrXg{xh zL>~9vb-Nwff4}&Y^W$LRx$}RGMmfSIMQzsGlqWq->_c(_>+3t>v(HDwZ@?7s| zMCJ$tqzaIjLj^Lk%rLZJ)s7#33Af>fEok<;czig)~`!1N+`k4NmnfUA%XN`%Mm zibo_t?x_*SjZ+qyDij7j(@gY4SD`Wqh?n!|o{!!X?gdaQTEQ4;7lW0`Cy++wZ<_VcHnTb7Knvl?vcQZl7gG`ShCxqopGmQ2@MFn3lAh z6dW{M^XANoxpQV(z~G{?a#kVA0gp3&(r{Jx>>X>Zy^fc(GuJh4?AX*e$+*yP@s(T* z9(0g5SK0uY_zLL^XAK=R*q<1|;L{M@aMShfMG`;fg)v6CdG2UWhv-@cif6tFCHy1} zMhPWO-msh#$sK?jB*`b1lfH{@l9_uk3bR~v(er>er3^=iR_49%>KTHZE`yMIC8=!f zUA^4o0h;&VD1h-|=XA$tRrgGvy5}HK@^Zr@3R}c;8US#>f539?a*h(+gu#nc4k~tX zO!(dz?|J4>;gGr9o;B9br=NZ@_S^r!m|Zs~ihIgwvi=u_uT?jppXW?3<@P&ttecHQKhZ&C!q$ac zP8yAximF&x*BoDe`ju^%YN_s>vFkr}lESJtKX^zq)X!5rR->)nJn}p4h{K}aAjz_I zezZ2T^0Oq$3XVuyQ)yIG(#tE89+s+%Z^lo*&Q5eGj7{%sTcBr47^~NzlX~9PriM?? zjM!vn5M9vCy?Dxp0|Sr-`^Hc=M|KgPw!o^5z&TSb3qD^U!rW#JK ziTh$m?;zB_z$GS|kp10QdY15D(~%FJBpq zYZ1dD$p|>CfFhTl3t>5(8Z3ZkDnZUO$FpZ$fQ%2JWTQq{Q4ir2^>}?$P&)qb&J8t< zl7xp6X8feVxS*s6mX$wV#a00FMdY$N0(-Ev%)Pq;FE+b>X44lP5$~?_Rb)&%g9yy!7fTal>slN2mBdVcL|~ZigM?*i(*=K7;%DgP&L3a9y;b zN409Gsw@5c(kuT7HIZ@GeRnIYIxfEIiYS*;ff1BeIB#?&(+mIeZ-DY+MR-RT7d>5M z0O0&6f#OJq#D=n7)$x@YEpf^3RfFR3rylh)w)C9y&Q;Hkp+VUyK6@iOcf)OW#JT6) z5f@(kSX^+?GjZmbkHoRZ-4%Q9b9s#Tc}^U1#PxB=;Wx%^dz}<3uJUKmC_Pf1$O+q@ z_?+xUwkfG|(BZji8gQU{xv-za1F}IP$yfrSooa?U(`TsU1fP1WkygOvX=CJQWJ+q;M2l?az3{hKaa||5V zUu9zld{PXKX5omLCFOB%_~(sG2Z}#UhRi)GDO{zx>F^ueyi?{~7Y|MnQ46 zo*~kLSJ{~9(cx4W=;XtCyqmvZfqOE90gkJziN`Z}5)WJe_!GWvzYFz(XO45KSHn1W zt~}KOeS~+9512-UaS<<nMw0z>jMqha~Hm9Sh;7z6zn$qC}i*+2}eJ)$hn>*Kz zJMI{-yz+|k%m$w;)6ebO5aO1c*~AgZ}Q@Z${6G zGz4Fvy0h&L+nWE>9@5;cM(Wg)j`L`YxaK-euSpm@azRfFUZPKowj6iDk@3hwPa8jr zx~hBijM_f^%@cG8veF1gavbP!!4ociXWG1KvQRGC0K#~NHcr?`@@?kAOLin>R&bQMAmK>w5@3XxWQV}4JP4X$ zq(ppHW)}tzP8ZKKSTumpo6;qv`~L={&BEoLpN(HQGHu=OyaULydpP;!blu^PaVT@T zQa+4Msb-qk$6ZRODPOl02tm9v5~x*8XBUZuHFt6b8epN zRD9&e9T_HlDa-?^FyJXx`6(~3SjN^4Q6jv;!~8Bd>9J%D$TR>>fxO5~JSu~a!jI8s zaSTIo`fEL-d@Ob$KHCG}A$i&`;uryhiDvEqd2wO*!JA~D3x2^H=?A)+fkun8(0lH= z=f+oGeHB$@a`>bJY2~i)DIVCf?tllG^yyvG#W(0;YXW&Ad*2JiMqY^riYfj zi)XIH%w*{D`|i&@uX`-kAevD!hCn{~ z>FUf^LKX#U*U(IT&Z;DG+V4_|S` zSY_2=F?Q@2FKeGWXP$=zXU&=yl~p}`dco>ztm*uI{q;jw5s}A?nX_Wbw5d^1U1ej9 z*T^UWKpJR)Zo>JUFXTfw6`GzV`TO*C={U4K`|PvMo5N|j11`I0vVkh7CFvOY;|Lqx zF+@vwS6g+JsFaVbQks5vICkRrsBhw^qQrAiXnrtn*}Hci;pKB0RsXXOk95$2Qum*1t-IlX6Y?#=h#wdcZRRw*BxGXQBl*YFhnXlA%;OSfJ`Y9jVSoL$|c<(Z{Bm~ z!VaY0DGrSmHpaAzOPT)^lZHDVOpx&a)*;0+bhCHRLpTnL@yL!aGz=f1?Siw290q>IX{Sd`&t5)s_lgVt66c?KORT=mdUD>{V)AUt)$F=l z)2m+hTBThOO^tjbuOpUNYU%jx*D>bh>T9eP?X9ZYk}Gt351jZ0O)L9>D{UBRUUIM(oUKr=8-sMbAFxH5q#BI(n+q-++DqGd%OEawT(OMosKOXm&z9~!O<-I;{< zn3Mbu{Q}};CF#sX79oT&|AcYK0`My_oU~M+jEhEshHKw__w{cU3g9VEn>H;z`{FC7 zV;Be=Ziv;+la@xx@%0Qqt4~Phr{s~}<;}i8WqDalo;Ws^SaN9Wv)7)n((n}(D%mZf zJKyNamksgUx$|Sp?_;B-xg&bhSgAA^J~kmzCVvux;Q%*W$&iUs=aXpypqs`IIymeS zo?*zZy5>q{y|@fkLgm7yWxQ4z0K({D(Sra_k#Kksi+Wb>k$|61V2Ee;K5)Nj=%B2^ zM_Do*{3Shkv!DfCAR2c**}w0;|Fi*2w8(=~nOa!gq)PD4`|rinY13_R@*D<`ac7<4 zJ@}b)=g!I09eLBW)DqdV$LXxgE_PZfBX{Hot=196V~7KtqXA`T&T|j~8p7+Zzc$(z zG)Bk#25;OYPk1%6vn_7A{vd<3KeCzMeWnS18jQP*8@ zRkTi-Z5^_QT_i&*ulcykFNoHbHX9uHjNXEavRfZyG!ou|lYt8^K%efr<<{t!vmnZ3 zm~c$0%B!NaXIY$a@rA)L!{|5l)%=%SSQNoC__^Sd@2XSare|@}C6~wiDKnx!L@PHnWwu{(1qup!DlRrCxCIwbmbvsc*a7GvyVG5irYk!o)yCmgP0k| zo_ShS^{sXN!YSoT*-I|7bWE5u(Y6m=x=%Vde&Pf-oD64iATnAC{iNe=o2U3LtA67U zCcRAeTwbYT7Z65Syvk1c!D;ZsN4_*zU`1Zk3G&Ij5W)*jb);4 zerHUXQZIsAeac2h>(p3fl{MlYd+r>+j2<7;rq1vd8+hH^)DhJ^`QWCUR@G~jTICmC zL_d0svqMAnUE$L*low!l8rtE9`Ko);om4KuRCJdg2lV8VPqg#tIW7baGcf)bE(#6f z=n()U>ORNNy%8}pO$2OALQ0d(bV(C&HomHu#7kaiGGYMnGCnq5ayos^heAlLjk9~< zx(s@E_=5)m4z52wb16nwSO#z}2Ju0EiJ9a0cUStwknv`Gc=I2A_@Vc6_+6pG^JUR7 zW5+umgvn4LicLAZp;r7MKJVcV253>CK?J(;kx~F&CMs`+v1U$Cn4=yYd}QSl?^vQv zn#RUv_XvAdR7XY6>R4{M1!)>tGx5am)$dAgyaHVNbmacdOS~A};Qsgmw`0$u9 zb(%dOl#qj9@4D+QzsqrVfiN1EH18sL2se$_Nhh7`;gQ?!xFh!2V=vRfz-eySUVQoG zm@|KF9CG+!*1h{5ddLnCG|_8om*E2U(n~Mnjk$W^rOwEcm0+iyd}_2c@Y(W3;&=M) z5Y7yrA0gem1$A-$1s5!qlkPyi!v~&kyZILL=(K;G5jWg$eJoU?)kBURpO|yR&c5g($G`HLt4%)_fJ4}>2A5TVEQ=>^3`0dpnlHWhf(xUeae?=yefZWpvH7N( zMUfguW$A{C2A2;Nj+iQG2T$vJyFa<+Z(IzIu(9UVmMje)gGOy~^j}O_#v>qUX$S92B-r zTz=_gb`s7#|GYTum}8^52ScvOgYTib(Q9D;IQg8jb1du|*ZUU2^CdEI~a|A(@_0Mo2E{`cV;cb{4JW!YU8ch?{Znjpam z5!{^+2ni0sHNk^JfDj-Aw*(2W$S%9AyUfmx`#dA>=dM0I^T0R1|8>2!d*+;{Pj_{7 zb#+y>p8I8`LeC#Zrhff8+jW=S?Yr;3b7O@gcJ}QyBmS%2s!m z_{5FzVS};G`@y zYOGsqzPW8MbwiK;$YXz1`NGf&9zJu1Kv`M2wYRidM@PGzfByOQ=%bH%FN4NbAYS6l zM)_or6_s?x~ z^mJK=_y8TuT{9nc=RN8*DbL5AdfL92`DG$IN&66f^5dCP$OlyaciwiZ$2nhg!4FQu z$QJFClTWhrD^5v4iCuNoADpK9?tj4M%=^yq=)czoJtT1IuczB<*WGQW9k+Mq>JNYX zqxH16A>J;xI8A(;YglQymDNh%5KWGN{%}FgCaxSj;{qL+=a(?RR zr%ApKq@B(ALZggXKFbBqw2m|9Dk(8zzED?dH78wo^(Fi#LFbgOM=y=D3I?SL6fghLw}7(2T= zd>A1GgVWvvF%7>xpX5Rjqv+#raKU&kj0y31PdvuUeL1|!je%ka2zp(a6Ho7m%d-y= zawT*Cq=)aL|-VMSht#G$taK4{|(KfK2+ znh~_PI0J=CFDDM8Q7&*25BjJl?hI34C{VcI!ht~m0XIr|-eH^Vz2~-GJ;c`5HVJRP zbx3is;gCG@i! zCtpUcsI2s}1gpdg8Z$j8R@1>($}nd1SScI$%t!vzJ5k2xo_nsBwN*xmd8v|;a5NT6 z)j16yH$T@GLUhp!Q`zS(nD6iL)M+OettiW!@Q^Qq#EB?*-7=Kz-7d=|sv}ln@tv%E z^(JsE=!rK8XMcB>b#h9C;(-Ia7%ljUB1Bf;Gj&Hf_;k9RWcdNInJUZLMr`8Me z3#`1j#HwVJ%hii1t1PpO&K}F}?6VKwe%ps{bJcSy;A}$<3|4%M0z=^W;(fpBjstwF z2J)@Aq|nOCO5AhiUQj5--P3OcnR(VF!;{(AZrPnFTextc=M8NP@$e}Vp21s`n{Qbv z!!FxyZy9Y}_J=?G!F5bYWtCM9ud)K+Eh;ays^U^tSLdE_mY)Opn4AbUs21iGc|8X? zOgFM7r|4uqaip!O*~|Rc!w=|Qq#iBjH)dM3_&jd%L@QN2c6GvE76?hfx>MEXPK_&k z)gic%K}JL#e&_*vQqIorF1p07zT!&t3{#e;_kA+r7+mVBr*)NWHf@9z%lfivF9$;+ zW0WH~$d}x57G|M(#(5GjPWLYm5Au&yv2kKZIkhn?BB1vW-mVeS9|!b`>eCM-rD-sS^oI7cFV(8 z*tx$w*M~X}J?iK7_)E{)VJ9AKYuf7V%->#M7hZme9e>h^mQ$2xtJW;HyY9NvN{dI^ z;^nQjUUmN4-`yk!?pC|*`upvg>tD31Zg|nIz4;}({qDDHd2NwheAy%F**2a8i{cM&19%+XacqvfOzr@AMc~=fMjS~R$}5%T z7r$e}0Eh>q|0YfZ6knKY5DiII#9_!OR}B{2j!#Kg-pjBjmEwjh$(st|w;wHpF&F=+ zV8D5$EA(m^Kh9U49a5}iKB3KKz%ZdXL?`tIfS0{37!j07Wlgm!Cm`wMISsM*6Ms_| zvAiK~jIziUt>A`MaL4&H((@am8kZBhF;o3;Yzv&_v*z@~i0d*XhL9GVTmboUjvQzI zfr}nF^^8Hq149H@Zp+E|P`60R5`za`Jn1+j1AQB+m&ouM;L1sHs2AwmVTT>;lv7U8 zn;|)1-i|tAc!S;@;nYEVk748jH%gR)S_!9Yu^cfj@|Tj*QJeP9mZ+Jk%)|>kr2Hs! z(#14^e@WZW#c%4Ac0#*=HyE!7aK;x9(7r@Br!$0l5^p6#x$1>`yC!eF_ujnd&r5pb zE|1C^=YikcsWb8&UM7Q2-Z)hpu&W-3XQlY$2VXeZ0>0wE4k#kB4M6L$M;~ols<#`< z2hUJO%$rc|cAPE&?+A}Pp?+Bbh~or*mn>f9X&F609(X1m4ik7#iW8;8(MOaY{yq8h zvyu^%B*#Am2Y``<;ng*8!dC&h1EkC6s?kFNXr;_7q>6ChPxiNGpM6@q$+G+Ixz{dH z@00v-?zvuj=|Vf^m_6*Vhb~om$#JI2I=})LDRG*)rL4PGz13{Bu}sMar@Cjf+M`cj zWKTSQruf%nnT0vt`^(N3Eqb3{Bxj-^S3PkQyd26R8Se6OyZ(-!+ue8WX^WQ4v9)X0 z+47Yuti7W{y|zy4&0TH18@+31o^X>bUff}A;wd8q@XX~wfc#L#hUO;cJ8kUOzy7t| ze#fohuv{wA+eoi;fqJRxsdo3O-KZ>lymrOvW%k~C@3_8~PwYdZxpH`1mXeG5i9jAv zuH$r(w+&r54M-+Hct{`Sig^xc!h42-5xY=|L^*;4<3S{zV<8bB6orG{Lu^PI(m+(m zOv*yheA%kr#c(Gu8S4#cB*3Ig@_dlC&s#u)jzIVjqr-DLzVvidBEMsKScS!c2pSS$ zak0HYP7KtU%);E^)vcc_4IZyt!6XoM)p(*7zyh+wZWodKyI%=4Rm~ zo#@lQ+S*z_F@uvH5P0_MF*L|fq1UsNMx-+WJsv*~PWdul7Ry9DV8Ma~{v0nL#^DYw z@M2Jk)FWh7+mgjgy^LY8OsG@mrD%lrgz=8UQhnYazW1_AT3zvH_)tx?3=(-Tx7e#Z z@Z2CH&_W%UeKTjahx4^v^5>l!K#Zd9^r{(pjP$n1V<$i8=0d3<8<9VFmV6V54XeIC zMFy1k)F(K&BiDqX{5F^}Nj=L}DW7Z`F=mwWhIjA;qY(mBCN&*SYoM;18yZ8f;sJiP zo_aid;|?ChaO8~<4#qoZUkb1*ufA%KRt{k1LP-~hr^V$JK6IO-o?~f6xz__mo;+ju zx%;!qecLU6@_as@{k2HM5KHNa25Jl1IU-8ZVMiT#q{~{adTuf!9tN)1u8GTU%X?+AQ59{lu_W*h?9lj#xh&C`&JalhFTo z<_sI3^PV-V{?wj$_+k6`k%!o=_uOK)O5q>>%V+G4>;GX#o^ZBpwZ#}2dRD7u$YDxZ zNzQUB$ZoSc?>g1nwbZ)Jm@?H4+HW5_@1#H3`MeU8?FIP16_gHc6)>e>lm_7L5qju*#H`zd5r%jzvYujzT!XEg`{dVt3 z%ft%BO^K(8lV4xE&W%J*d#8VqW9`aSekwmpN0_a2_MS4R1~i_Y}=91x?i5wrpSS~2=Xb;E@* zjt4g*cL9RV46UE`s`6uJkU`o9ZB_XE#C&8#zg_a9kSe-<1y9E>MIzh;cek`RZcDL1|I+~RzD>e;6} zAFn6Ht3%zG;s?`KmQ_&b3cI`qW2MK%_f`Au#Y}lV43O>=VavDgOA=}sjM-UpIu^?p8sd{o^Vbx?TeY8+Tlm< zW0^gjE>Gl5Pqt5n>&3sFX}>w+3Y#^n!Y)7m6?^HKw{73Oe`Jq8@{~RH=mXZ%)@$qA z8m(77wojHlXRTvq+Q%z0?XR!)+Uhk~>T#;qMZJPkGDuH_&!@cb>~nV0jW??Qk!k;Y z`4#)?!;jcsCI5TxxtEXd{Px0&?Vdk9DLKovIo~#Wd&*axz?+)U3@6Kp&g!%6x7}J4 zOD{>MRaRBFjJ@>te+=rD2>gbJ&_MaPTz+)LXX+xhSMKPfI08W&9G$X2SjG#47*XjY!s2&^BZ8pP6^-6j z1fI!15*`aU2pCMXHjj~xgeE30xxN=afe?2nK7eOO{xledx={F3GRmGfT#+`?r{kqk zA|nCb^C=3#c&0%{`Z+0@RaF4*$N>R z&bK}G*xgPz;RM@k(~WGueSc(o>*6rV-(7ZzopHvgcKR77*};eGZ`*CZRp7PKfQu`7 zw&X*3z|GyCrI2FT8Lo)}iNYX%1dK%h`N@)T09k-m07Z$=fz#8g94Y1fk5^yz1rg3) z%91H#&YYE$Y@u-Nx%b|V1I5QYd;QHfL?ki}vQQT&=D~WECyK%cf5;IYP`0qu0b|+S)nV(` z)mdHLI;@FgUT3Ib1Dq&S=w`77r>1o4YG`c^`?_Qd%1SGYPrg74vO{_KtPQIW*Voru zTXVZe&9EZ%J~Mif-i6BQ^#LEG*ke6*sZBIXu@+ZXSiO3o(J`TJ88QfT!BB`8K?y!kSvg+5NY_YFFQMjs5QGi*0rNYCH7sBdnRt!7^-DJ$9Tm zSHEJvzwH$J^7WPWr_6^)5UV0ud zHRk2({goyvZ@>+w8Z$U~2e>c^NJoQ2$N&$I(j`b|1Q62`7S3QB3Zo(kAEZUh?PB6O ztv3dw;{yV&V6@Ub48A?JaPgv{?{Rs$O#9p4{ubsL)EH=p5h7k1Ddj-{ii;sE;RkUL zD)NiJDL*GmELyz4w%d9u|GKPuDx#&Ru)ucTefJPY^ijq#dEhi)PD>~(;gs`yZ|L*q zewP@rTsMR$xxuCv4m8w(~iP`3vQ>N_fE;=>X`}0|@h& zF{6E<00-!#Ef%5W5mAMU;H&$jvIo7-n!d}bNB7=zH`qg?Ui47^Z& zlWxn+HuJL_k2~f#Z{#RPoCM@2L+xSdvSs$y#~-r|H`vfujJC>{u>y^S8BtE7afDv@ z!Eo1(+wWjseDS&c;@IPSfdU(|S*e*NMW*hN{r1W$yk0h%Hr=;Skhc$QDs#>lWHAKv zfv=^R^9qBbLED7CmtJy_dfIF4w!7}MKVN&J^EOj51%Juo_cz~Y4ehOV+R3Nb-(Gyd zR*KIYC>!`IzBs;62HJU^WcB!?kMVDS&6zdZZu;$&LfPxRmwY}ip_aO&y;h3)9$$+8 z<~wiOzg~aS@)&v+KYSmf#F+e1e#j&TMIUnT!9H(w|NZyde*5ibyU*C%`NgUAq+wX@ zoa_Ez_03Io`NfyowYT3KdPdOchCJvbMGwxLf>{lE`)#*)JNm;_f3*9=gN79xi{(k= zU`P(7h%f)cm3G^0w^^rpZfBo!j_+%1?g%U12Hg3PQb*@G1OtvE^Wb0Zx31bpq@2s` z(#s#StFJ!IF1Yd^*51=>smzoWWR=PwW!Z#@8``&XKewIt*~?ZfU1&2l+{mU(-q5=H z)FbTdvx4$m>yYYVnSS;_mVf5IPX)-$E47?lIgq^!>!z%$Px`Nylj>{rzSgF1Fixhs z$-eCAuv;#<+Qtu?Y%@PwtL{jNWylEs^^qrh)#H@O8%To;*yrDTrLs%LRsPb#5_|H| z$6elzKmK@o{I5^=p}$W)`Ly-RF=52vtvBAZ;=&Bubd#x8RUHicQOE9U8%;RCYS%5X zhWZt12lR9qR%NwwSiy{OYVYW^#Y@)M*I%u$b+zlAb2uW@m$>J@eQG1o(|kx1`QWHK z7L7$YW=aEa`BNC>plyPO-@ELxlkK&S99x7lMxusEMsLV}>+YMp5D>lNkXF7>%R4tL z2!!bHIV!Rsz2Yi?OLa^fBrV>n#`SMo_= z;yu3mUqBrF_c-JaGf=D{T#8eTR((LHfgTTc;-NUGfQTak&(O^k!@0Ynp&=#oM4DnH z0zPCxrKzG^xnq=i)O(T;yHk+F!#l~zX45D=5_Qw1?BNB!p%0!_RSviM##Y;UyX~!9 zim|M+O3J>_-g@r?d-=83?H~XAr@is!TlVy`&)Z8c{lmRx%13z@&YSNF5T!yr;nBEZ zP0Aj@6};{UC_^qdD{bF((A#TKRIoDd;87}^mIB*+0-6ET?xpJ=70x!*qf`T?n1ZMU@* zm6QrkpXgz!yy%h9dgPHu?03Jr%#FF%WsmUEbHPsQ9_7(b(hz@XOuOy2yNw?|!FuJf zqV$sXjsR(JpT^ zEEakC$)|iJ;05PhAP>35Jz+)`dVH~s_%HfcWaNtsQj(YK*p^hJ?vxbKVK#Bn2CDN{ zZNkXWE@$NLJr&2(?fY>sa9eD)xqIFej&~1^#vd- ze(CR)f>FGFmgo0(+H(&-;q^Xj)JWTAryZ=Iw9t(YxZ^x5G8LQ?F;eog>#n=njyvw` zdvmtmcDpbqNDFi_X9YXGWB)F?*1pWW#^&VTWX~H zv1>>;1&irpSo#H|hkH*&aiak8F1`mmj)#SGC@?Mz2aF+&d*SjX8S9PlQ69W=qaolK z28Q^dY=bl?K6|+4Etv00n%^P*fcLlSu(VL8H}hxjx^@X^rW31#9p z@1U1(p7DN|vto%d-Y5W0;`6N~p1Wm4HrZqod*k)jeGUR|oE38zAr>XXGH?$9G{jr* zz?*n14q^T!)J--Uy)xg95>#VL-S0Xqq~O7s2(m45BT%_e^FjT ziycDVfrnM14G;fg^^EZH`TPO$jzp0^alF2CM@m@?(`@f&K_`Tcjl`<>r! zk@vo2`Le)MF0}=(d*$Q&)$<)U-zxQ&AtmsQpU8khK}pg^vvSmm^h{jn22J7<1I|+*k7M{N{Z)eHy+4~(;&c*u{h>e zzxtI7+$1~sw9_R!rE2L?E6@y|VGho$Zj|kc3of+b6;*cj6_@%#6oxVh=VE~oGFvQK zZoBSAAI7}>u?KDO(j`jFG=MO(+D!FDduFJObZ4A&id7Vs`t$GpaJl%~;~y+Uu3P$~ zeAP?mT??=E#5!B_k8>(Jdf@1sqWxw~uJDx_yN?x^>jg<*NS9_Ol z)#>gUuqU5-#M2@N^wbOTOMQNdgAl+=T~bHL6}_omR;B9ha;E&Ch3OgMLJ#fG+m4>W z3k?h%BErO}9zM+FHMI#rD93@9Npn;2g3FAEw z$`ib1Xdn*2VheYb1*D+g`w(W6Ef8<*pr5^xa5hxznOe&oePImnyvcuku& z)s5Z?^^E92mE;%t=4RrBdMAE3f(E|Lnl;O_j&#Pl0vGWa#-kTbo%7`Y%0V3z78m$j z2e@%$z&~=t2r0=P`*P;zo>mRPX>`8nPWfnSUUzP~lX?-(6rWR9xj%gOJ*S(m+?GnV z_-HF-C@CoPg(YJ~k5gKlMHGUtC@t-cvS73T+9^;`TIL3?sUfVgtg5Iq&M3t2a9T%M zQHhlm7OPU^geX1pY|tI&oiJKamI&uQe$3cFvlKq>ibWS^AF|qYOnJ49sjTs#=!wI} zy79_PK3+&#(!mRU5A-Wc&N5098asU6E3`+HC$fbCX2qpy+KQy?tII3w$isi`csNDE z4>1-uoF3tieCC;p9vSc8Hy>GLg<(Nqt`+8GSz%GGuT<_juw{rx7pf;dYiXok-h!) zhxX>XZ`iD*U)vK;J#G&?e2?At&|P-x-G8#1Zol3xz4B7KSkA=xmtUyo%j~EVkF{%W zzQ(fhv#hz+T$@w?X^{_CUm=wnaV)6YF=T{r{c{ea{0EYce5iVesW@{~EK6fbf5hEx5#MB-DV^g1Ig z>G)Wma|pV1A#B_+UMMAoi2(ROULn5>H(Zeiyu%3?BO^&3sx?o;0unL~>4GB5_B7!) z$JF4_?z!h4uFUwZ4{=4E;)=(5l2}+yu9Qj^J-eWEIjV^U8hH)Am8-)EC3)&ycsBb8~lLAP9KtDd6pDkbBh}S>K(;HkC-FA$RECu*Sd9UJq8AvAx1aC%9C=i z@CHRkZ{otU&hoPy*;fQURumG4-Vj?5I6F}Nai=Roz1HU1I?tbT9~mAaZrHXUY7^e} z2=6%cxCYb{;5|wfx+n)UaEC@#LULyh6Y;1Ue?Y2AATYK8>x%)421kg{ESoM^X z>FvvT2+x(5x>D*dXV_KO-DH2c_i6RK9|@~A`()7T)k~?Xw_^`KP7KYrtvBDrE6u-( zK$#Thj$7}N!T5{)<)M3IWTdony3BIBt+Tg52JHd6|Ihc?PCIOE9ogy?DX;#lKFgB< zO%13{@$VVeWj&j z+|D@f96RgWv%D8dU8WeKWrPM9k+bBZ5y}r|#Pz%`_##Gl-EnbND2w-SOmp((+)2n- zS(?SB_u?XVC>cHSL&$}fvu>TYZa;z}LLKU-WG@k1XSOPCP>`vt!7!9FLY-z%_&pGm zd^+$RZ~+sp020W=D5^aF7gT7@XhW&QURxY)i4gJ(7nSNDZ)x!Q9}s9CGIsGfhQ}}z zzx)M}Ib`U7m>)n~p1DUJq(dw>;GRwb;2(k^o&xy=x%we|$~zrjeCCeAq|&1^AZqnO zf`T$n;$hfhc_Q$PcY>msDx>xJmot6HFAnz-4xl)Lq6i*xykZ%_6OB0#%g;qUkS~gd zI~UFXXAR=bdRhsbnxJ~kC}$~rdFG1d`NcH!ghFp9c)Y-1{<>7)5I%sHO>a@Xw7l?X zeZU(z4M%aIm0?4MAHadIQ&!Mm=)mKg)Vp3OmOk}_%A;FBOL)hNvalMc9*<7=6hDcp|?zQmjkHoq1L^|FbZLR+Z`jZ>Sf5LudKYHMCN< zkw)Z+y29!B)vr!;exeJx_zsz`1L#lLvrj!^xrOvFq?j$w3RJdp&pyr0Klg0gbjH@9 zY{H35ZoTbx>IEJv=l17Go^eY@pEWkL+sUW=%Fk64xr5HrX4AH?mgWv0rat}jGea8{ z&w{Q%&O+w`Kwhbr4E5}(ck<;*@y#~L8bgiL1KVXdK}E5hU(^Tjpofcgf^O@i-N^6* zQ8&PEa085XAiw0x73%_s^@}KQ1->HRk_E-i6u;Q7=mt-9#r{;vlb>`dkTJ)1#9=?X z66HX1<`d$6dNRU9F1iC`>E~uq`HJfa5fVYH0K(IO@S#g_lh;IbVx3^UBO}L$mq*uM z5fh>1itxkVfGA0x!uxpV3M2(Q0C@%`1$wEN7#C2xAOU}pc$7LBlF)C;OkD1R&q?~& zI0#?9V!5YbxDFsZ{fZ}}vrh^7a7afp}%3z-nVn-IIh4C z@lvSqeKRC)+ibm!zvKKoZ@hYk7e_pfPNJcM6OsDGFMlZs5ML$Wvu4j4j9*<7j&|x( zgCvg~cih3Ms;m6->z{wdXUk=1)DRXdSY+SL{m$zhzEF=pJM>UF6b14pGVQw%&2HdA14pS0$-4g96_@|cl?mqve)ZMYu4LSC5H9kpM_uhTa4LPvBX}!%-9&=RR&;Xs3 zc{lNbA*JG?68q@i;Zy(MhX0fiCCViI4miaTN^cI zw9WeNzxZydKQ?AJSz}YXee&ObTbG=GIm^CPIaI@0YP&L=3`2MKiU-1lZez%eDjkq9 zR}BwX`+cJ8!&Y-7@r}Mvbs{-h1B~ni|zhF0#A=IifB% zq1~gu`Skzs$N$1U`Gj`EJXf!s_=^*L|Kfy66K$?!j(IXx<>D~La-MqfNw)3w+uAl; zZK=B1+_u|p8{2l9t#oZ^+vvX2PCM8RJ8f^e#XIkv9*;MKPc74zhojIVo=A864UXuUz;Bc~jR=K~cgma$ z!%7Ulw5VYa&c3oW>(^WD`g&X6Q18P{YuB!}y889LM4H1Zo0?m#QR%Yt^L(!k28$JB zqz}p_(C>|&9|>*LD*%0jMdjkZ1*D|TV_BjX2e3#0pghrdb8(V5^A(hj-{cEE;;_?28cYs8;x^dtR(ay`}`a$ zP+6HI7aM(3F3a87OGyoZhx);QL6;BT2p@Av(EH9i?+9O@oncw(I9(PnZrr_HBBN4L zc>9@BZi5~&zviK;A;*^#!k4#T;z?ayw z+Kh70+hb@6P>sn@hTdP`8T2tSl#Coej|$0<6W?8PUMWw?6nh!)gs@nz)D8C-E+mc% z2jZfOF0$>VBzM_mm%szP!?~k9Vfe1U;aYqC`R6TH^?>2Zk(?G6=GslyUuV}}f4v_H zoL63CCB@m6S6ysZ-*mN|eg2tt+;PXdJd+=DW;Mf$?ApsOwf}zlFB?5(nB^A8S;3i5 zo~)|eXvP$qGHtr&nVlzD%z}UYw!UtS9d*RPcK@IMVt;$#IqBmr%TW(6)vq?0(`W5n zt$uWr`oXde=xE&;5)>biL1ZtlKy6m|*!#xC!ZhC!BUbdP{z@v#EVs143M-54`Ild^ zMT-`=L&L%gc#6JiZ|k%zx7gbG##F?TWy>UsJwiwo32tPAQ883R{n z56mO77%fZ%P)3X?<>gXLmk(?W(kX{c%ErAH34@3dYZPBt#W?I5ji0>@uEuEe`iGDp z7yzaW2z!7OML0y4K!5ZbxdR=7PhPR>=$0BGRu~D$S#Lb8<8~ZM=kFyr!bN3!p@rrD z#7XYFkH0-`^4kT|At=|VWCDmWYAnQ!@IzcNJ-GLlQgE7hWtc{Z#4BjIK0$f!d)RjkK*~| zsRDQ$;UC16_DsI4f^^&}BV}M=1ixui%*CX#LfOcJi|VtoO zXs7)8WN$pmEWDG_s$E~_pL-`yhGNE#A7|TcyPb-WZBIS@glJTGBuMV*lTKyib0{=~ zci(@{mykELG)ZTMx`1AevwHNQhb><{PbIYHo_xlBar|+T^Gti-fd|}+CO_y#j#*;f z)2(M&g16s&->$gg3R_>hE+|lOsz8kj_FsI#MXvnlapcP59y4;39eM0=_PgI-;lnst zxyoDd`Ea4-Wcn9@aXuKTWkC(6bLa4dX64P%J4MwM_NTjUx9e}ZL5@!y$H95S|KlJ3 zXgAz&gUZ7BlVM_-J!_oU!GeQUj_zUDSVUnM*REQvT>GR{Z?gJTYrSj$L(q_Z)SZx+ZQUFb>^w|(p&$s)~04#wtA)0M4h<6Gv7kgfh^#(4|KbZ=hB!F6zP{0Jz41@ht8|xN`v=KDXfGIBPD|f^ z|NZTwk3MmuildH0#oXISHnof1mgZ)69N2n+gS7p2JF8B*t)xJ7=cuj9`OGer!;ypfXdaQwC$-T$vul(3Vn13U3BtEXStjpXPL#m~hP8K8k@TKGNd}yPT_VLEc0DL%&182K1ZaV?rW64|gVn zyis1fkH3c?{Dvkhy&F*S0FC0N$EREoVm<(A05@jvNAXC<#ZfDKtrpKVf;U>lMG1V5 zK2O813}3#^N;V&E5`O0iLouQgh{Im+3}Dikm4QIa+ackB*9p#q^E}|83|>r+HpHt~ zPR9>lB!JKy!}v{1U!0-e7#VQ6A_u2%733FKV^fo-qn5zAV%c*0 z=;M!l=!O-CiY$yOry2`ny#K+!txHC7-P*Noz*yjdg6mhuylyG zWV20d=9gc%VextsP89WPum8*D&Hc_j^h+;e-(P#p7A{_F?|txroqOVMtb6r(JM75A?VbO8 z5ZbgbGn5zFZNCgdp)FXrz@B>MDcfV`9YvgaMba%OCCY;Qzb$8^qNdu`)UUU<|Mf51 zY3znp+>x>mKarfs(0Kb$BcdH~YRA{A=PkF|(#|>WJjqS2?Z4wr>R?a?85R|(r=!EZ zS@fOF`fiTxxYJHP9Jv49`&s9@M(b;6w)emO4Ds^z#UhZ~@3_OZ+-6HV{>0<$g_r&w zWKZ*x{npk?k1E>^J@f!O<+4+)utpAJUzd8_IC$!jAcGw;4xOqi^``uMOFrGA z-V2BRQWshIs+U6Pux#Q;Rv9W(l>E|sD=01$t}M$JpVa|!J-x*yo7;2GKWj_)Agzot zIG9UwZLEqw$2ZAwUb<+B+Q%&0X!;EM@8_Q)qG8xwIDFAnq9<6Ckzbf^o2o|%H%d-TKL9=5nu>|6+Dz*4D?=Dq=|IVsOhES^lrHtFVC!O z&IP55MiFB|-u_yRU<%4J$?xc6kG9s9Hvi5Ry_Co&oQB9}6gD4=Y-w-vRd1Au(?-~9#85E2 z0$6E@`^cwH&OPI7yYs$#e2$nb$t&^~TKz7@Q^xYLGHa2cgQqMI$dqD{L^=J)HA}Zy zU3K0$=X%c&2Mf=XVPv*HFfTQ3%y=21w>%xr$Re#wAszqTw`h+cJXc3J05RaN_X?Ex4ObV=J;c*l7%G_Hgs8G zL9yL>%PsB%A%Mr7_zO8|dDg75Z8%|!U2?%?R$N%(2Mr&1>dsa;N_17{*ttiZV;fX# zXth0ytx3J0TW3h0Gm)edyLCTBzK`z85^$NSJGJk}MmtiHnCE_0oHI&D(C)-nxKViLX-Bu(%^rh6h z?UT+(mK77vrjS?hW6q#EJO`n;4UnDS`M5 zQzLl(=>|)2Xn+7=AE@WB$tE)fdtA{VAQTuba1n3NaH$+LLJnaKN*QGr%FpkpnBg;q z3&^bBDlQ~^I>l!c;%bT|^6R)8nu`4J~kQtlxs9^owa zXJf7pe@dZn51R}F9_J6kczR}Ni%D^(N2Emh0G{EA^Fws9!q0IiEq6ZuO*t8kz~}<* zz3Uyof|Wd+E8z#1D{uk7=`jJ$BXCPzQC2Z7G}Cb62msHq%*Z^?ghhH|*)SX!$avBM zG=n$d1jsYujkI!iz6fG_D9pd+!AM1Pg*m(ZrlTX?zIYlwwNK2$|gAJz+t^lPC5GLyNtTwDP zzD+@40Kel#e;_Is>+eZXN);A#xYXhC+>i<y_Y=p{PpKZg6i|v=kTxlm?aiYEO$JcD@Q}?#RPyDfc^UsfLpgCV< zmUCh~K6gu*SOV6UiG$eVd;40%$2=K*=*Tqv$JvadIKQ z7lkLr!E5x)5P#kI4es(ZqTG${7_hEMM;Rk z&;k(Gdt$2dAU_`L&wiIiCm)VxipHDEhmKVW8e=qu;36)L9`hRs0yiL@Pr@sJd_1l$ zl;|MMqQ&zQjgB)x6Ge}eo4$-%^r5`q4RMhz%1Y0X^gvL?I2baRs+&lomqFoPZ%Nw< zJ#y8VdfC}|L9ue2(#V=&p4R6A1)Zrb@7*#w0v^;1Y3aeBdhKdo7i5@Nl##q>>*QBaD#eFm zA_RmZS1dSU4_1fx=)6!J^{WywGLd(rK_B@^B5H5c3%E(|a-KK^7#fzZd$|OW$H*M< zm|w)8gi#3>6e-CZ?`6|wFnpAqCE=78eER9nC~=wzdzIKI-p7#MfSjjX^->D+oKLQk zveibrli_Y%p$(`EdAaI^(o;-X=a?4DDH^b%V%1rBmaS`8Yq^ult*frd7bNmB++SVPpNk;{h@1e zR+Tl)mw|6%zN*x^yLyz4{gPSM)!%J-xzatAt=1!aWy4Brd2g$A=bM#E?W#Jgv?mx2CMBwA%7I@?~6EY$Tn@N?VBy!?WnR9Q77m zPJ|HM1FtsPXk+QOW!_6$y>^v&8&>Kvm&lj95BkYZEL)A&H~A;}Y!H%$=nJ{@>~%!- z9DVfRSK__;{FWLa0z<22}+f6}4A$Rqwvi!(^E{y+ynqWdimMb9 z)^R~C@0n{P4HKL|Wkr<>4*Qw#a@NUj!GP_M-ryUty6CD5qYOm6SE{ zku&ZBea;!`0(=_ZEBWlXQ(g~t^xd4%vS_J{#+IL+`;?~q%1 zI4qTq$~bT^F=)D23eSW-ZGz?N3}a$6;5Ye(TA*G-8E~Sw3gsAp17*nFjj`gpljPwl zC*|k2+90QB(94A{3^&Im+|ZaMgVxd3VP$e6@S@3;qmn2){P2@1_@}nYR*TM#cEwOy za40YC`2~eu{~TaUT<8z&LDcIG4Vj`(Kb5y)@Pg%il#82whqL7ThyEw!1sh?4T%0>; zeZKGJryD39ZGie_aS9jw)JyR*X#;vDb)ZFghz9DzWka}d4wyp;W!P`oE+*oI3T1+Da?mA!K^!T%TxdxoEdgwDY}1KkYOuh z>x$Bgcw`DACk86649yvR(AKTgn z)GL%h;Bzi5?akK9d{egMI$v#s?{f)D*M_w+P~Da@R`~O!BWiMO$DQ}IFTPns7G~=^ zt*|H4I%Jp%25@3kcGUsH%yhYY=yarvt0?cy>$RF)#;Kh*TSmEhdBY2=rL)VjN>bL` zFIwu=CM5HDMJ4vrpC4mO<}9*HFTcXRo4?31+JfOm?qn9jeL$|1+ELJ9LD%xk(Eq5> zBmJnk(z0^bH8?EHQ^k`sHr!yc*v4=(t38o}V0Wkw-!=t8)d6xvee*8sh$DV_rAN@^ zW523g_DY1x4~;Rt$DnzYs($dC6zE9fdlwyLgka#Y9n6mO z|L|JAe1%P)K22UDX9v>DVF(G&Eqqcwre$I?K&Xhu#->3W%#%hw`8=UZk(8s`TaP)22?dKmF-XK7TfU{(L`<2alf5TM)L@R$KU|3PudCv0*ip zzASmAJR>&2&YSn0)i>7Ly1H7WTc!AG{Wzu;dGfFdC0kNlV#gnMoON}y+4kFQFB}y% ze%u&axM;ESphL=&I^c6AEF@w6?6lKPbG{Cf*T2f&MJrsLe40b;i{V+6EjUpSEKK0UdVY7d zw;89%`_Vp9vTpd57iH-6(GVep9^JT8E=@e? zQ2MkD5hlDTd|KN(e11GnvJMYe`G=9mc=?tCIWnBm4jtiC3n@`Ods!8qJoy9+ zJ=%hz0<{AfX@#@s27W3RSH$k(C4BBx8R@wpmu%9Z_gE;yiOgX9Nryq@+1ru$C&Dbn zGbMy4`VC%w)6U=v4wgzSdc|*bjq)mcEqA2aTWPP2nlQ}TWMDj7POK2GeaJ9_GO4Dr z)B`TevchWSq;ssbrrx?H4cMx(0o!}aGHc0|1Cg!n)+FhP`ErCx_#%IY74{VfVV~8E zsgeO}v341>RCbrOjIFbF^^8Vsoo7uliX*q!*yi@Hw$| zth%1D@g}x(`BK!P$6*f_D~dOqgy9muB~QpZ5wJaeS3G}~E#W24Op9b4b;QrEbk(jv zJX8><%$~?C9GNI`SgJ@cR!{GQ5Qi%IsD7z1q3R{DOga-^0x^f^P^yMjL` z;FuOjFVoV+OKpQGQ}m2MiihHg7h-%~Zh?4!;!@f{!$rC*pFg2-yB8@wQi*(Sn}!K~ zJZku@+`KNLL!n=;kOAn&Fy*WJJ!7L89`^0Lxz?;+7s?x@FlyvT8H}yGY$HaDltE#F zv_t}=Mpm5Z$Hg?&t2d_JR`}d|a02KBgkev!v(7wQ2D#fOdpVbI^q6uf)K(dr(ND=KKyj>`+_0c!~XJpKZk-wc{xxZR1TQ$$<3R zs+Ft62lmP2xRIuO^b&X5Z8v-Nx#z6AvquJ~$u~TY9yh|a-F`!xF=GQ8Gk%0k-Efk1 zboR;Uu5~`ZI_W3<>9^q{hI?6t4;$tS8aM@mf{h$G%68d#7u$UEEo{?GH6?CGbUvdJ6N*lxS*tNR!$FRQcy^?Gp< zTwbV4-QhisoHJ86-+KEk`|(fqSHqs+#;>_yjU95x&uq$+X;xHPU=8w$8`jG^mwY2D zL5LD%4PAo1RG(dR;f4Cy=PMqo%5!YWlExqgY&ch_6d=4M;S>6$R2%&MB#+qU^-_OD(UrbhMPMOJQgfqFYRHoUz?jz_yy z)275Tx>8MD%{G0L(U#i4Z1u2yTU|L|Kg%6vBa6yxZhf6)mu0B4B?qH%z|@hlvX&yt z>QCA7`Kzo%JvLvYB>MA5cZi_s^E(#_ z@kCq^xsV1++uYLV--PNDqwwwkHjMQTa6XnW(8lOO14)`dSW>|ef08c^D?Ud^f0v#X z;9bNS-&0Voh&SE9#jptcPQPLp;0#NPi(qpB#EE%CAb(=9GBe`i0*Aj7e|S!~F$lcl z@#9S7%VmxNeo-IZB zwoRHm83eANpozmn88T)r&K05n4~hjV7@JsDPS?KgFZcTShx}$J660K=-WuQ7k>BJi z;(Ddf{6q?cmBkx^M~U(Ec>hqnA|!5;N4 zL?fH4q0GH^g&lL;(Y^^8!}IDt{w0MfLz&&K@{7*i^|pNFGM~G{3v}Zy;bqv6lhY}4 zoA|@9Y*7)bBRMy)+a^sKVdKUQw~3Ra@cJ8^ztjWXJLPqm&~JJR@gU#4f_xd+EUT%i zvQ1=kci(M{9elu+cJNQ8+0TD6MRg_~3lDjrB-sSbF-FXxFwb`Gx##-A7{1jtth(BU zjT~mgLeh2lrHP2X^==$BFwztm5WLxT|sqYHcnoxOHP9e1p?tEb2rm8YF{l3jSg ziFWu=+uBd|pJBiG%^o&+JaZQG(t-r{Nfvt~OTLFk<$*`spL_giDf>*j{E~BQn{7te z@2@6=K&Nxl>xi6$jRlaD%526}rX73FrjbI?Ht*^x*8+&12LBY(H?rd!zLNmKpAj+SQi zEL9G4l(!A)Ms-O%(&Ok|f8!1IuQy(oQ`T%0-Tluocci$~c>R$|v%rR~1l?3`hH zSdnyY@$@{a!v|B_V7bEBoj0=fky)ynZp)NxY&m(jt<9~q%F$+BIqmkN@?5*NY`EtKPEQMof{+tDBvz9`qNl&9W}h?2Gfz?YerE))(BU+_Yu% zAF|2l2j@I4UA4@%-CGBaV+LPKRJf|(WQ>OHU`{^3+Qtc+%oe1zZ zN{A54 z@x6S-3w}HElY0cpMPC2@;6JwO?z?#J0)8?_#53$@vy3qQz{;K_#q!wBu|t*z*1SfNms%W z50-czc+gMmowwijJ!k{U-xacYh zRbJuz;1dVlSWsM|XX~vtl5(xGva$+$@PS9Iy1G&ZDQrjZ;Z@;bSgX3S+Bfo2H`@AX&JKI`gLmz_*^4|5{G}c-)Unsc9yXLCz5Ul;f8F^}R&I9c$;Wwb zH6x?jR@T+qJ$Jn*`hv{qztiEomwWFnS0x6>nhu2#~tNBn5|r}*j6lFX)k>gPCx+S zT{Y`8z@LrP)8{bhuRi}w4nc`+vuU}ddfRN|@EY;+J^OBctG)fvx8g?tR_axhS6O3A zSSDSRohx~)vzu?d-R`;LPCt$QHz)qg8tT6DA?^`ls%_5KE9|*9W{K7y&$JJW8;9=p zc7+8+o6guw25V#6YTGUC^?$x;86C@Qmpvv~Q)8=~l5uKpi|np@J`Ii$8~YP)GVnva z5Y;z(jPAboUK=4tVxN6?v!7qSwVl7~l`3tib=w@d5dW~fPqbmKwx#KMcLSnBY|O()xdeG7e~aHh#n={lrws(tbAMjJV0q!qthZxtI& zvex;_We8K2%2kh2#$@t_6Rj<0k!`x&7~3Y*X?y2%mH&+LJ=FYUX= zcFTXX-zt0aY`blDviCp#uVuHT?2hZNup7R<%s7x@pRG%*Ye}o!Fzxq}gDX@H@oXT+ zS~703tyliR3Ui9A;q@jP-Be{`RiEqLZ?>GCeCuoLw&J`h%S)+u*e9N|U?P=t7=)K0 zXygEW;kprLNOkH%^m?XO#_1gJn6}1tCRTJFcJTggA9583ohcT&OnN(^o20w`NR0$} zLq}#E_47lobk(d3n0Fx#W7k9ut2h)%$%zx*XS#A^_z5BrcqgQ&(1%7CO9vo4Dt0Q9 z(13%iqQD36_hc;K9ZF`%h=nlX05M*?13dHAL-fpVE_w`{EY0|NOb^8T;R9(Bj2`Z| z((*!pV;H$8*5HsQ;W1vsS-Wf&6A=UWahNhp@5`@H|yX044xn=*rcJdOZjN z_?-th4*JanKglagOyutQ2NK*6aACYSHiceRqnwH_XU=p%V%QOq=#m!}@o5!vXX6Vg zm?|mDO*Y=d7B5E~8lP^z-zi{>8Rh|JMdEQ+8mSV)0^7Xml#oI){g{(ReT#xyG{Ew>k*f5{duTx{o_ zbB+|(CN^&Tc>h8%`E%uD=lJTpdhv#Ntad$LaM4Bfft2bAzc|5Oc=1Jh`IVP#{``gh zCD+oDa`9p>UouX7@vhS6+V4R<3HcmtOtQKL2c< zm$kX6*@_B_q{N3-`C+)AY1Y@@sExD0BISy@u&_d53vK4i?`+x91|OQn`C^q9!*V`s zs(PRYjXWYn@4WlA!e!WH$oT!ihqLVEe|}(}&zxsp&R*)P>F5Dd?+A^gNVt*_6~!;e zS8qyqUCAUhym)D&z4gxL_SU;|Y)wOkeXHNh*)mKFNR%9xd%&t>aIajm+Wzy&zkRrI z-uwmj?uXylgvp!PD)lDbc=sEd`So`}DJi8|lBahkO?NKJS0=~g^*3Hq`FgCOsli@- z{TV>tca|NghV`|dk7=bLYB zj%Ydb@L$=8(HqII71+Ptd&5?&Xb;YVyDvB%ZsGVQhp?zOoMbL{hZ zAJ|z3o+m{w-VZced&W#TFKgANyfFSXZZ?bi4|$zqCsx}}e{!hx)U2_Yvp=!gCbQ}d zC)jqGM+-wJeWtLT|It-8V_c<89XHWdENQUT<+X0on7Sz}$+GozDLDkwY-#^W8#8*m zZIabxLR%C4>NTM+c$b$O7N#Mi@Y$tK3JJqCb>d{@ne8XB z;CcCQq4Ou`J1){XU-_$agW5bs2nL5cSLiiB2;DZ6CwCeFjdZ&mcd$ba{h1wb@Ime^ z=jMfl3_h2UDEtVd~^nkBYJs`>m{fc47o^YHU^wUFp7~$==-*x)IiTwD`L7F@~@x&8e zCzHlbkijdqlH#xoxV246NlNgA7hbSm{NfjbX?p2BiUxk8F!_DeRaZ$#_NkZlkT3Fp zztD2jQAbG$b~z5_1)a|_eAEwh0S?mhK}mXl`^Zpt$>V2e_17n#d!IkXIZkB4@3I2a3kMA|FY<>gul0NiE63WGvzFSA z4?Nl~x$G7@_VAyFd0)|v?312&INJ)cwd-n~GB!y+^X$`h-=80`OD?_MPC4}wo3o(H z&OZGfd+F~lTVY;dGFOwxsc0HdvCs|29DAe>N53z_dFao7W}_yKupR$0(XLqWQ`_a< zU2UHm@3&QJ*4aiIO*g(}#d&-jRPOboYvtngcI$oD*kd=}Yqbq#3(L&zp82IM>p0nZ ztxh?tw%WdzZJ%w%+pZh!Yb)gdb*^o*tP<(afjs}{;?lY<8$L2ePQxtgl;2xtYpl!4 zm2zLThesrf&DLW}?9IY%E9yvDd%am|uaVZ3uexB>9>aVp-#vFcWNSYyu~Efl@6TIi z|5Y=-qVryrlg<5-2WzrJzy7O@%qq7+^?vi@n-t|{T48mm<&@_5PBe$`Ri0knfnIwey@?*vElQkqAWX4br4VkbQ4x=CtT#*RC!_t7?>0urh z!qR@n_c1P4I?f2`@u0!!6CF{VLbo+#pmtkhacg>dgPHu z+KcL`?77?S{`-~J{^{~`+zBVRe87*$2d@+Hk)^hLN*{Rs`R9Cihfm34{2qSz5g&#_ z{(k-IU;0oa%GOs>>X|!o2yPYwFqzHjvnCncqT)g;DJ}MLQl>4o*ve%Yx{+hbj=cNH zFuDkf#@HL43;@QDQ~RHI{7DbzyImiA@PR)wv;>bhdok9*9e3Q}cP@H03?0%-WHsh> z*M$#Uo+v}lFeAf%v2Gmn8=lac!Z=~DYS+|y9P)YT#ecZLz@5PWIv;7PQc3|2^&!R3 z)9X$RD>0el#3AAId*1J}-@bmH96Vu|6TU)gfRH{sX86JhHtmKLs5$O@V1)MEb1!RZ zXmq6>4@!;T#rOQ1QU(N}inWXQqkw5K0`#%ijE*`Z3$D%HoHc*^d-|9($P{WI}T3(Fc{ z?-&R04cN^$-|UVg28Az@x3U6N&i3Y;ZmfE_!45d!AUorXGn{_pgTlpqW0Z^U)4{W> z>|V=h=&(Cad(b-Ht1w$I(XwX`w};Ps)@lkz*{*y3$R2s{5noUhR;On93F@5k(BGS9 z3){MELmA#Ng;}=2CX?;7m!GnByU|{-11y#GnjKp@&7QvdDZ6yaPi@<6HnM@%0V^F= zXsPi9Hhgl0)z-5|Gt;ixU`%jBKwEMA^ z`PCY;CK)3c1!S8Mn)=o@@vXp)Kj0{vI&6~tYRnck?~`@*XzNAxQPXt0<>e}SakHP< z;VlLB`$_v*QJYzIk#uK@uTx0JXXe_w?|f*7A90v%v(rxEJ1h=$?)9g3L3;u=+Gr!& zZ@>L)|NRfN(xUK1Wa@*ujXEmm5qkKt9n2AnM)X23P{;#%JIMFY722^IwCA3CYyd_F z7!n{74m}6O9Df6DZB-z~DhKDX)c64KxiJ)hKsug~acJ-{JpCC6LNpW)NKZo%xCiqv z1cY%#@N$b`Lg>r|r-wrwxWuZ6AK*DQqDZIrTy#03rC{I@iUx>zc|HQtE?&IUdr2`p z4H?4&pim;O{md=BCr>C?BW1sTYK#B$2}gGms#=LLzPEPBW3Oc9KBK^eDg-lRq=x1U19uYsWx)n*4g1hjFvgsFER2u)L*vOG1eTcNYvch}UOP0tG2@mrGJze6h z%0=1Y1<(^>p$#-uRn@p0;1FDfRN^hQUD>S5mSDnKasaRR0x?GTs%x(Ck4qxk;2Gt|QD8&tJ@?#Y z_uhN2+E2Uv{O3QnU3S^Yjyvu+K@@XHe{zc38rQx>mB;W5eCktd&Mf4lzy z^`L)kciwe}jTt-6{&4vfcHR}|SgU%IC0Rwb>pnZ#QHLLGr=Rsp>*VMQj>?cicE@O3 zql|c?^-eY`pOj&BZ3DLPbh9%z*~adA?=ve=o+s~qs69XLdE0gB(RRzNf3wUkDe}G? z8|Y%GzT_ucI;Wz?@+vdrRCL=FhaO=!fA*}wv7TzP>0`!NTVuO@wNhoCx8ByxHS20s zJ5Lpf_j1NmCXT~mf$OLI?m|6t%0_S$XlHyw3wesR09}y9Cgnsf{rpaq zg`>_G;zo{;LwLRQ)?3)ru@h8hp3lN_| z6BkH-m;S6@Zd4-v7@xaOOuO428e9b4(O5Ay>C9qvTJ%g&W#J*iIOxt$X2SavGAYQ<$qhzU_@MzDajur--{2-cf_kJ_=>bq~R!z|hA|HNJ z$K3f1ME)@;?JEMM=$X3%Kdg70s!#Vum4>*S=f-dxMyo(^oo7;_Ax)qOW9PgPaQD1b zKR6?Ce%SdHisv|p<9wDezL1tM(tBQt34k|_#yE(E zL0$;b>nf(_*=b`fq7qYuECTB84x<4WDn(Mel?H$g((CdK$dm)8N_hArNKSUX*O#bp zoOtg(m#ehQ!2qFG7!)c!VQ8vO97CmYzS5gfDfKJp3Gz{Po|ZElX~V4M1qZl>4X?6w zjca^Zu~(ki9_oR-^Y)uoRL$O}UeVYoz6iSnrl3krVbOZq|Bx;1oo_z1qmDVmZhG(; zyYh_v?ShA2wcAfT!2WXAEB1?DA8qqy&b1G}SZ>zXW1SsIk7J<6rff6P)~;D&m!EZ( z-S_JKcFF!{**cqVcR%yEl}*jIiK9o`b~Rhu+1EdBcU|%)JLONmv+U(!Y|oJM#rcLR zmR%nRWNAw5R_1svU9CE|w~8vR6KvWqreQt?siPyWx}*?e|wdY-1`aEx&H0 zrP^{GSMET8@N5IoQ`|Mx&%7a6&a-pGO%rIOCW_TBr14@-8xb#|L zScLeDKF4zz9O9AUVQv5=10lqNc&_*iVGb%xI?f2ZCm!&<_z93E;^Iyt^o0b;?+6Gb zK%+q+{IC4dnZ%t7&k#W(Jz0nMfrgj|_gS-M$%EM1pShSbiiJ1L zuMR8c6vogV0>NGWo@RI5b(b&T zSXW;soIx3aD_-EEC&ADJUtK0oKK?gCJYdN?ifV=Mx*?K=WEh9LYRuEJAsiW{adX^~ zH#QluyS~}aN0EIDXRwEOH>=d)5bVLC(G!;M_M*lE&QJdG@pd=tptDlPmxD2fOo*+ic{B(KdYK2z&L_mqp8^cHMQ?xhzsX|Gt@M#=`}W zEBFCA?)3ccx#u3QiyLqJlMgT6c;k&poPO`|1cj0~2PoJC_{;}w3#S&kMh+itmDSbW zyJDLJ!_o8}Z@J|b5iDJhIFHco?T(+y2Vyvy3b|(3wXSxZ%T;N4iLVI7abvg^dFN_npZ9w^w~ z_wX4Jc?i5?Sd*}<0`;bgb9~WKbH;irC|3Pcwc6+n$6NjKrMAVk+u9c&&$SCLJ>71; z?QSa`$oH>E_jRdm)FYz}`Y~#(z{|?9in1JQSI?LM* zh^RdcWZB9Ua$cI)PlXW(PPm^8BVM!mn5`}}f$Urvom{nx3Y9&--MUl`_C?mTjI_qu zHI}d5SZ+q1<>kmo_2gMrf0mWzRrvm`i%-AUdb=bu=s!6Ksh(ct5$4!Y{_vJMGSD0;7dX5E=y+g(VW; zLX_M|;NN>;T}>ij@k)pI8$|-1cmceN4bBPC?|4Um|6h=v2hZuhf%rS-^Z#}K|L2Mj z@(jZK!@OfW_`|SDIxRfIPyVH+OUKQ7AjI$UAw?QG705F zj;B}3iHUwbFT$$I@Irjo)zsAB4VVVaT!s(XP`9cV=tNNg^k}@%OIUaZ{Rkz`7&VMu zR0`m$6JCDvo-3B$H@xaj9)S12<-~vsno-)MV}6G+;@Pr{pY0VWEzSreZR8)qOfL!g z7_!1>kr(6YV@8g49J}ncn=O~oWO#{mT>cgBgze-@7~489G~GR&{&7a;%D(yLYd3Zy z<;k+2?5(%ou-*6A)jfS^$LPdzvtpD*6XXd#wiYn7%X?OBZn4D{?y*1k(ET=M>?p;7 zk3&76h=(%s%$>YxJ7dO;b)yL{Pe1+igr79rAdmcJ#i1|I(8X4R>#n=T>KmHu%dfxk zMJ1)B<^GwNQKLrL?YG~mG(k>*^g80s#i{w6XZY`be`FV3bcs!$KHWdT&mtJ+i5Tj` zI3sVA+3PZbWQ&u{8A@d3>c~;UtwqKk+W89Z{s$glx7~J|J2NaKAwN$hW#R@5`4sp! zmxQ~XAvW;@$LjLmUtzyF`6RpkrW^g#apY&Wop-Z7KD8pffkO4UPnFGkSu$G4Bn|~m z1BR0J#1P^2H{N7-+;yifoH_ESBV6XAJOIuQ9=Yqb!GsNL^0*0h+fBDxXG^DDf7SIi ze)J@}^_IKr_8ac9gO54J3Pud~1q^(=kvW?-ITzin-IkYGAe!=RuWk3WwO=>b4x8?6 zIaVQqJklQc)8jUwbhI_rwOK|=M#2jHJ8M9!E*Yvwmt|7yu#SCzG`?6WoVIxW9n2Fv86s(vT~vPL^oYZrf|CuD&96n=#_G&EXM z`+6%XuCSiw9-CBLX7#nLic>CQEO}J^$O!eplnGVDP%cBU^k~_?22bdyM z3(n6Qoa>4@E6N#hsUOvloQI&3Fh~+`?#*D?afieLR$-SA-VFhE-4*A@3&-opGcB@Cx*QGK@DM;i+ zpUNhI{qsHd`hGC)vFOg{4jO9fp z^{slq3_qo0porfu{;{-|gI&po&z5v{b$FS2z0*%zOP);`s{U#cVFp|=GN@LqoT_DGTc)k(nX`O%mxz^U!;zQ0N+g^L^Rhu$pvYmVG**0UwboIdb>NI6f zIX%=b23?GEJ&~K8DbKy#>!h-*(#lJVZOY_{Hb#n_ePZYng=ObImpGVAQRxb%RWO@3YU3)U#`I{T16{&;da|uxNm-E%!ZymMjeYNFTc2BP5HI!Is{WyE?&)?bj_ATwF zQ_i#Vw!6W$=sD0jXBXSD|E#y`&c4U`Wr!~N)s@!MBwgH7WQE<5!ImQHl@FQSnrF6N zJ*r7y_tE*O{V$&G0VcK}~uX>9T%))S*}U1iNc` ztRky&q=*$35#VvhM8x7^43a%5u>etU;vH~Br`VB*bcnx+`ona9=bLu_U-13-0bhs@ z-TuSF(jn#}iac*GQ({!(d%fhpzw=PCQFw?;fPQ*dh!ajRpbVzoO!MGe78OSYq0E3h zd1Q`L?{FNPei8}T2gGDMMss*g_*h^Zs>6`cAZeg9LWWQ{gp^}tN@dvS`7kF#J~VFd zGAuH8?p!x=q0AxwsQjrnE+2Lf5C_`G13X+P8326ribw~q*rW`&QAvzsyc0L{NWy-f zz_Xy_yMzN-f~zQzn1*Na=ZXrMbV0d>x*>n|>EJ1{=Ly=5J@!~v>ZIp){4@$_7^+IA ziT4R=Nur)2ELgC>^M-$J^a0@@6}0<7xT+_-&<@oR$2Sqi5l{ujS`|WRk>$C)V zM64PVcdr}aedCSSoyYv#V~^c^uU}PFmCMvY2OVsS7A^FiHf4%(0#^b}w9BmU5m7l{ z2Oe;s{qn?L8s{gn4P@BxYP<2q8@!x23-7%9mOJU3x5qJ5T+qWE2dSg2(<&><)Kgq$ z3+6AdZMNCk=FgvJTkFnd|ID0BD=ja!cRqYu6v)YP-ijJI6nwv|r?=ZS-+Y=aS-8S> z-FbIwm$SB9hHuuAuWiD{Q*GN#wzD-W*4u;$+3tJpCOwpIUwrw6^p|v}+H+}1v5k;?h-KcUkO2%N4V1lX$U29%Q>W;8zy9&! zlLLIRAHb6e_n^dLPlRV63M!C(C!9D@$;5X+d`?db@Jt$CB$0%Z3*yJ`^te9+-hnS2 zzHhjcpKkFv;)`kgPR$AaXPiX2`a2{rc@NM&;&a63liU(efcd07_SnmxX}k;_`RXXe zEvuBrnK%UM|AhP$k9W-R^r-UTVN|#P3X6F3K;T!*2Za~;&9DG-Jt#Ijb{1^lr82y; zY}pc5JYjsl%RS=8#%5ob01g%}U=%Q5C>_rO;~?7mgoiti5C?A4cF@ly?;jlAJ8 z@$tam6MP^(@0~8>N*8zmj^V*D{_Ssn^D-moULJ5Lev}~$aW_UzzGFX?y};}Yh3O(a*8uUAR~dgwLNGiA<*Uc+OLJtkQT#-23f>t$75I1iB??%?GT zTRbkOW^A(Q#y-c#JP={rw*g6nboPv}2%<<#LOW9Vqa)|X6c?B)tq3o>IqvwKygVDkO zsz6o0@u8PO9OCBZ7Pvg1ph*u6(a~Ts9U3pb^bm*gu`mee z>*WCBY+s@2PM4G_d*PT9L>8fgi-Vy)2k<{a2AhEfRA0i+9#ru1o^pea1tOecL;g`d=#}!D3+PI9*zSAn>O-^c ztfkZoV~?9~XJ@c_H<1fDDml5KSLdHBVT7wx`4uXjI=$U}9g;86ASVReaYQH7o8(9B zh)zI(@T7WV($v;z?>YJH;`In?XvSFy`V{9T#dMAwHU0E5fy2unJ|1%50mf%o-u~zN zo=2wgr)-Si%v-X^jyd{hd+n9ie4dRj-vf-uaiRt`;jEL-^!;x49-P7stT)$fS6{=#d}%EZ{qFanF(9g=5QeuyT*4Db ze(ed1E|RTmkj>a1b{P6DBXgAzU;NF7SNw%~!V5 zPCI#g%Fd@Jq7q>FEpfQylQ{~65rt2qa|Nc~&CNjxkq_y(*v}R7b(+Od@S_Y7Mm%Ha zLFu%2w7Sy3BSo0No2?2%iC~PHn#0@ycp0yTR^mt8`RWybn@RkbCkrUR$07-o3b+8? z(+l%60TtCJx2Z>kN7~^HJYYW*hV`kZp7J_pSjO?oGDbOx=@|MX4BP-7-4RC|?mZDc zkjUogNSnt`p40EKjIl0&{rBHrwB*=ZZ@neu7J6kdUoM_e=#+zJAcjXCZL`fbz9AW- zgJPt7RGFNJkt|{hWO1l=%hqWr|1DF3-g>@yob(M4yRe| z7TWxnG4V3y;e1Nunp41;*9%MJl><&p;t;_LCh5T)+di@oc|#s3V8V_$<`|bTaPltX z6Sjna76%Z6g`7bX_!#zN9uUV3pgr)8I~Rr+-0@u~LvSQ8D&zzHJQ+9IGFuoZ3+)Jg z6AuR~(#@UrDOY<>n;Yc}K0_j-*)PNV);k}lclQ(ZEWUEXNRO6PqTpz4=S)qt zi-D9?l$Bfkuy1Vgz-BgY(Q0O=V_4L2c4RqB?n0Qr=hLcHrQa2^~+i|u4q(`s#p$$ zoMKMl=%tJ~J`9UffIJrD;n=Ayh;JA!%6{svPO;*mQnisF2h!Y%8`@Z?yWr3O{$7_q zV*{jzFl20%pzbl|6DEwa31i0zr+6qG29LlK+YIUBJzT=`^536Q_8}7oDQ%2Wj10s( zhDu37V#Pt}ss<7PXQ}E?V~-g{0flG;1CIcKL!e1V9Nl#Pej$PMXX2(qco!7T(C?vq z0fe3Bm=EA|3vvFon8h%W>7{(JBFI5#XuhL8?u28f4JRgL(2HrB#s z4B5d49%vtY_?}Ijwvi7<`JNwDXQYD**`miG?e6kQ4m3Q<2JE}v-l8$X-gxtE5hkx+ zN{Rf*E68M63G2gWj#o-TcRuaG&=2@oH9BL)47>Z!_ez2EI?q~Kn*C0G@EBSslhc$K zUu7tzLeFKu&OP@6Te@_S_m=zvi_C9|X5#1N7TK`sN-Ha6(TsQ^8juaj3I6`Rz~8Fs z3fpPtUG1e8|Lz_x9xQvoSR??1MF$Lb1tSD~EUe<$X|o)gvB^fh)Oy{zwc$(CVj8%) z01PG1I2kBg-g|mo@QCI_PqtOPKMV`Jr@Zdis!S*uj>u9XSKtw^JBR9(WT=;c+_H-A zwA0S8J8!#HS->yJRDYI?O^z>`f$unGUFxl%fN2~31GHtuWzp0?w*BnTLu|#$l|Jer z?uRleAJUO8_3OXYhIq%Yl*^XN$Xpw|zV3$b3IB^Pz6i`gfs(fX8TUiORTfLhG05ok zk&5W3(B^SMH{W7Y8#!`>_k7-yqa`)0d_&JCDCB<2mYwVy$g!rP2HWbVy*BgNHtTOJ zwc+JeVotwgqSH=0^E5Y_&p!E_m%qDD&an_-fFT525Y0-?VI!)&x7XH+GZxw&y_<_K zxy0_i_ZFKpW}2MXrIsar?)~H4txaw9v$sEV1I2lRjACT=@Fj-OJ7s|dby!-E?{l_! z>XA|>d_XcMn_f7-l6I@c6CHYJg4c3cLtVq~1VVUH|B6pLBmIB=^Px?jwxRRVb)4d% zQvhTGAes1uRTDS1SLd7kOabP6&w%i{K@9 zy2z7Hj(|cc)*B^r3VcdoV&eV5hqde0$;&SEGz@hNl9W7v5rJ-L$8!(!Jq$S@JX{zm z@G#bn^7e{@FS-ba|GcZItn&OLPZ5H5-g(cO8tQ3Jman)Yi?XdeKg)&}WZTH{5*t}o zY*nS%Hg-gXjjojOt1Q*M%t{J!Y*g?`z6!ctx>|8Chb(Rkr4)R-2{%ax*G((N#8e z>@b@=qD)u0O&VPz8uFA!h0+&VjgLN?+E(=)^zI;_+ ziUk`z7(S_LdFd#)k)wuLqk0(3SF;F5nMjtyFd`G;U8(S;XI8tSLi#X9ZYZSOTs>v_ z3NdKH_-6FW=lk#>P-dZJ9XJo{FRdbS*%d)mqVfaariLGslHCqN@tfs{Hd;$_lPy}fz~;{X*1nzl zjm?!j;qcC%HQVORpKI%C*V;4=RS+}b@2uH#jl-aGvvTZT|9s8rq~mI;YTWp9@(Q2h z=YyPleZfZ!&{fi9Ebc)6GEa%F-X@WxM{y$-q2f^1f5OH%C3mlm2 z1Y#U`!%!BJ*sPKv8go~Cssf-V16CmRxWIscTD7)Tl^HhY^`fA}TY3s=HI5hW1VEuM zHvlWCC%j(L_*s!sAaR9NX;HD=b;q5ydd*5#5EbfWl&aC`CS2-a`B-vrGPn>7_K{I% zZTi_E;a5uv1{7tcdMgsY%P{QXQ=SkF*1wdr_bN~#UT!`W-fzwF0GryoeZLmN4{UR( zDk+oU3Ch@43%L`+lIFliJX-GS+oWU_uUkDcyt{5SM218&6;_icLsTH;qgs=F_THOw zQ%KlTME!%eLycn~Gf$ps%4#tz@^E+Abtn7qga4Rpw~dr?=R|5wphuB;Sp+V}^5Cg| zcO+DvPW4XK%bV?#aTzmltnIx0cJ|o^A6jt%^K??wQa13B4caV5Ab*CbzyaP)=6b}l z&g@ES>&mqaCQX*Y>9CL9d)G!3sBEfd92?>m6%@H)3g<_{Q}h|V7p3p&w>s6|`WE(_ z<=D=<>}H?5{kDxOLS|_f>Y*ziJXQEg{)~k9r(vKaBM$~!o%Ptt)g3lw!XzoSSyrg{ z)5aDlhI;V%!2!bC$G8;~7l@&`z7V9tSC&axOIA`D6;f;~R5t_G(%PgljkYZ&$~lvQ zXD)^@A@7w5pq&qA%HAl40J+pnZnk|syUrFat@ZiG*Z=vl?YP-klP{!pQ{?goU%SL# z^6in4;5VZTIN7unvBWY8Q`R*w-PWzC^Le{G8DAPPJV35p&RLx*a`=QlZN=qYK<@%x z^838=FZN;NnKM6^+;&=J72m20bx0b@!P$E2rOb14%DjyLI4sC1I)Pz(R)Z>A`|OL) zz0-jb_QgYz;c(EN=*w%j;_Xw``bnmZ7*keqQ4u|NW$8_G==XriN-EvcCYw9ht zk)3u%L7<5qHQ;rv;;?tDw}+mOoYdlS+iRb_?aepewk8=VMjB*?)sFODc(e0Rc+&Th zHF~htON&BBFqEtMQR6Ca1vYDKUaFiT*efrU-zPC=q z`EYBFoV5cEIKaOC@=G}*UpS2%*TvS19d_8k$|@>s)>q%y^o^!TXJtz#Nv>#vDi`$( zUVvv{P}c~MC>gG&!!JRwa%8OnV(BF6{!8IRCZP+UF5rj1BgC-5a49|F4rZ)y2#N3G zyGSg+yNLh)LYmS{fOs*DgDMxHrU9qph=wbM5g+CZ#z_nch6@Gw!+g>Kqx-{m@4x@9 z{pd&gcq0HOD{C0WBM*iOBlw0#0rL;wS-En#8zi1-tUk$}R2q4(%p96fJ^;9BY(B&! z98;!DaYadkrrcvjj`B^TOBXGc5Xs=E*!daVKCz1dz&paQ6Bp=`r^kuoJ;K%05muJv z<2foV`LiUPHdmrQ91WG1n+TS|@Zecl*CnllLgV{)t!fBuDo?i*GUMWYj$5k7SN0W( z$J7huW9K{*zus^JDV31&^r?Ip&*oO<57;k;XCCUkEU(bYaz&FAvNuM><)SPMpE?gE zBi$0;`u0xW-!#A~CGYtMx#r_}!;A71tKag~aASSp!00hJKl;tb{5U|hLk+7}@mhs% z>hz85yV+9q>P?pB^m*@~zq8GaFP}%J&A>ljRi-e!P|M;|^cl9OrA=gH*-!RA*j{?^ z1y>A{RXOm{*H4Mpl#xkp<~ER58nsM)n4=WV6&PXhli|bZ8*OZJznN*1)!XV<55T`5 zt$e66WCf+oa%FJx;Y4JM`H$M}F6$V`vx(z5g{4m3d6P{o?X?{7B~Nle&jFrLM<`(O z<=bq%4DpIma4hSPT&iv|)Dvx3zs}D%oLz^AnHrEX79t#r-oDT?g~vU; znKpM_hxKIU_$txoo_f+M3VWQ3?Bk# zNIO3_%ZBImSyfKAZyKg<&~2>PWIl?OeysSTd<=nRC{BjbbmUL8`o?Y<^Y5&xx=cBB z+4!O^$t=A);m8h-dsen2Og$jv9fN{V>r`9hS7%na&0XGQ<0g#t_CIEFmsQsESdp9y zhOFIz7p_(rFmM&;GY6gHy

{wigKK*G_B=(3aqI=(1=dzsr|D85tWHZ`VKLlBsFt zjrDQjf3@KNJ#Af8my9A%x5_4OqvNlC{m-h-#A}=Cx#bENMKpLnBC@jh4 zvp_*zwhlO6sdOXvufF6Ux#06uj~MnL^pcJ3V*^~JW%juc73H5R6rwm$0QD39Fe z^1XZGztisBGfJDMojI`Ru2G@m;B1aRu5MGdP88ok$F!w9lvO9wbLoiu(|7)Hx^d%r z1%i5PRrJ#jYD)iu5BxXS`_sL5-=1#0{l;|t#_Q9~n>W(I7&9uIn)|Z1xwk#Wy?1e3 zqY^1n17?`xHp=rQAWbqy;2aL)U!$)f`H>_*!G@A1^^6|Cw;Z~H+`BG=xMx9{7IZ&1 zi0k-kpr7)>vAd9ki&pw8fti($8kFL5nr#p_F6ft{r%lC z_6#=X|DErCclzcx|8}~4`))M`JsWaV#=uKP6m67SGReK=sm-guvBv{PGrpIsm0|kC z#Y?qv?)>?KYOrU{9K@i_%i0q(M9!;u(-0`Mk)i7`-_h3BruEH@X>(_L+DgyqJ&zzl3g%7l$XFK?m(T!gtEtv)2!Q){XI2zCmk6ym=;YX*_ z?>(P59w&EvtaL=1&H|drrt3%0K;eboeaSANmY%OBE)LS_#QKgF!`7o6vXjqrmwXI| z=W_PpcXWc_gip^oIyW2pF5BOhFskYu4!nCtXVpvMx60^_I>Mv=P`IgJq-5$)d5k}r zd)a(BrZ_k9YZ%dWM)m5o%Z1lB z&E8{V2Fri6)ihl+LMNlkulMtPKP#8MbQ{4x`1ZHIy)gXJ+F!=;v`&Zv!w`-c893lW zr#2~#XFRyV1^RwDj2A;j%la#A)n7n5_m0Er5g8t5QtEwmBICrG9*(d&9dnsSXuLRaf^}^<3&t&I6 z!w%!1OizyK%|Q!&fB5iz>UhY*`H!JCFAt}0{PkZ=Ka7K;7i2*GWw}cpM>tkirs@9x XC4OE_)qZdf00000NkvXXu0mjf^62s- diff --git a/images/vga_show.png b/images/vga_show.png deleted file mode 100644 index 0f04db94d1ee823bf74f124327a668ca881e1451..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 542104 zcmV)AK*Ya^P)Px#1ZP1_K>z@;j|==^1poj532;bRa{vGmbN~PnbOGLGA9w%&|D{PpK~#8NwEYRN zZrgU(ht0kB*X-tWw|)1%MnWJE-4m8jgLpy^VQjfbiAqHR%Lq#rv4dzrKuHw^!6+%p zm7)@p*dTBtiBv+xNlZvNRa6`!7m)}hy{8^f-#tmMyZ63(Pq&+|-TD9iWB%8-_ILKV z?@6wVbJm`7%{k`i=A2`WHCOW;eBk8xx*Z-g;NaTH0N2-5aCmsw4h{}{zTIxy(b3UR z7udEspBz>l2W>W+jq!o?_PQO3)wK?IK?@wyJ~$lO05025Uv$GS_~U%egM+;ArJOwF zNs-gMl}aniVm?QQDy;UC$;7e&hm&brtyXQSdT=Q>Ewxdtct8^wuFZ2goeO8(>&j;P zsp)ok#`Y=sO0RZM{GGp+Y#olEp<{C`u0`qwE;Klx9~gKZOa|JNr5`Da9BG@r0n+}U zNzUFjI1%9peUwf_W3^tpE%lUdy3L}q%R8^Yz@zJMIITW764%Ibt#L{o2rbHEKk7Kb ztJ)n6Wr6TG(qIe?`g|?9!G)d@m(!OMjm@>}T{3qYi_W3O`ew71j>Vht0YK||d(hUK z1PmkS?j~+d88^!^0 zk%#|6_y_pz^=_PUAP?zkOFv9p2YrsE+LR8`hsmQkgzk6m-ff51Dwnt~zx;B$|H1>y zb?@H2_PM{|H?;HfbDw|WjZZnHA1#ae6}s?4SNsI!KX}))!_>JZAs^e|k9=mwm7|Y}G%0nOD zFAV2X22bpV{(;$S=5y-ZPGEeC9UoI;obd^d$z;~9uCB^veQY8dbph(=XO~G9`~&Is zpme~0PzPPs2Y7N6pPE+*XVJx+l>3d^SugdBFEqX3P^z!`%VW*lpb*l{Aj7@&b5 zR&G>Bc@!cKq&~c31j8ayP-b3qa$jIE@R;_P#~3IJ z-O$+w%Emb544D|cgLH%r2hN5GmGd!xi#-F_38!WrJ$M54@xTEn2gdw@yPFi8%2huiqj}NNfYYNNLXS28=VPEQKwbJAnyEwXv`^W5 zKDVwM!R0_NpR4bYiFPkTLBUDnB?Pe2Jf+Kl!He)mJ1%KOBs;Gkb`n~SAE%u zJc~7H_*{2(O#aY1l6>ZgGt;ET;Q=JHqmGM%*kdTe{z^Gqo;g6i^QX>ZfW<=N02;Id zklTyVa%CKxU3jaiY@x0tAOcE_(yimng2Ll@*Hm4586&t zH&J^QNazF?8leSl>>cMFJ9C8BSO)kea79magx~S;soS#H9LNS+)oryXuQi6CWjkr! zW_E%`~RzQ_7f+;Nr`n2`y6U zXd8L~$~dP!<)pNs4%t|^$phxwQ)m;MfP-wS)za;j8Y|RA-t|g>kAijjXt7w(dXQtq zcvc<&*S@0jVqrTJJ#eE7K){6Url-f|@$$>BwzIp{Ka>;DEG`ucXr4r;1hmI}oFMefroGUE5Lp3_g6~GwFZZQ?jBvKz-U*P*!#dz2sxtom@McLd&u- zOGh{aIiXFNd8<<Jv%l35F4j~5XI0$nWRhtD?DPFH08jUPGDcL=Rp*UOaaP) zl!Jq!p_h&Y#(XGGTiOlnd!7NGg%co6+sHv@GN^JM2rU*Va3VVpdYofyoYg)Z4hBr* zVp7&s3AWsIeE8&?_Ms2#m$SfXUfgJZ8_=IqqW6)F9E31f27ckQb7EY{P!A*x9Ei@C zTj+4aHe-3ng9DZ10d`Z&Pi@A1i~YvDLz_JPfKx-4ltCkXLzyQ>w}15KJO^#S^||WF zb5I_BY0nY5!9mu@Son<5DhFsgrbiyw&x4n7WgT#2vTWLB@g~nA>3-5Y4Wu3QV@P{s zj9mZ+Ui}Igpe+#HkOwII?Ve4iT2zXkgN4b|?xx?DGL@m63`EiEKad!cu@w7&m$jY=mJEBYpG1i zId-7`3TVf|U$g+~m&>)}QSc-=X#?%Z8eX+X@A|{M)z6_5h^!>!J%_p-17FTWb(8HXcIed#gFU*jKfyybb{Ci*M^owAO>f@nSAfM9y8y@u4cg(ypbsx(p-&<^JSYd4e+lMO zhdjQ(P+$?f*flsQ1KAZxBnW61ngAj*@12Y50`NpQ#QG8xD8fN>sLX$Kxgq@%`VaB-VXhlSMbRBm}xP90@O zGIHbsTqc^dBOjm)yznOvt#O_J!~?ny%nYhA1i$E@>u$0Gu@iW}I8PfU4g);$q%C;P&`WXE2fCI4WR1SlyE?`Ut2(K}3Xe;O2ISg#*;WVi;T^-PkBi+#` z2WmVWZl@HvO7Fsp-q4DioO{95K+V+*5E{YH6%5u@QLy zx~T`On|MTa#=_3&piAk@)$(Go+nxuY&17Q>c(>cO3$%dhKJgaa=pWv(6YY$zjs+iC zas1#%ZtRWCfa0?|%K6n8VNA0F0}syGj%EuOJkG_xb;Y|vJU+fDnaA^k)bS<|QPt(@IOS$Rks{Ukl(w4}I zW-2fGed|4Pj&vmr4}dFgXhR}((V_aO2L<$_>=8M^VLrl-e%NX(sI_oi?Q+_28_2g8 zyWMGXpg*L@tR8b;aXvZN1<|zO)+BtOPub;i;S`-nk(FDJ1SH(vi=9{qf!v-17l6)@ z=G2ww*zOcdFMI&D0+&7l;%~7N0U7x4CFQmP_F%oqTm_dofZbz15idUd9Cq9aqU?pc z_b{S;{R=O?XnOa~?zB?{CsWyspmiZV;6K%3u3j919L7fNn9v_4EmDk2cG;@GOPuI=K1N?F+#JCWk>EnlZ&N5Phy z zZU=7jQ0H->GSe6Tty0dx8|aM%tC)SyNC>N|Lr&IAYm3+7Bg2Z7FX zdZb|E%r;-FSMBWVtR0`8c-*}5$}4Iqzo2pSxu5qNtF3qvP&r`ivT*=4KP8iB0r)rS zpv4@3dp*NbfQ%J1NKTDE+HQpB{?@$a-1ItqbOct`i+Q_kGoDuwaGrYR4*dgNAY;|_ zeJuyZbi*rjf{#s&1F3!+kUUzDrwrh^x7hvQw?BB{I?Mww3xhxe2B-sIIBuIZ)tS4t z05lzK^~jwA{2U0x08Bn@I8TbeZnv|Gp^USW4?teZhmJN*$(THHq61~%!#4(n4|zM= z&X);C9c@z2dE_0__5fEsN6G;5ZX>$r3mt&6K+2FWv;*M_Kr8fsv`Y#&=uma^bXnIM zJlb;}87Ye%WBS420EdGz1{V8&DU>LqtiIC0BLA zaX_%sB{Y2zBF78R4(EzP=9W$zGq|*~O=Q>UWbShgc#d!FfR9WZ`0|t`Fob^imz)J9 zd-)RT>37?v%Y=@Up&vN8MMR!J3BYF1h#eV^oRf#n$?;6%qjqY5EWp&E0}pkU-OJ|a zOC4hr8e=eh(FPA1&}8SWR-x2 z1IV}qz_TpENgAKxq0|@st;Pp>Km$8akIk8Lq=Hga>0jR>N_ z!+-g&yXgXp@Nu7*Di-&>QIX{QgxPDFy(d7+c@B z(bX8xNmnK`3dMQuov|voQ#7d94KR)j8XS0}bA;@&Kd8l^l;z%L zXal6a9iy*Vcz9>|Gq!Ka*jWQwB#IFEffQbr)hf+49?&#q6=PCMjc zoN*2h0KSYlct3sm)O8+j@)6WU2gbl4ldjZrs{w!q{6iD_U~~Kg<1#?s0N_Kn7Q6BZ zEGC?@JCiq!;184xt2UqTkmPP0(UzSM^6Ugn6%m>4Xc6aD2J%pj4%iVLz>Pk>LKh8i zLN|6JwO%^VakVV{DI+k#H-g7G&uRhWJw^lsEzph5<9_2d8uE}2FXpsuEL`+oYZ1(? zgOo*Xc7`llPhEyAq6=-#8Ow|Zc&z&ly!%%`9-08bA2L%04{&%`78)F}XWGQalzl{3 zr*11>#E#fp?U!9v4ty5%1QxV^@Zf>xB6TNs&iwod&j{Xo;ekfY#{T5&^sf4eaaIdD z@-a^V+R>xlNt1pxe!34>i&qbZz`>@#qF+3-M$+DPXUH`0f*;?r^QWFSB7+Unf0U&?Kppr8zy03bnn41hI<69|P zC7c8qE%4yR=+FV&hV}?4oXxcicUbq&BfMz}d_Pf!rwrRiX!t{ulzV04HgpIMGOtyo zd`1UKStwA4;?S#zwb|SZ;Kn33XY-XyfN6BopNfLdxM6AlSl=o@7Mnsw*{A z9vP(=`eU| z?Z{hBOHO{Pu&Vp9gC&F<>HZXGuDr}m^{3&&zPoh6qGPdZ2zko zf7pXwM{RJE-(AgYM+H@-!^$*(27nVKpwDJ5=M@=Oo>m~eb!@i5h0nf)C>;F;y4h7a|A=slrumqkOt8{P3VyK z`N&za-~r(k#gd2Dx(}ALNx5YbUfmn6!Da{42MqY(X}*HcqYft)pp3e-4L$=UbRrx1 z=mU^OwlSofgSJ50hX#=I;1ZEXme>KvVgdBT?Q}MhC;h~EO+MNgm)RhDM+SI=Z*;3$ z2t|)ASnOFiW4y9`+5ma&jpsK4Jk&>EDgvelF0b#w7oaRxT_r!xrtY~isdyfQs|+R& z6O;SBp%aKql#?PWDU%L9+;T&Q$U07ej28fV!3X_tFa?r}ziNY{=L!ZKoFH_>Rml#MNfhT%h|8k>0jn&#_AX^_5q*U zI?(5qgb=L1ZMhJT+~9GL$0ubGq>MCja1Jl%SuPobHlYuI6In^&Zyuc{^CP%^ zMnqWxdhmeoLKWr==g@Q=9Uw4&7D%0on@W)F+B-mt#iePv|1?gb*Vt|@(-;UZa2YqL zM@Mj?Lv*TY=Cu}B2Uax>wXE;1kaYmi9iId63Ek4M#tP@Lqj?Dz{nM}TTWd|Qd@=9yK1wwR;Q&%9(~*LV3MGd?r483*WzKV@9qxpT+QrqGV@ zfnQ_Y!rt^8gNQoLxAU&wOZFJFbgssKLL7mFIrb`w8y1>|; z&_geD;ynHCAkn-uGC$ZKOdU5gU{M)4!G#-&3H2CEUFQ@BuzS#J=iGNjDhELO>O2+{ zqQ(*kIsgyzI4`AY%Xr6Q2yk$wUB2-o18M;0N?>P{@Q(c9N5F-Q+|SR)p*ZJ?aSYbC z({MffDeHleX?I7>IfjK+=mF%}_Chz)@3@5%n@cj$qJ0Je_2KIYWxN7#>V0nk4uY%H zE)VpLbErjZTfAH+Iio9s*H^ND+M`c+n1;Z*>F_{NIgvYUgX2SOad7M=FcEXr#oH#R zx|>Kl*=N&ZKdc8G06K+J&eAkAPH@VxC%B9QZYi(@jZAEt=2nRvsfX)J=GOmZ7ueemt#PYdlkg8DsYtjW59UVNW8a-A^ zIXgM0Owu^w=s_N!@6r#JSC+Ev>BEi27fxG&2)DGrS?J2exN0X$4EmG|74YD=;7fTN zE_FP-io>!BviY`O-O{1pEf=zp=w|m1+RVoqPtspB7@M|12V~&f+wff$Q@_yN%epdR_M)sH;36=KNdxlP5w&G?50uUXO`S9{vwr^#CV}kpflq>q0aL zP(?;EYcA{jNVs`JgNK;GuQ3EIjZ2MvzJKF>)e$*4I0t7uHVWvwk_|i{ZQ)f#v}aC~ zA7(tk8-N}_IeBaj9oj?3I?FHk8VBF5jOEdo$`0I>{DV#lS4Y}Hi#$3~4%FDI@dLPC zetor3zsd(LRy95%-~QWdT(mHz@FxkJ&-z6Ybm<%KAgG)Ri?wuSKrpT>n`puln*g256PrUSb0=CJQYcjYOMPUuM)bUEl>^mH5JS3BAyV2kez9mX$f4sATvyU$>k z27m9{m>Qovr!qgOw|{t}>9yBiwQtx8*X_D(k%^#&=XJPPbKl`##mhWo5bWw*H`O5r z?U|3%LkIoQ$9jss*Tmn|p9z5hNaTxXI#f}o2vJ_QIxrFjEyG8E7}CUh9YA@2dUyas zxdZ_>G&ndf|5h{s+PS>fCl4@?0Lp-rhfY^zXybZ1LprNp+&R>t-$CbRN7-!x)v=BP zjSeXr^T!T32gbGq(gtT3T9g6cjQbD!AZ&? z0uZ`U$1w(%3)SV_iMYRTM2v%Q)THflCT4oDwNc$+jb+5=a~40N529RNI}SWf8Fs zYOh+?mmV|qftuNDd0NM%J6snAjSNy=5{&8`WR z8RUg%3fYW}2L8E!gM}-s$P7?Uk`Kwk1dA34M;;uC6Zp zfhQT&V}vrESpqNL`f+c3}ozFYk~Aw6OZ+B<5yJ)jOZ7Q z#CE9sp#xd^8@d$~!KpC_xkL-?~6{ytuKwau9)uA+X zzJ(%yAAAWMW(rJReEB7xGa6rg{WW1=`M zZ^nmot_xqu^F<0mV9rSZ)le|ot1v`ZaJJc!6-8bREF+d60L}qHV(_Zp4c;-IK=4d= zRL^MhKWs^@j_d6 ztBJ1FV8DlN`i{J>bflNd)H?e3V@VyCa}IcLo~t4zB+sKz=UY?)VkCcly7Q=4S7m!m zGVB3R4$uyO7K;~G+R(?slp%{hnT`(NX_De(xH92(6m-DjNFEvONcvSeHlWP6e2&jXO;6NI>(03P5oCg$`0wN2qb0B)jWLX;DDoSe@6wjAwQ;E^r<2-m-v}sQ{GyvOP2Y5d3IKdqslvV%iaF_@1qdf<-DQCQd z2Y^o0p%Zxk8bJ7aS3z=aG@q!O%nAN0_=ruQ9bU8puGNnK-%5!Mz#~8A5&rC40LGEp zp@+P|2PkvuKtTHD0!J4LsSB`M?OhV#`4B(vvWq7Y(T0GPfY%Rr3l~|=?%rwlU%aoe zJNHfsw}J@t%}?!p+fn+<>DdNZBgiwp0|&qR{{8E*(m7%zU_zv(Nyi0kUU@q5lV^nk zE=CXq*Og%zAPmf+<$9mjLW~kDEYz`}#BoxG!LBWF4YEMw;s8%2I&h#2aO5aiN(Rx= zd33`GY&Ae;GYtwHH)X0b2-o(3r*uLsKNq76Izb}Y=MH`!x9vs$fL>KTCqF^|et@&g%6Ci;H03Y~`Tv3u`znd6C6)Oz?aJdwf#8zjaI>9GKxT`p4zXDP z0h|aEsBFZu6vu=Y#>Kgyql$aG(wBS%c;$rS*o$}3CGUr+dQb~(^a;3LZ5RVw8Iz|T z+DW-(!2+~eUk+_;lWsSUe*v_7Fj$ph=d!(yUe3-9{t`z3FV}Y&`~fFa?)c@1SrB(0 zkpf7^{K1KXtShjRoi@4Bt2S~XA`yPIBSQac%*qE$j^^!haWU`!qMOHq9D3Qg`~hFf zgf?Bs?h62Ia49by;YA89bYqOyoS~fa%pC&E(rq>Pma_}j0 z0EZlXFRm_q70x(@E{och{?WujFY+uHv}BB%&F<_HUacp(Ev0eaVh zPd&xx4<2>QBiaKzhsYfTaPiFn+JRHPnYr)DY~GX=t@5dqWh|AQYOF`Lw1I|wH*Mu# zBQG>EH@Of4ADs0AY-%uK3nh-t)3I2zYJz;LG~$m;lPTBa}jj8VE!P1O#|M5IjI}UMbuGr3ayfZaQ6& zq`){2PTF~Z2Vd2chd$*QxakNK%RmS{+6?lsP~k~6xQNcE!!V?@32#7Wl~S%&0EVx@ zSpzTw3!H*7c+SCrCS~Mli+s@`={`h$N#%3$1b~Q!9a0}>lkIQkaZIJI5T#GH^rS;@ zxythunSd*H0s`ugE%GC4?v2~_w4n}D$l<{wc1Ro2*oSi|=-rv3Qh?4(kZ~JQj?hXO zuup%SF9%;^3cTd0TldMzK_Az>+%imPSjTH*!D&@cR?f5Pp;t8G6wAqm28SdxK55Y_ zN5=xiGa+a(nN}OhktMW|ne))Z-q7SDP0-4Awx4+sPMoFt%)AT0qa0dnS(Ar15W7&9 z{v{9a`eW%KK9Y&;Z*b8w0SV*DpQTnFxmny13;Ea`$<->2#wf;Bar-n>v639kV!XMjQQ}E))g- z2K(_^D8U8v_%y$F3#DrU*n%1#^e1$H!MBJe`1n@vOdmOPq@Fg=muFWA?RJYVa!`jo zkO$zr#?sDT8@fNVz65PhR7xM_HCTXzcF4l-A;FK`ELq5WC}+G71mI7ZBlsfPbIhl+ zx}7E(##1`h178d+R0**+CX%VZs=i|RnGj&ZZPz^i!cs#<|F!yDdgrn^pJZ@ zs~1*Y2hVtn>!FXm2_orN%2N-3!<-H|2N zb`yti(Q*o+38^8IDRthak>R}!ZSMkI5mudXL?`lL6#jD+F`?6h$FGjEb75QySkV+T z{c5I^CV$Az1u0!^IiRWzhu{jaz0;viWnfqq z;X&6tg*&m4WKPH(mH+>f*r=2Ul5_^C}qS*Z|$8a+2hOBdLT}wqx=$ z*N_j|^^FM8RRwy018?gj*y&1rPxTKzB^Tt1j_G$AWlv2K4LrOos=v+EC|m)pOuf7|^Dnw$w2R zEI+(P{5V1EoSDp9QbRV+Bs)=Re@G zU?QjHg=C@JHWv@Z4RV#<#j|!RnY%kVq0hX6BxQ^f<}w#zIO=@fn**@!{lQQ4%9zad zJBTwt=!;90*zN;lww{b5d*qTMed{?VI%7FFr=Bh9*ebh#(8Dfx zbo$!16Ai{7?cG-8Gru`iyeI?APdXzfbjSnY%~*sVyg5(3&-D*F*q&d7UTN&%0|Cm> z5xnp>NT%{36(AwE{j+G}m+3$BuQALRP!swbZ!0>H8>n6`9me)kL;J4saiT3c0QK&> zE*lU%Q&u~goEP4j=QNN2jyJ}ivtn)xl&w*}p#wvgw+jiix=`%gI*ypKOOP=G^B`& z)q}5k@S-p1GC(8TzQC!&0fSo;v*W6qG9b!2?Q~NX=M5bkrU!%aHiVQ44F7Ybubz`;hq>#+>+3^tJLeqn} z^D#XJ3`duCf|LaKZ~a1L}rOnub1&KAF?rt z<&b4lKK@g0F(8#d_ShV#UTxeKn&O8HwAQ~Yb?~_>3)&El*?r+Urs7~A`5g#O<(xj&n0lt%tvDLDB7K`%KSkt z<>>=bY%Qc3vpP3_LFNzR-h9=XZFwMkk%fG1tRz0Qx1ZDTJw@Ltr{bT^D^6ct$lLh86AG;Q>k zJlKw4g*NbWzX%V&7U@IU5EQTwf`?AiS=}xph?^+D;r60>tB>E_RxsiAWIUFVDz7mF z9^6Qg(KzDAa1dAfP-Nm(e)9gE+^cWRD*S6|D6>`*PF2OUnl!HI)%F)$bcLK}c za1c~tFYF%QNPja9paD&G9%+khIQ)RKaBS;tukr0P+VMbV+_V&C#2jMjYQP8E& zQR*Wb@&c4`PKqjk=YiJ&#+qy>-Nz8QIG|hW53hxDOQy!ANDi>Oja@Q$JcvKz8rv|x zHwu7CzivnD1zvxc-+V?y>msAd@Gp#6ucbOi|M+H7c&ry1dwp&XvVY}0z7w)@rWQa7 z=Nx1@LLk6<21m%Eb(uDLNVQ^`(K`Rbb zqhc690k_5B35=`u^Z|0=q%jQnBes6KoRNKB$D}FuGc8><%2UTt1HJkMzV2;2qQJ5W zs!i!9axM=K=yOm<8F|awVLVX)YK#X>*^YAhJhyrP%8q#AeB%47@BZ z9$&B)PJk?nyccHKUrUW;FCI0<0Ax-%w;EigHt>cvGV=9I@EnNbc?CO$!lMpZum$D* z8ma=U(B=0hB3oC_GC+?H(6N|C4h{zaea>>97KaS&e7i;DIxprz*(`RFi*j4)kgxcb zjVR+Bx;%e?DCB{BunOH1cm|*Ghi{Nlrb+pl#nUa(WjAK&pWM3W< z){EnGQorZIGa$DANH1t&&ui(=?lSbiA*Bu~0OKOOtzVZR;{~9cJZ+H&K!3{Uw%AAF zRbA(Gvwe+2or#|s$+Y9&ZsLMd1IS|tH|P&==?uEa2hbi`j0@VqmxDYDD|vwP%ro#g z(w3Bq1OhRF9+%0lgdQnnqyf$W+M-+XwzEbd0o$OwfZpMpc4K@=<~*cnJFWwl1APf_ zi`Pwl)RT^7jh?{^4(HaTm!}@Eq{4aq_1FD1*q2^Ah@8(=BHP`;&gPY$8VYu6QwAw}jwuhs|sVj_d+0HZ$=9186t{H2gbus` z%5mx}aM7!9D+*7!L6ZpJ+*f77qbz(8HbF#*gl^bGRsH^V!1!*z)5~-vBOvvPz98*L z$;Clf4^57``Y&CW=n&1-F9+qJVcSXGF-^`h`Jy9GdUS_v9=mbC!kf?S;{f~`rZUv0 z?|?BMfY$J$A$Fm^sY4gi$Vy5X{p>zaOX!a2fdd!HqZ52N>Q;|Yjh6%7=Ba+NoSGoC z^}||Pum~1#ctxjVD|?nLm@~c-QX7D741nVWi6-oL=o}sZ_thga2YF~jMgV78wyUd7 z4v!N7_;$3yp^SF1nK(N|H*n}H@^(nvemLIEfqkGIbHcWj)8`^&%ojS;1L!WpX?}CH zyxY}a5yEZ+RDR}0j({sPXoI6cXuU?ekDWgOk!(fK$z$XO^#Xbck01icc02fub=@?9=X9KWjBHO1`T8Y zsAFUR##c7Ft_j@C2i)SzayCr*BRMKH z5X~;2+E=v9#84_6c1$b}i-B+)y?6O|LcFf7)I`SPN&v^^d*XJ4(4&n81hO+-RmKzf zYUsm`5jmCjT&HW9S?Eq%rSKxJ2w|5`IWqMvOKgHXN4`>_qcwOUALlf%9pFbEJ?%nN zs&f7Fy+75^hQs{11e8eBBQrMVj5hqs{lc_yUjGK&&`Wls1>lLz#Kjg}XXDd{BESKV zda?-Dghki)WCiXgY-U94Ko2XcKaj*_@HcgYi3gkFLEqvOSZM5g869T9q@_=3riSn$ zZyC+W?LFYD%DP)7WECPfki>k&`>OO`kYP3VN;&R0BFx!R|vq*>>ol`Wdz;64M<-6xzc4Zqdki<^cce| ze9)krdT3)80w&4`jG)WH!Yw}cv+{XX3)`ZTiit*C=39aK$30i>Y4ac&{`BdS zw&Zq!`e~u7)=OOpktg8b8H?Sm%kDgtXF*_LqigS+ox0Be0uc8ce3jDAi~;yzEAHug z=Sws_1|X+HCK3*IP*A~Ja?+D=Wg7Ik`jgvuESh$j*i?1&ftKL@{0ceV0HBn& zkdU3Zalq{XY%Cmfu4mmugL&#!m_>TwPespb05u{;Kd}H=U&g%ho|E7U7l2O|#>i1? z0=sC!!T0DN__TwTccG5!;bG=x`L5E%c(RS>dXaS*JJSBdKDv0!;~x?n&?nV*u~7m2 zQO{ej@Bxt>Ubd0WO$RxJ>*oQ*n65=`WMeFG+YIoPHY>hUT|GhrIk5Wi{6y`L+K)$N(b~PU9P=IQjcAjH}tXZrxOd%f9|hMTk2|) zd&kJfLW#{ooAFqVtj0r?(MdI4`JM{0gTpP6rRdGIsa@`)TQg7g5iO`J3F${5Ga%ohSP7ARiTrQ9+x$4O-~0xx9r{1GW0 zsN~^PY&Taw0_E>IZRPWm0$ETTsH&V>S3KP3F)4kRH_Q}&3P9yTar??34GRvt3pIul z0Qn+K^!-k)C|*K6)ZKegfT*J^g5!U59|n_0Kx_Hc;8f zW3=M{(F>p+nx1c?3uJ7<2%#lY2|yOIZY#vdFwo*C0s~Kc&Ye4F?Tfzni@f&Exp3;X zGhR;ta%H{dSJ_v~MeW>u{R=P3o|;5Dj*bcegCKZ1M;_XF4h9@$-iGhWy0cjDx`66~ zo$xw5F@!@0cuN`_4BGMY@Jr}XN5|3mxk~f)8V?FG83Y4O4d&o*UIr)1u5-5Ta5P*2 zg-0EeVXN#?#;6|)gNNjj*hNROcR8V%!9*L&E?I0JnHGE|`cjUJFOo8O0LtMU;9yQ8)w`1TgjB9lYumg62!NUHAgf0I(-?IEQ!m^S~HwuP&FeOUXRG z6-56+pM0L3pl#?{_pTeikjnf=pD_<}S37`n@ZHBYcl95*0Q%7$8;~;p!Y^Y2AdkMB z6JS7}XS)Edz+gu`v}wyjrnm@6D?7pJGSo_^Yati3JHfuGHuU za4!`MK`ZtG$oqCw&%>v5#x9iBoQD>{G+XubC+FbOhP-_ba}BcYXW2rEsH)@?E#e5~ z6G1OKRc^0(aAOnXX2HRx;Zsn3OI-yFy}b_l007s!xuQoMxV5`ebA+{+HKZy|j*oAhKmFzoU=NW%TIXp9>ofkWd_fAT8#g+Wo?A^2S511 z_T|6lOMSt__ya8C9z;&)u`|o9n2&wzW9{IZUbrt?)^iekY$TJ6!JVHZ#)%J4iX$A# z3CCO2otY!NE-V*P@@ zm)vx$udYR#!+a2i39tTyuK7?WZ0n+{E1aq8gu_E@=!CzslT~UNv&1{d4mosL2L zlZPMq)cavaISyn4=s)91Cg=s92ZsGjhj7wf385`*tw9Tm;P9&ot7yB)xT z7fzQTf^QaZ<-pjYymVkJ?Q~|IF+StC0n(ybxbR??!Ez~3BdxxOlPnp*bH8*uFi-q! zhiD)NJ|pu!3o$YwuT9zcGghEY9k?ma&P0tt>X|!9X)k+t!K8m{97G;}sgv0_#r>#o~N=`bf=Cohx0wBvT5tEp=l z_Tq5cE?AflOao&jArTCP@2}P2+ru_J))2mCJG+d)r-=FSw2c&7T(7)=u0P zSC?JOu|d$b5f7(@r93tld7iP*VvRGt;#Wg`i-Wp8G3C^eA(MWM6Oh0>3=JTw`3T2X zQPa6~q~D-{T%L4X(SYJnGR4dRm%b^^HKD;?Yq8iNTo9Qo?JbSr1fqKmVD1~RFs z&uv2i!9QQ^+-@X8?{nL->x@{N{-cQe>Mmod0<-Suq%ZT2`nugB1_#r&T3mS^*^c&o zl_y13=tpOdS9HM%PIp&c=m#FO8Q1Li(AGZ(t}+LKBF+L(wCIyvV1OOTvncRtCU!P{ z|0tUSJjo9ZW%LERFB-hQf1T$60P2sAYuAAQ6S;8Bb*rs{5ahP(l7)Wuhp)tsJ|qRe zB@aGZF2?I;-`L6zWuMBULPH3a=odEas`5x{wp3Y{G0%d-pWa~34h z;jJnGDQ$4V1ah$l0cN+N#|35BgggsTWT6}}n9E))bP}h?E+q~HJ=palFkt*yM(o#} z7`V`5&Hyat;1o~#ww$4;c`li#+Q6SKV9Y}g+aZhRfa_wl1=C~eul+!-A;e59;Ytf|2CiH=++NWxg#V9gNS+>inR|trGAUmvvQ%y?Xy^fhXm>WK|n>jMX2M z@_h*W4>C(*Ex58INCI{ifXE7-^J-Wrl9X+*W${zM(e)z`fRgQiy}K=WmaZP=+>JT# ziw_vssQT4A&>HL1QAw}?J;uZ}I>;aL8Z!8iqjs@NM)abLU1I7PUzD@XAbl2R_e;0g z{^H9x%>1N1^9vl#c}r(;S-UA5(1D-ZDUUtELqv>2|CX*jaAF6W_Ucmb$OE-QSvpdV z{Ll;Ci~)di0ko+nb&1NUmtCFxgwZ_<}E}?}RY^x{lC-E(dJ^>b437pFVlge&Q#7q8)7hH^k1{k*wDRiR`IALEyHih`Z&qTgtBuX_w9Tec=;%>9yenlH#%$qfgoDSnE)Q^d z5f}eM1sO~EE9`x6t*edmS6jPK8-9A?;BMPKd?K9=+xaK1G#J+H;adt=B=GF`%(8s) zO+{>KcO{_~nqV4U3S`#pQal!NT1bEnmogGNHo)p|)0P@}II43E^o?}AP>{mVa%bp# zCYlV|M4lV~OIvk9Mdjt-G?`^|6}b*WlgD|J)>WtmrR{Ox64o%v6^70QF zTvdrS2M&jw0NNu7S288rXkfyp%Zt6uSCgEHMVSZMq;IY3$_?3}P2CufF9Qk)O`zOc z=~`vw(6dFEAY}|pG!{8*9y!pJlyerg+>_71q#Paqf7zu#7i0#{@(D7iqlXt!mX4n2OK{DeA=jbEPyk5@sNM+P|o<`Q#+pc4lUduY{yTepe4 zP-*=8Rnu;3c%NTh)b5JvS*WDG7LI9q>#a}A3G=2A0n)}-SeOl&Gam_7NCWhp=Y<5w zn4<6DH?|3N0QDS{x!-%=fS>jp^eKG6qmD9eYqQJY@veEy!b*AMfu8l359!lwpveQs zN>pET$wP-cbB_n4S%A3p>*uIsSKq$D0MeZWT2iY|YK$Y7`%+^D`}_G4a0SpzTk`Nh zHqMa+@$gBDOVQLkvVLku9elIU?(6}6wLwPm$c&w-1g8R#vIA|a4|YK?{?2_O6nur{ zP?sPV<=~|)b?|mxV?^rPZv*60M&B7%a{0W|pl$6|RXu<_lp{YWK0P~^bQW@e%YnTJ zLYNB~LjdLcXk~1lvd9|Q*@Xj)BU$|*c&7snvT#21p=bnHW1)9Q&?a2w2QnicQ2E`C z3n_G<8y*QrA`<`)aC2_Ejr@@1QGb2GZ~d+93qSZf+lw#0=x4QTWI^~iuOjGB#@Jh4 zT(%$jp&wEo{BLKHLJW>1Ani0LxS!4B4RDcKOjSmTKY3~F4i)*#<$FA(EghCK1wF@Y^5nF&D-j@? zI+AfN)nKWK^69Jg*2f>VtEa2BxO$*!O(;3ei_3%d)`CeOXC~$s;sss4cd^)N(22gL zrQ#;Zph>VjSb2Ab1%l4GmQAkI!1l9aV4`cW(Za$Y_Ph&j~z3BcyI_hIS(*+*;d98vSjBP}olzvSfx&Zlt>O1J#@nP>`Yd{8MgpZ#;lFg9YuPK8ox_%|lwjD1# zJ*D3?&dghR(-SZ1f{VO455yj(tAC7D(~#JyOMTj>Or_Sr_!0zs#wlO!WXy36P>(Iq zo5d4akq^M;=?6wf9?l%=5m_kb@ItFP=!S3P!-nw6vjp{eXVD2x&5c@A`L+SH-gx7U zfgj^CeI6d91Rc_7&{>Jkp&49K4t1N`(Mixv84ED@$V>{3@uV+rNI|2Z+d*UDO8SC7 zEIy)Vn|Gc(t99(}wup}o9H!@MwmR4 zyYnL)1YUVA1RBUpId56yt(k(d310}Uva%mLn)p{^bX~G&!_PWGuhX(F9nZKzRf$u8 zJmmx?vKL-Z5aJ7pn-DpytL%fF-+J@S_5&aOu-x*0IJx${)FT)ctF0YIS3+f#SB3uw zP>O!y=tTx2A}|A~i|s{6K%I&hoL0<78N<-gsvKO}Yj8X5czw{BraJu@kn?q0=D$C8 z%T*eaQ7OEr7d>z3%jh;JmxgMvS!z;A@q?8N`0=}KvU*v#$8Eh}!d)Mv-bAG%l1Tz=9OezYodJmYN5cR1~&`hN;30RxUCk9#bIsZqX&Tt&k`(; zwV)_a84qI86^o<#g)3HeGblq|q~$6SJQkViBVw`LU~`%Bq)c$+rSlDvOQWj?Ds*8D z;0z|{1ZeN)8ajkNS7$hEfU@vHjy#8vg?6axcF1D~Pg3yL;0$pLX5L_-g!s3vYYS;BJ;ThK0XN`kH42BeDs4Bwxm2ey7(c+DG1CuAbsFp7e-Z2 znW`Jchh!_?)61m?U(zL@V#g1FAF{#6yaegXHM!gE5~AYw#2 zXaRP9I^dOo$mBijyB2mdN|BF1hCFQ@0Eh=v9Eiz=y!Mw#mkD6=vvn^WGx*^X8RioO zgKpnDNI<DEmq~NmvG@v;Kpm0!_|lI!L9lVwt&#KuA+gBLKmPdvN_-7#u-G` z&@n#tMGq|;*q1!E%fiTFKc)+qX9rO$np$-I>#5QU`rr;%p~7)n^_lHUd*NNnhAi$Z zMC1YZvv44Tpl7d$?uopnEgzR z+WKC<=+`*e;bRwM7`L@NI_G0)c<(xqM%LOTL7$x+OV;3Ie05wN?$$Z|Z5;64t|pb7&_=@IW^2lu>nB=G6M-{Lx%%Ac=J0{ z-VqT!Qs_Y+oH2fAAuH#>;hetp4y?*(&(0>mIkG?p*x75>o&!69hfG76z_0zPX#xd5 z|Dv+^GHeaa(5F4S(ab6Df`BpNslPXT2J@JdK=oh$H@?Q7Yv&bsf{@4nkcSQ_w7Cdo z-2dV){$l%=|KeYW=ilV=Pc@U?hycVPYKM~FD13-VwOugb)acVuN>pCuRQtRi9Zo4EN4R5r=WAR)1JsRi$2=$pp5eQXOy?!v8&Rb3QGaxDgBHr- z&@n3a=N$d2>9(BF%or9LjY)JZc3MiR9>y{zAt2_G5M zc={GVDKD&N5x`4LyOJt-CazTrqz{ojxgB_V4?2dH%1*)#^jtFQ+d&+rUg^`Z# z#lPk(a~dFs;%%4Qx?|$FKZM7{ReYBQPA$GFryhFH!8X)aKh!fr%tPeiA|`ei-=d}r zotZ(@hX)nuk@K91b`NJsj3v zNhbq9Ic21#+tWbG07}B7@Psm1YGR%%s^B@8iw`h@{bN&4 zKJ~ageyb+y_WF)+58CDCvi;JhKHe@a@5(lE{#)L9n$(*{GTfCW^-{qOhSPP8U!&%` zEtiPF>w*Upzj0*7novBC!r=AzlJjS~Q|Mk?*Eft$zi9Gdi{9scc0r3j_vVLK^$ zGME{xsOv%97e#$I36TW1WBa#fJt#W>zy_`wujC{G(0l=3soEEg8!CbSO6%E@!9gi%~83GuU z<=(f)4#8XxKKw&$=p?0~K^{6ez^SXCT3lk+=m~8gZ2|YCWKwg>X#E7151D)40pUY= z0J~5Im?y7O_nj5Dx4d1>E=SJ8j}$srT1c@+fgo}D2U9@|GefY3EP#;#~!YjA;# zm+-RwYRfGmt{78CJL;iLA4Gp(+!jDK0$s}3or4E&k%UHU!8t$>1rD^0+hs_-q3b{y zZy7`{^AK$U5!z7Z=U3z-81L*HP=3H$j1EeQFFJ6rSOd9b#KGeE@a=chPWI-@vf@Gg z)djZ~2;dkK#aq3t^XkVs?>4u7C69MpM*5eGHMX!7U|m(lvnu$T*f)4dbAV4;@)TVM zW8y^_wlq!C=({Z%@8GfEGY8XO^c8YM7NB&g_SlUFob&CU=*dM5G_cLF_~rXq*qapo znOoQzf6FcQl7(?nZ+>w;))V@X9UyOdvN^A?28V;T$%E&1_%!*3$N;xen z{_uy}PyXaj%B}Svq;@|fv?lHTP6#rTAPl;mC!mN!3Vtt7Ys+Cn6drP%RQ{-(_jkK5&it`u~> zQLu5aQg=T-X|vU9A|OI4YrLYpmP35=t#Qz{~6Q=+U}8S)NKfO}r}&-m7(c zy4?a*x7wSM(X0^kefcD%QE2sq~M%(I7Su(^rwtn3I}pXt#k7cp5>5D zwERk&(gPrH!1?+HfyNbfqn+#EsrGRcOl*&de(rgzHYzoK&Arl#dH|UTAO|O9{OT7G zFrICoIUkzfG5%Nte0xv0##a8sSb;X%>M@KsxHf8h|_&74-h#qb!arjZNL$j#z&Ux2{8BAIUFsQg z(1niAk)_k*?Lo>Mm?#Yo>cb}~cJl%<8Y`sKp${p61#R>E6Z4N?-#lTcG&(u$G{Iq! zr5w56dB___1ophKbmck5r?%54ZX@2<+TY$#JAw*QJj_^_QRJz54!^30HHU| zhra!f1~=2GnpD`p*_ZV;@3y;Cq8UMiRJTZ|r)N55y`BodI-?tdz5B!R-*^s4B8tbE`-bK>kMq zOUFhNVRO>1mv`DR&&Mp!+Vwe(eBQ2~%E><7`WrFuUoYk0K6cR_J*~Hj)_0FI!55l z0Cfinrm$1!q};T5Xx1HwwgT*+I>7S~OXxhW7@~{Yby_&gYG3ULo}`A)-8UT{9D)lw zdFH>sx-*v02O7c)@9^Lp8s+d5`X1LuwYo5v2tL^ff+o0QIlY*Xx2fm9 z=DgQ|7nx%#0#6MM+n>k?S%R0_36VQ(p-a$JeOb;HI8Z=tT?Kg?EINYX@uc$LLyJBh z0(52_eM#E4@uA0aF}#KxTC{V%Kd7Ga0n|T?XO}4tZE%p6w)p}!Wt@j5i<{IpaO>Cn znJKJR0?xd9pmD_y6nZ?$zRYKKQ;tthY**;!xtGA>$B)e;c1JIc$OO<1^9_B|Wuu$} z;Q23t15@sGLL6{ti=ClOA0aj6oHKOtkaqR4c&aQxY-j|)D>@xtW!N%-hJW)%^&A9J zX@gFHzq{4z(Zc~F!-Kig14YV97WIkjn_IBfMPt_OI!{t`@s>cjog29YFoh{p`=S zpZuwxYW`v>LC9|RLyFJ{2dq=kW9$#WIrV1r*#;rK*iiP|D;u9w%LpElyUb3xeTclt zkCg|g8`;J%=(vX)7}I<=FiyuCvtWSj%Mpk?_C8NL4h?X|g@Ic|G}It=XIco=anA1!NmjPP{VfL#96u1Ot6-jC;kN=z zTcN`GNP}PVa`N?egNZJ$hSZ^OxF6?4K;J z+CuaennZjb=5onoDM!UMB9lsSLtD=Ia-y6$PDqOfyE0c=(D-HyU&HL-DKDpg85WMO+6 zhrV+bB9?_+3!@ii7h^~+Cd}oQdN#ai?gnhSggRu?B0rXyB$6clBRvi zoMw;+>}b@M(2siav=1r3IodAZ3D$C$LNZ^|wGGOLl#I1F`YKrG9N8to;Svn;F)2Gx zwTX@ZbmAsP+uV%K@$!enzV6U$DyAH1fT=h76R-m z0dMF84#6+>Ct#wkZtwM=R%sSq4sIE6H4aT^kW!9*+!m!h%K(cLa?o!Mu7yW=^fJU& zWIL^rs}^N$8L&%59$m4u$DewYl%ImgvlKk2=`ZAJ{6G`ALzB1VkOe*1{fM17M;CSt zpjQF?!~qF7Kz)I=f+B7U@o+72K$katpqq1ea*TBf*e=510GL1I;Ri3;*@k@~q|EY) zRP4>SRv-zkZ(-{sa}~(0|YCueC4!;xBHm zzwdqR^v;>ffY3CpE)#h!R>j49e&(ltx_#s$AE`I3_IEoPi}-VA=NL5T52 z%A~wI!D`fU@DPeSj=YSzt!~+%U#tN^l;t6DN#KyX%0S1}LX&sP72fK>Y&8yrD^P$)SoH zeTO3~QXp9$E7@@@l=3|t`i4KEv)1^Uz(9ksUF+(EF`z4wEQEj`q|>^EuIxk{UOdnV zMpXUf!n-jC2QvjY_0cJu!{fIXZQ9rp7?TzIkw;8%sy6N% z7CSjlJ9ier(sdUA$N?I)7B6V|2lJ-b2s(0}G9tH&(9=$eXK$bgUkuf0DV*^YlU6Cw zb&>UehaH;GFfqT_ij20mWJEp!Mr`S3lDTNt4g#q`G;nhqGtw^1b#K{jO^$U+?lfd6n`^>gyr6)?YE z|K9h%uYJjvd`Wxp<(It^vM(oqJe<=$HsaOArDb*-}L6 z9H?hI1hh%HXRc2ALM5A48;UY+QlB;foVgfTf!=-qPKWkA-2=-A&VEzj%f7`{hw(-! zkn?fb7;d%!0XcVdfa`nNZ8Qd`<~M+LW8Opi5$>4Jt>B71!h^B)%YPjJeF=fAH$)hb zj{ffk?phA@mF9x6mwtRTpj;>#ApZL|wc6r5H6K8Tb*X?{MdZKgw^it`hT`g*{Q=$Oo zL^un@KkK#9NGa_x2pGa6QW%cw(N_l zH~3YK<0G|=djMny<}zdyO%@@7A%UG4e6`Tn;fwNc?_D~jtsRaWY~kau%2C4~dmx(~ zpKwXdulKcoGQIO+Hwqn02VVX56ZD}+TjS|KV1C<*ZpYcPu+{72We4_W|s<`p=|W-Ly& zmt@U18%#?)ecMC!BPCei!-r+dS~vmPlD7>6Y0It)a-t`7$W2Nez_0o4jvq~v&IRpFe_&~=mX$mV-1GN ztDN?|x?1ZUV1S?L?bMO|DJEyft*&2Q1cPxA0EDquZS&YaB7#*K?3mBr=(+ljd+ zG08^`#(~?*aOmVVk_R5-ImiRCF}48GSKwf`T(CfwI*xJM*wB2{_p~8j=k^S3s`-=v zp0=b=^>cFK6^PuPHyu(&oA7!6``_O__{IN9d*zi^{G*T7QEliWD3iJ!Wujm5*7*GX z@Be-WA>Q2~2m$MWC=FAR*h$h*O*|>;oRoTmQH~ks^1MMK&UIc%1qX#vjmKK0d^F)* zAKD{8c4)b34`RFhy6T<+>jC+WGd@rI=fOT*!0nM6hfmt&eFpcIwgFm1jzHwOjc4GQ z`aN9t-z_jU{cSk6@ug43gL5C=P0lfxL?0Xb@LU>{(}}c;2uYccW4S`ZZx+efn4cPQ z;5j?)T22yv_@nEMCe8Xp(S;`UYSIpQBS?AQU)92Jc*TRRatNBVAN{#c$XUJKE_F3_ z=k!(K=qgkfRLj+@{pzp0sZ@*9*>QV(!M7k58n3hVXnC$hLz7#w9?tHyi)%SK$#W_B zR9t<4eUMU+^5D?)$c;Jx<%*5wGM&Mcji`wX6^>4nk~m3RG?cWf|l>53Y1A=p+nXF#~cqtz-<;blrE5^Dr5M>c+*9e;@YC$){{T zHFOr#epK%cY9R2$%#_M|!=+N2!lZ44c6NMk$b4>p5vC7=xQ>ZpODf*SKx22#%l z!awo?V|i%rW+hj2-mY!=;vEd*cVLPmJtZ$&=Cd4R0|VVw;MURJMDO` zE5VDU0v#O}?^nGX-5>gwA;i0TH1 zs4MF@KWG854p2_5oHz8r^KOf1;DmBj&h3^w=(+0?Uw~71vW{AJr*@UfWG%Pt3+{nImtdfZxBly@icH`!XmbNU0&F%kp-@MDl2h4k}A)Q z5Qy->JASy1w~(KeZ$fXZMkmFl(dV}Elv(~>wx9CHyCCER*dcktIKL0niOv}3 zS^5Qw;8T5HKNIh-RcE8Q2 z%(m7aoD1OOM*XuySm2%!1iRwo_KMvUNcl}trth(;G`2C=k5nDJY*$Ti8-XJryuq1W z&(%V~%WCRfnWGCijmuS=AD*c0fjo}z6|^khdEDOma1NY4%$0Uo;t|bZco}{ZaL|yRPM)R1RAVnC-tx(fP<_|->#ND?VN?rqLkNN z?ZDNBdX~&`$khipYUE@Q4L!dKE?yo-j2qFn?9_=CZMZ@~X77Dd;9Wt?yxbKBS zIWn-Tg`DKGTY{}Q$0pQSM%r~+wwHA9A|d-B2Ry++9&RsKpX^La92N=anwL5u3kWrs z(EOk&`W)nKdzBMt@K7p2L3FbWmGvzcY(^dJ@}M&~w51%J$QarI&hw*w)ccU~dDeh- zj2#C#szc`+bku$BDFIV7G7x5>`Cerf zoS*_GZk=%do!5bp9Uto}T;ZS-B(c+ z8D}B4^A%6G#guBtVfvnzRTf~3Cy=y5HNRTa-Bt@d3kSeCILHsbyhh2vu0(yjOZeUY zA%o>no02C^yZ5JfqB{#3!5+Ue#A3RZbB2C?(+XLvpVZ|eg-eF;&K*EKeV^SMRSEc0GDKh6P#+r9m2XiWsecG+SQH6jBWq;htGZ6RO`$cW2R`+r z?i&`{jt3615M0ncKp;(9@S-#AIU*x4EWXyW+fRZMP=K3V58Chx_NsMxz1dR-K7n@N zcsEXRn3rlv0m>o+@}wMa03x25N5KK#_S0cqG#|*1Y4SQSz?XsjdG}t=ybrzTW7)Njg2aMwuy+Ee5|Di8iGZHKD^ zAWWcV#8S?A76;0~rRp{S&-J$;h<2wwumf&G1zgS{T$4mhiSs-bH_C)-=1n7#P-}d0vHYp?R-R6a+vW79e+iVEz z^L#h?o&j*X+(W(c9yVEEap+IBHt_zNiXFP->N8;!HAKlYc z3tzLHx9h75KlHn}n2V+yitv`pNqhU#lX_rRi|6t5SOLQw#X(OruI2oe*X{h`N`a0X z`F7GS(ksU(pgB5n=%fZ--@>i4%OaQ-gSi)z!~BI zx#|l({sTuEXADiANwIT1k|Uhf6%(7;%)-hVi8GUX1-MyJ!H=h>TeTQwL3S;T$}+;G-LDCdsP{ZG{ zi6-=z3#-MI$AyE(y?Vx=Z7vaPEA?FUL5)$?RCI}KwWFjtck#6El=a(rnrOal#mE4zrhoe<41I@yG! z3k?mWmxxq4KJU9>cAE4NW!?>eF^SKOQsBCxZDFx0=gIbZL$4cPR24u(%Vk;}q08-w zsiIH`?l=XiDMIch{_+YNeSfHPyKs!1cDiPjRr3U;%?y8-f-cva;IdOj7^qo(h zxF4r8IcquYg@1hZp00%MwTJJVYmVOc?WD((hjK`Kp;N)l<9etNhk1FRtEEkC=dLza z?V5)|55!|K)tVs3d%&|A;y2ZTEb+%zg?6?qA}mw{8R)6D_&6p23jzy8*;~$0<^C#W z*PD9^JPTAo53e<4;kUnvWeWf7#VoOuD~%sZUbyiEhcUbX1Ikx|Ae;t9G!$i zJI(>}k*N-$paT!03f;HVq$lG7)-2L2eB8RKg`KVYvJ18Xpi{eEwL1ZDI|o_NC$Eu8 zI^pcfDI*_wB3onyz@rSl+0mfhi(a=;@!#1OFgpQlz$==<7Y_KG(+2%2NU6TSzSM;e zxadzgWrTo53%N@+&fRa)rSd8hxkKh+ry2RiZ2{YdfRy6C5VNy@{TX+$54MdA$P~Q* zWTKq;F_tCej!)njo2d<{wb8(;2ZRgPY&nC^D34{?HKd*&(Ii-7_i%nHzW^>aB?#f7 zsvMa*R0AouV}yg=YUI-bRvsL$;VN>TD$#RZsm}xB6SCo>d<-)NgmiI^=K%s* zSV8D$X2mc7)i?C$z@QtK?~@q=RRj4k9_@8b*&uHRm-TwXEp(rOeH@4q<>5R{u$Paa z)87pS^x~vfkiD(lyMg$QZ&!RKNKm>DgI#Wcagd5L+_&F<7r4QfROe`u@_o6UC+EA$ zuX4uFKF;&-1K{5_?gF&mF9V*T+t2Cu=gC>qOw5=QV%n#jVK+z0`#QLIhDbT7q9Lb5 zR>1)Q2YKptG{GSa4Fv%fjKxCEOy>$0RE``I?_e;lxs`LhIn@Gqruy0yS>uFNHl3Wc z^_3RMD}tw~f*)O7F3;O`@k+Z`K5d(;mo+{3sR6DSd2>b1R!(iZowldvZ)@=vzRJ%P zrQl#CNn40M562?^wXTA%BpVL@;y{jA=^+o93i7)l$YMEVKL;^=uzT^;Ir0L0n`Y=( z_=;B+8Ls|tx}2Mib(b|*e7b$%1rA3RAShE$-(+E9VTT6!dRBzieQ{L_@ClGYi*xXm z^?8A4s^g#$z79?Z&LW3sWw8LjB_O=IT(nu&Cks0%0hMLeL0RYk?2P!zyx$(-Hj`h` zWRcSOvhOqmKM-1Dy3w73GR`AMaFT~!+Opsx6Lq`>%M3~#r3c-Z~~NZ4E;o2JkXUg2Q?a_>^OPnX+#fn^7#%6U|ltz0OaG9V8DFTtmea! zA5^8S55J+}XQpi}NN z0rU-;^EicoDz*$7WuX@UPks4pTjh5&lmU8{a-V{O!ACL#$IxjwOF72~#yp31z0FWQ zh7ryHIlm1bpq@naV_ud!?NTnNh?#z<^BX{+gkz9lvu8l{;|FsMG_ld^6u3>l{jwW2 zP1{lZb8YnN0LViNngPZzLqF-S6>1{hlyfXEboY4z;Wf;mehwaX9MiZBj1S#g@R&n! z3YkxZr#>4s@K`aP@JIQA-Z3NLUF$F7%IUE?vOQJ-i?bXQ?G<3u_dZzQ%b6bPN}Ir; z9bBp1iFXzJ(I)Rs%vCO*!);(IbG+*Z<|7VP24<{sC3;9eBOkRD(&R`1%j$tn7YaUJ zRGpmX_SA2r94K(v^39Ej`0<LRpRL*+tfCb>=UFRz;3Ub2S zMp{eO%PU=7ZjSvX5}%!+9isCEUdyI*p*r5I!RB1-vq)ue!4U)e;xvk}h)_nq%ycEm zmcGXj^#a;KhqeGb;7PlH137FadXQ&vPjKSCm+81@VbNd*D7T2<&#n=D3SD+ycL806G6uDas9$GlCMRdKIVBRp2>ng zI{@ab6!5cJI`{83b>GfdDw;mEoses@o%>;5)nns)8-`~ckTyG8W!It$K!>r79612!@U~Z8pQT;druvh^ zc4tAA4d4^~Eu)I9Z~tZz{faze{^Zfcym}DEIPrO(XOtONef^`BQpgZ z`Nj@Fke>s#D|0(2obSV^&ZLx@_HFRI@-cK8hB3bK(F+*zIX)ABjPAzQpFO=zuaAkb zPJ!+tp0At0_Uf>Y7j`L+{DU6v0`G=1rW<;JaefS;2g&E4{JLZc|IgI+R){d8w)-}> zgAs#g=aQQ46=lrsmk*P;2MBUGg$wO&je)*!ckOF2vqQpJ%SjlI1Em2bTk-)MZ0A{D zPwb#FoEJ0)piDS%gz)lOh*JX(#{`;$lGKHFpa5odBF6_G?vD%qT0zKK4tq6|3#?~j zHgcSsrSR8x)pFJzJ$zGb?zE%pd+pIX1Pww{5Wt7&SYY{)vWpe6$bpL{SM!^LrKZqf zJKx~k>x!1o(8#;`A=+y>`7L&|ua$F`+-SiSH>(tZgc7~w(C#?KefhQkl|s+`4(1s8nI zf!qq=c9>r`*CJbWqN!kpHtYsLr*??AO{m3}09ZEk?GLrj_jZtr_Q-<%DssDe6<_vJ zM`nQpAG=t7da27v+Z7L4s+N9ZM}i;p#2gw~ri)zkm4-KMk(EB64B-1eLolSW z*g_fSC(@5sTOEuO>_|}FfUD<|Y8=7SyCeOXBacayMP68u2KMv83m_XZ*aj-kL#UjC zTd~2;PH4g#PwXC2&$B4!njhqm+x|cadY;|8>vHn%dOOC@&uMJ=#IpnyVI|J$panR# zTgf$)_x`*AvX6bytzhLwFqUT@r~-Yj4fG32b^uu|uYj`sa-WZ6l3j1ePJP<%!;Ny< zK&#U?P@iK|{tV4=ehg`ITYYFMb@DtM>N~%8(#!T^1@w`f zM2B6U3G4{ojoD2A6MP;bW2LLM<%G&n;{+)iO%%iEygLNi*oj%3n?keooi?KEw19k# z3Gce--1=?nYHp|lq8B`D-{Mg}Ar8m-h+CbIQceKCY3b$*l3sprxKv{WC&twk{`ORP zRyUPVM@w!gu{emKpDkNKgCIdykBiT#fMCn5qGP!+Ejp@y^5}89ywXC)QZ9!{z;k_l zrT_-rj=WfNReQBiomgKjx9#!rNn5CXsYRE7Y9l;&vJ1tc$tJ>DfyqXTl|m9Pj#ryn zw2+?Qq(J&g9~Nj9W_DxHn}u{(u+*C1(=h70)RR(5UJObHF(G(Lkg{fx6(91(mmP+M ziiJmQFZ!q4!Sim8^8K-q9#EjGXe#BQ+|U7+)HGLpw?pzH2LYE_m<9ow!moP9MPPY5 zm?pN8UC0k**hYLTlY*fsfdO<-RrT;9NHQ(qkWxk+?T~@sl-o|Gr*)ODx0Y=6JVth5 zVjt299(KDn0JLf6XDZ06XQYkw=J$5;-56*PV8uSAXO%-cGBQm~e}8>7>;khfz#qEQ z!CMF(PjH}&`2v_v?<;`64$@ck!8ex)pJ0(^Nlx$H(GY_QLv1ez(X0`{QrfT=gup1z z8Vrk+(i;H<^Z@FEvj;^-ncM33jdV*8qA{m|DLe!-9sP;S7{q1*Znljc`(WKj>(nqJ z_e{I-IlA1SnfyLMVDJ1^dG^mg6O8en*Oqb}xAViPGXQVa)4v`T`(T7;EBbgegpN@= z;ahp%0?)n=$YKx`J|U z#YgCXDFDWJK!uli%1 z%=~Q%0s!9zSgLMQZ!P(OUTmoH_0=6MkaI0`^}8=V6lifvneJb1?ycqqEpGxSUD@~L^~xdN67coxf&3BGR9 z4e!x?{( zgCK-&{?slXCdk_{o?A>HCCoz+Lr91x2qE|=X1xw0&`y9{jswWcNESh5K6jfvU><`Y znguA-VJO=J6B^-hj$ouRrnK!99gw?c3uu3{BygLIkfVIeW*@*|`?B}-0MFICm)%Bh zDB~~zN^gM(pC&RMlRpm)N=Q=R&fZJ&1JZ*t}oH0=MJlSggzDwgkCboP+ zb_vE8C(QpHl%oo`4#%o|1s8$x8Rb{HG7^Y$2Ni&?W^f?IYv6;EuAG1crDS5%MJB+s zaHL?zGZKUV4k9`Z?R^Hn+phuvKGKFPbrL#Vr=h%LpuL?ryEME$tzg6D*pOQ|8VJTw zK$2Su$jTPK7d_FBUfz)ruJb&DqhKU&-tda`c6}<7XwhDmOq;b9xz(kgi@9F$a4xS@ zvlXtzZn18Yqx+(N;$4*kEoc`PS8efh)}CtdS|6_4rPe1e(4uuE9$aO!(D4B~ez(XU zjGKzDf9vMDUAfQe`H(d`RI=@2rG=1N`wA4?`|{&FBEq=wGYu?$(qXYwL?!c}FG1Os zbG2aSfdGjeB0h`HmtVO>;t$XD?nFLzaJ;>ryn{>nr23v+p4b|lvN%`&)MRA5V%xPA zW!7LrCs;U~%&aFg`OLqEvFPxdKLfDPV;cdy z)Y5d|g+CyOLkG)%%)&!gaBXX7D|o71l^VC%b&0M7F$r!|A-QU-g%5e`o%tNQFed#> zkjCInrjn(;Tr4|wyA=(Ac=%lWibl;n$_S{RUM<{*ti|4yQ+}=hAx&sMDSv_}x5>B*ui-tPS&lo^A?ZEFy z64P|64&vRT?*naQ1mn5EEzo7Z6-G^9T~9zihu0pIjY8li57SE3Sgf8O>iHAH?90z- z>h>Y1RGZFc=K0yc4I0mbJ=&3Q+%~Dp=;x6h$?o$>`{&g^57O?}1tW&0vnOkS5i#cR z>*1L;VY%P_Gt%70H?6*4g|_Ac4th5(3&yyC{d0qzx&ZHQhXszj?+A9ZcR`5D(pHe? zJE}bR9hi^33&{6n4 zcV34A3xoCA2{Fh940_!RkOrN^+qZ5kTQBR7;G_6~(`l81Ht>q{L7UeJ0g!?of&d3F zs$=KI^2oP(7iz1JLA**n$$UN2BFlA~?BHFZrR_l*0*phx;47T%_1(5nFhhWIy`8ti zb-m8KQGmd=>dw#ku0`Drxw_Co#fR`N&fEFrl^3K1zc!!-Jovkkj07`Wq4PmJzPrJ? za=BXjH1CM zasZ^Z2{d%1{Hj0L>Oh6)jxnKg9*PBra?04nVBt>?!8j!)XvjmXtU&~BwGdY@!Q##0 zP8qVfPPo_%*-Hw_c7YQ+YvB3IwH=QGSt5JsSYwC0@jH%8>wI`o&(9IWHf0C&QXNA= zbc!B1(U*3#qy50oDZ{dlA^$BID5E_gZ0(Lzoo~nVTa|1QI0#XCc5>Q|Pfsi(Fa#k4 z2;yl%Y%2v2@@5d?(h^3rhjR>q<5pfFJB5`eYrzY*qAxYy6kd>e&<(y_|G#NUfA(uL|gtcQp1OtOvH7N0*^dsN4cUc@BNUGt55=dI4k^ zqmS!*{#G42yqn%boioqM6#E0g=eW`K78ut*!-s+~+(syk_HhL_=-mdr-Dkw>FxD}! z-!_wS3}YPf9?~P&ufHJ+^9s*GnG)Bhy~=cY1I7VJIh-A_+vP8u$ZY(+XxW*{VO9OJ zK}fX`KhOPL+W|%o^6fLP>%hYG0_wnz^RzFAHbCd$dM#|U2X=Do0J{eO1*zP48T{jcF(_;ncFTB_AD-n?qU2L_ovh+pg=g^entbvNI`x z!O^y^sD;?BAKw)(UZ=il2Rw&yJ#Wjase_X>SLqtB)5S}c=jx)hCl6Qc^75jcp4@H6 z^B3Cr)vCSo&O2I&uGnyE0lg zkcA=16}R8cnD!5(Ne|v;T5Hke`3s)eF|H2Y+OiH_?+$%Sgg}9x>tFIClLGoFZQZ5^ zB=o5tT9p)x9c=3$gm0wCJ_H+i)+4`(!$O?J92^1@(gX?6hqhlA?{a}loqq#LX@Fea z-mIXbo{fCHD~en_f?b!FZ2m!N{9XokMiD4(wwR<_@5%1oP-G8HnO zBRf*T(|m+a$y&CfjDA`8K32sQ)FJEf?6{rXJ+r*rCVVCcfzU{A3j$=yMBNXWJttMq#P=}UCpdzATaRIEuYdy@HLCKOZ-ti$Qj;ia zB)~$tqf<_I4B=CO0t%Ir_8e7uqbxk-C`*nW2>G>N@Rap@+A*oT@a@bhZ@3{yEyT!* zgWR?6xPmcF%hY!p0QGJ!1FHud)MNU)Sve%!|p)hgGfjg=wQE$bl~}!^}HP@AR*M;TxoGX=f?$kC{zpZ;*L~0 zY>UUz>+NGL^t?{JZC9(cf*37^S|=VqA~>08!JW3pe7SeAX-9LdSCZk;`D5FS9hrBg z3L=iK+Xb?U+hViyuUv2W5TE*uH$6D#E5Y7PI;@Yai&S3JWj0GU&~i zrHTN9R20mYH>vgXRz?AU-t|x-M2neM0W)w&(m!1MyKgi-yas8_}VWeeOy+vseuD z1{j@#o@U+5J6!MpoF}wE8bcZe$WqkXf?#5Qm!7_vWXgae2D($>VCUk5yWUTF8O@=nexlF zd{h0bAcPjH%W2!Jj{RCTulqi_(t^3*Rb^edE70M>oxtU-a|I*PcPTzkBro5C;nva9 z&4uW&9%#%z);FF>At>WMn`y1jDm zLA!gbn0B)gO$8ZD0}YUqJE!envTmyfkJ>_W^1Yw)a@(9;w7ajLw9V|Y9i1%NQ~?ok zdEZc-%V23!3@)AK8u&8}oQo%-bJ!-BL~>bQ>0`rSY;UV(cf|4#ySj0E%5dytpoM_Xfv7pokISBsUx4WX z;0?I90jx*$H)sp?&w<;@ZoobPU?`(;&jP)A4C5x@<+5?R5!}EL?6V)!*@yiy9& zSJ|MSY{Q!~1-(zjv;u{k_XTdyw*cA1$h!&fIzp$AlC6<}yVwp8jH|;JZWyg6%!TYiR~7Lt2;)GnS}wyRIP>Q{abuV?M>kuH>1tScc~B5& zv1{Zu;*J~_(hb=OLI5Z+zGkyy@3U@+3x7|T~B z9Q>lEf`VWDkKf<^i?<)PUzQ+mE0R@L7;pC(V$m}Vge&?U&~$PasALXF?;p$69In)E(&pmN4<0d?7AploN0;q|myX-{$vf@%&ZNEa z>Wl5+_G9hreX`l9bkX2Bo@-KzF+Z%%E5%C%U?=zI>JYZ_WFN_NJ;Am$cn+A{T`%v# za8AfgpI}mtl;lZe7t!zCSLI`%0|9qp<*QHAAkYc!xBpC_{wo;K+p#J@_7T9k50A=l z(7EVMWe}9!zy-#6!3ebnc_36fpN;VMX^q={CfIL#8;t3Uac|?1`scM7^rU`VejBKv zH0~!ljUD%4ACGh4Wv<=;7DyZzv<4V2iUqp>1<;(uPiXEH2)|c(-uDIkCWL0y;VY5{ z;w&qe>0_T@L~|ZsSVty&Or=1{U9xo@L<@TMJLXYNnfAe9dbvzgkQe-dl9RT+h2qb; z(?*B<1P;{;JoT3OcH0)ck}!G~e?S^&uG5Bcb_AeFJ>Sp($U9hLrRbm~N`HI3{04ni zuccNH0^l#*7QKc6MV-#N4T1U)nzQ0j0f_S>nd>#^0)jA{m}#q=H+TYmg_qQAl(KY* zKK&W6tr%;4u^RlCgaE(tYSZN~B)oN@R$U>$w{)w#^_E>y2Z+1>`a+W;%Tjf2 zC}5Y7wZ?rR9{j`rLC;G6e8Yucg>j{R+2X53YWtYqRGK?DIOJ2iS}&hIej@!85G`iy z>RbVcf(RZ$7p%E&PDwBxvamLY6aP}i>bmat&^XgUbb_| zwU&sN;9wykGYc|#Ear$+dlPoe^dLveCo~i)2e)%Z88K_o|qNJGetb?n&BJ} zV7XrS-WPa8L-k=9t+mQSI|0ZT;0sX9C#Mo|Ymw4J4(0k8-(f9-1ahpzPANI)J0Gd!g#(`#pdJa%Munv9--mU#@ zc+bQAEFohQGMJU~{d`ykZk&T7Ge;cP-Kg&s?*fq_@JwF{-0$9Q4XtN!fXpw$9-Uf5 zcl2+b%c&|!5aQc3QY^U4I4?Q_O~w}Ta8w)oP0#44dM{88I{Msa(H#XLf!M&yto(vu zWEk4A<5~d-AUw`Tw$1vA0|wtX$lI?X2fDnkij1PaWk*(Zg|DiCKKXLw&V%nvEd-@Y z_gS<>8PT(hpbfzsPbnzF20-4pfa&zUb6M?b_#9hxJ`Or$XVZq}(7x7*oOjVJCw_W> z-VR=aFwmwfXwiwZgsgJ`>xNU6@%+co2vTV`p!2XVV<6>9y+flsyxF;-9{Vak%mb0E zIm2xkt+y-nn}U?>CC|X{(*nos6_g^j-3$4HF_E_VAA9h@d7hmdq`(UZSh38K;?WxvUze;^HZHr~SV(mcZ zaMP9=FZ2gN3+1fOe7=L>z*|%&d^J~VsRJ1G`&4r9mJ{|ORS!GA5TEl)$+TRyE6L;V zPr6U$Gv6LMnkb;LQ8BA{Itb~DFBbCnWAF+nBk1rzwR6ytD5ZGt6obF@w?D7_!;gKs z{qSVl-jN}Bh==VbwJ2xDfRZu}PE?xhB6l*W2Cj{uc9g-7U9)TEISGm>R`+>5hrY4f-4%czSYFXZzLouwdpfq_$)3y zWG2U;oMl3vYZ(Gs+!8uDI93;_V}-}hr!#qZ$DLu!#ueu$GW2U3=?iPV-zXZ( z>vr$XVVlh+?UiG-J$lPKB*#;DBjdFKn}hb``cXT+cdX;oyFq+L`S694ww?WQJNv*? z-LbS>$h%ZjOmsUv!QobL$Ad!$aw6CV2jgfc`2gqA(F(hcGTDRFP~*Zlfnm`I1m_kA zDzs%=qYh;~1ycVR02GTHNV}vS7$Z94vf!n>!?^g@0$7B0msgsu@_D>>vbLFT9g+%x=Fp{sY0p>ye9JL)aC)qOgx!()LAWD! z!gyoHx7t|GwD$7*NK4IwceZWu*1ApBbB&m3JAd-jeYW1{qErh#V|ueVY!??t?a4}k z$@x-2!5z^(5#L#R>+$1ubyYzG7qu6<;9W{&Hf`SI$04W@Y!2_E}GjT-nv5p^(zZ7 zKb_5U7yc5P>b(6aMG01B%6s05)kH%AW#G@@JqRtV>wf=?GR{doaXKE8gN{sKQy*ec zo#YP=?OaEIU_1g1rO4C=roU&RJVBHB$wv8FpOmyt+4^Kc;6UJk{u~${xfpzG>p{c! z9&t3r6K_89LmyKn@a-l=tL`MpH0QY(wdWg%loMAi7nf~zJXfT=w4RimP1VtoZKBCD z(I7av+&KEYb8;$M>wI?I-v6>9<#wTiemrWY8h|IKv-b4zal88}Ph+pzm4@H-tB39W zOS0dIba+ug&x7-Jxw=qLG*{oKk56W8wZ3ZW<=VHH{AHqJIZoL@BZx0b%Qn~tjbu|g zlXJ!o;5rR(-W zq>RxU7%%+b4(%*M$Ft3Px%t)n;FU=9Fn>8hUj?f^x{+S@ixY2)!#Ok~3%D92#wUnS z`3~nffNY&EGJ6+>*I^lV0c5&ChdLczz<^%QA`Nf(LoPwEJ97>F3`)5L;|1QkAw3AO zeRQx0#5RV~dmorOYSinxjqqpK$aAW8A{c(Qtz?R?1?;B<&`Dr{|H>^LuL_;7ed+kz zZQ=_%K|w9HRc9LF8BNl5@CyRVD;iie_*EYn)F-r)Pq+Cy?P{w(5FgVW=LI`l`lZ)l zGp${=2fUTSzwBRju+I=a_6V4tGqQC@Gdhj?d2Bicw}U^4Xj;cUW_I*hi+dS35Jg^a zV?pFedk&r_1ek|L?{)5b#$1Hb%4*qFccHSa&X+H?)mw+UP@cCZj}~qHME2zwSgnBv zhsW*FLtSoP9s4b$E8+8N)aMtMZJ~a+S{=5BS|2`jaMJ$a=2zMitv7xSN9zJ>{YG>6 zZtXj(qSt z(n)9rpM!I5ExE1g*|p#)XXnKPUeJR-2ZM?qTOt~4iW1qu0oPv*lp{h`xkuCGs9RJe z8|Sr&p{dvTqhGdI^Q(^pK*x4oqI)zKPrg=HU(@4OlBialZxzW2&}RyWo{dgjXmk5k71~*euOAR&-+l>e&)XoQeT^*HxL!;B>1>hGw(~caLL1SNIu*#rWWrH5r z1P~wZTxe{LX^`gvih9qtJ~s`b??qO0xn0awHRFgjeiH+ffmW55%+O%obihu0_Fb;k zSEtClC&R%Z!3`&!zvYN5BRlhfF;;y!Z!TzR(J8&5=U_=rAAJm5Sx1l*Xbv>4^1GtL7To2Z|ZjE9gjXs zJNiBL0pC!(2Dv4vQ3pIKkV(2(NAc+WLc2f$41>#S-dHbSWJBx3c(n{&Cbtodq_GdD z7D(p<5Ax9H?P5dsp~fv`vU}TVjbay$I|AI|Q8gFMygJRBMF$EhxIM(LORq0lJAeAr zw`Q(=|7X^I;sRNf`CaXge%;smr-J{3zwnpZsd#dKYQ-1)Bo-ogtBwv= z>Rk)TOAz8GzbR*8sVoD5JWqPCP#a%($=gRLkBi{kZPclhg{FH*dK+JZ4IXxeD#wwq zxK4G>ncK-We9D)dkK*m?2ruTf$Ipl}Mu?03FtB*pW``OFjVn@}lh5R|?2IHNlF**O zN=c~4_*5IeZ0RdjveHjssB~jtLtz!VT=ta0g)X{m=c@TYgA_z~5JVDqdmD*j72-$? zEUuNagYS7?6pU~fxb8>u>ctisyv`3@DC^^)bgL}RfjMCq%2~j&c!)NRm9~~o+A8mW zUA8z-5RP*#Jm^Ob+UNj~H+jp|nJ3r)Sa{*X3^Z@i(s*{>0X3?3eFPQwX!N@cN9lZR z8I)%C1oTY>`LyZH4P`euR6pcc{s2;_s_R3Sl|IGG_9QisirAo+WQ<-gp%_8{<(e}D z<;23+)YTdOhpI77Er=Q$d`28!NHPA(P&9!O zlQD!11l?~^?h7WJc^HA?iboMwZ}p-&q!9lwvIp}sn&A1b5I;pH|R2HPoBKa~&B zn09;$Kjl@=m;u-82J?~NIed}b<56ujq#8dVusLa;{NY9WKmYte`+nXsMK;z2>F`Tu zJXA~1>Cic`hWr24AVhp>K+iK!-v3@l>cv@kfRtxiVqf?&23WZ*8_|>?vT@*adG^Es1_NR!*C5ehev)zEEs?2b z*TnCT3;ddwI+mb-HUQ%g*#Ph}&-gH0J!@0?@$l}t-@hJo7C-Wqq3A_+06cX2?{;?rN}~DX^hm&J@9O zJ834GXGfRqf=4mdm`R*X`uNaeKOXtP6={d+@n;+QG5fXi{wEzuM-f3Seg9 zb9AME>7I9g_=dpjSYt|#VWsgq6F(L+i;ew6R|2v=Dz86%2TDd@`01bwJQgm_l?NXK zc!5&}9L@ok_v1F8?PvjdR{%G#PtSE_d&@fpRb|{|!M;H&VEt2Gv^=I5N^+=PKp9+| zO5WYWpOo!_nc%pbLE1vm@?z1e!R-$0o{c!uxB&}eHdFnE1RmP4i zP+bU4)yKy@1HCO^pEaBcKQbGq&b<(hzUI#m^BfB{;3r~>9 z`&6{yt;1_ya3Txm*u?$O>pZYJjC71B2J)RQP&P~dbj0*upA#iMv`4({3r76X7Fv;a zDC=OF`!Wijl&4b}%jf`Y0Q*W`2fl&>0u{y{b2?*+wv_YHIlme+Z6E*0y8Zp1-?ksT ztRF4p17*YpACnJW$N;Pj%qRNZZ|*!BgvjWb>m6zmbc4sDbml+%ZS5C+WYhlBH=ed% z(InbR32w)H@N52H`=a0fmF?B{zOQ}7SAVsd9JR|Q@3cSs6<@8Z(q-Fn^?UEQecS*1 zf7brU*L|Jo{_Vf{H!bj&eA$<_@BQBIZQt@O-_l-u?KQLeuK(ok`ok~Z_$U8l@z#R& z&;GyvwEd~C`{V8I(ahH;bgJKrW>AUFu<&Cf9GOxEXiZ!udrkUbk;FK3waQG3;D)U) z89X$N+v_=UZU@r&1rKm4zLWt35@|E+B*3-Tduy11Er4cy-HwH{ww~+CMEGh;o3sPy z#Tu6cA13a=V9hTz3evymiA<3Zy6hORokm-9IMjmc08D+1=_m(pyfqJJc0j0;L@u+8 z3{Z`i*n%Ax9*R4hh=xQlebMpTOYq~imr_?M$dDB72>=;y1$8KI`85CdwP2v?`?w*vu_LOw=wkWYuFk9PlvkN? zx}0tc3T5yVZRb1e=uy{g(95h7G6W}vfY*oyd2l?JlD|>k5BHg}=r`^=`DHP3TaWF~ z3Rt%ewgb4dr(EYD6&fR6KEDASIl7MJa2u6lBjA~~1ts5eU~EG>DDsSzlq>H(=;O|} z1XX8!s7HSARnATbfynZxef$Rx+JF8_SM4XCTy9gt-uZ^tJZU?>lPW{l>EW;!2By9PsABo%Ub+@JHmN zYcl@w&;ERS>7^IsoKM@=eAVywYdU}W-~HA$y?4@HeeFH%OMmb0)m&ePuhFl^PcwY-~R3Gi+|5o^!~YS|M_?Po%W6Y)*o*R4fOjjzSMr~!{6V&<=_6M zcJh=5yEKip*r0};5~+-rt9-^b56<{|A{rPhf)!oYZU=+KA7jxK_XHidUC@IH1{ymT z&eKA?yntgowd0(f6%6Wfj4XaE>?{l{NVDm&f&pDwi#A`=H={RnX^0WP;qs+^&3$084nHf%I3D+xqqik zj@Rw2H$QHCp3C{X*Ix2-K`*{?N3y>m{-@GW_$Oj~iXfWJe2~UBQbkw6jiM&o*{=Zl z_YDL`j}?nwwSyV+O#Oh4?od+tr7td$H8wQhG+fVkc2wa08Nhh-Vf9fBBI?n(=77&l zgSxVX>B$-SROJqeObZ^GV+_jdCeODo5#-pHSc9h;W-!?7NZW9sh2sAHM)<+&YbJG@ft4W@`cQ8`v^`w zSCtVAn6y*5<)n)7#JS4J$M-=mJ0aGEcFN}E2B2v56N3plt(^#EZ9CDijDJg5 zP(9%FeplZ9({-X}+h9L1(q2G)+8D-Ts*eR4K_7MlZ-L8oknWESfO71Ho!lQg*=kOb z_HzdzI>HAS@KuJ5@UO8+Wb^GA;VpHnG}jb_{QQTn+u!VE1y?N|PryY2t*=F|4G zqRB(0zwKZ9>h{=+L!(QuQD5q^!L8=JKGO`_#^G>{>?wu-uKFT z{CU_v^)3ISws`C9HkUa5Jgo58-9YwC=JY4BdvWFJLwd1p&p3>D!n%#@1Wb- z$z67E?&*BiuJ0&dnXTJ~59{3#Bh&7dzSpSah)&K7nH2P7)rsp|}d75IVdyVucZ;0}gPTpnx$_W6*$;lT7w{PB|AI z3pf)O`8Ys@EORn=IMLpZc8s#GMzU>tc*rwns&HF&EjR%zd}Rd=E<9j5A9OIlpFDLt zeDoUHc&CJVXmU;)&N*}nL_h1&3vDNKq+F>FcFvUGm`A`kt_6{N?*nMTF2FfL^;40SK*5f6#|>_yUx1404X@2r2@xrSn;Eh3j+D z-gbadf4Nw)3a`ehPQe}V9rO&KTflf7f9POjfoAxqt&3D9*@_7^5^W{6bBEYru)n~6 z;W@5LnboF_^G?UOm9NJf7$5jTBdblF+h;G8t~FK{XYGgo=|%gyKmV{jRj_(;#837g zv@7|ckKUilT`*5suhpCAAaD@E!0Th(z!fG2G+SkN%F$d7Cik>|<+Q!^k6&v4@Tb=8 z`*_pg&T0G3|HnUQ)8F#>WvEO@&#+DVNB`wNZ2zmj`q$dQ>D)gD{U`pNZ}wH;AO92I z*naGv|9Jb0|I@$F?w{UifAz2aRey;MzOR4abJ}13_rJaU;xGPUJ6Uhr=YRPZwLkyw zeQP_td#8Qtw|;B;^sjupZO*SupFU&}!SQD70O=4GlA2s{=w4*FqL)$aRH&y*RcE2m zyV}*lq$_HnkxzSNoboLduJ8exWWn_UAUlRW0RDKP%MTK{toH$VbRPzfQl85Im&GGj z70_7sXEp4wlzMwr3%GYYI^Lka@Hsf=AP=v)7hN)OsA1LlRta=#LC-_6p@secgV48h zCXxr6b~=_G9Xc-nJ_Q5^DwC{nbnJ)#!x&eZXJP0U7I9c|3x@W5Ovg`Ut8i?qoQG$C z@PRrWl#PwE*1DX&gnCZM%Y&Y;{P#v3T<)lW@Bc?0+=huqGdxW(4z-1_Up64tdLLr663v%E_sB z6ybg6&K*tgO9wP}*RwXi#|QMDw7YliwYz5z+JOR;e#lJm?%?offy zRsjm%5vcF{D409qiF@~A?<*Nd<>>3+1%qLG_pRz32*C$}_#B<%6zPk!-v=30mUX|` z_c+xNaC^0-4u=<>bUAa?Kwrz~;Cf(=+JizlyrD~*oExAmU05_zh8~0L=qj3?M5*tK zF#gtKuEuCV=*niYrH9UQb%!o>J@2DV4arlEkDwrU5bnOh9t87<>SJW{&QX_ zbHL3x?|Ov>sckRqx3T5D!Dgg{Ki@)A%9x6~OtJJ~D7_+mFi0 zb4tH(s`fitg24{5vD+b|@{9$`q%^v@??+(TonI$f_UUCh@(S<(rZ+kV58kwQ8~ho5 zxZ`xW4IaP9)aei70+~7QKwE4V8m8OFHE5jkTu07P589?%{xm^|*8|ysGWeC6x_GPH|6?e#kk+Q0ct zf1+(90Nek6`7i%vRBZ=Wt9EC0+CKk7zq|eEZ~Bw%z3+Xk{d<4rTiY-Gi=V3Bc#)A7 zQfMZJ%3!4}w-i6pC)tUjt*H`0gC}La+`;%G0UrNW=`;m_0hB@UgiaF9>p$^k1O`=W~pFe z;#;MD-bS)AflJnPJ3H3+Q5&ujHhlTkb}Ttb(|;W7JWeLZZNir65tiMwwPfMPAH55= zk}fCw5P|w#x@@E$w*e2OH+OV4cjlUJvgPtZ`W&?R!QA%bE5LlkmuGwrH2`L_leWBi zr@eAlI$GgvyZ^$Zoz3pZM``SwOxn>Y@*$J>wu^T6{&`!h9=G+u8|{7XdCd=+9iMI6 z9qA_&CQ)mrm!kVb{l0E9$!Xg$HJP6bJT7Xu4JDAqs+Z6X6fBr*K)~1+{UQpga5(tW z0ek7xveVuvJ0Yo087GvZ2Pc$k9AFRVaoFxu!wa5(@?MaXdW=c#oSX3o*Sr|Xlm);G z&rY8dxiu!z-`K}CVq98Ytirfc88$F&_>t#efGUMI^v$D4ODP?cBP(V4GXx>j`;UF5 z=Zf$WRQMSWo!9QiI0&Il%^SCcCbF8Bzzb{72h0ZB^m3m|CbG;K&U@f(@JczrA65mUW0@bx&uM;Ks?9Inl^^t+ zKk$R9hyx`BA*B*QNO*T2-kEX|g9tp)P+WCyY}+UQ>wE2cf9mD-U#%avr^35Zn}_ph zd-(&ex0hdiwf%{2{HFFLU-9Mb{_C%`|Mmak+uK+CzOQU&XS!k%`!_!MsrCo|;2&xy z5AL@0`9=F1f8%eoul?GuZSTDEju-Cl|Nif9ANiplY(M>D|H4lD{{0s;6|UQV_FdoU zubi@D^5GAExSe1?Ib43V&w+$+Fc1p}3*yplqF;4h zymXZwxk4v(oWl>DbK3@o#?FElfBYO1dnB)U3PKBDq|3ml#I zKNar#$4N*+rHqV&dXub-Y=;OLQK@7eg^<1HImZZnVFdn)l6#wPo*iQn-;_K znDe*I=Fs0u{vQ?uC#_>*Ow7tuozaZ|Lx6DGtKbeZgVUK!U;o2j|GfZ9ucjn^%*TWe z2wq|s4%Htg#I7^FYx?mzFI~f#9g|d zm$qcT)FwJI*aT$=k@?e$8;&=0f`Pl#C8v@5xGC-`Ryo&v`Gcp-t4hdY4$seep0+Zw zMW7uPaVM%_S@JZ;S({q0SsO`4ydvE8!0$-b{Wz>{mwtK8SjR9-q-9TxW&3r6^4%{S zWwyS44d1pOGyKt0k)gB7kct!L>UYi%58KArZ*!aP9F|=_Yv5=}p zoAvWiy?C?7U}jyL6_*VwjKVLA}w}#t9jVVQ3D0buqUHdI9 zE9#%uGVEWr@NXT3mDfT~5^}N7rWU8Tccjl*8L07+Qh)oGp_7G&+t>H?5W5$(0P>dV z=R&Lk?1GCt)cZH|)`e2B9_g_Wv&c=2D!((Q!$i3A_$RsqEKcK=JfI+(&2(PV zsYA1_P~}GLFWBYxh!JooU}c>lfG5bj&oj0);&&&mo zQM~2$&7&3Cg?ow=v7lXsGAhVNu3$@>VCI(|hs!eqw_5d0wv@T`botsj)Kz^JZeP{> zG>JI_m%{~svv*y+-V)(^bT`2Hvg_3Ksbo6;C!>9=8Ezt_HDXz(_-}hSmFws~&i3vV z$UnC>gIOMz*~`o4!IJOQefF(+{Y_QpAyI5yL#E)#C(SbZwLY1YYnJYoiTB6f8kzd- z>*cYIhtU(3*m+T*4w2P9K>|zfg4E5ZzwI6@DkU4f0X?!x`^yyYYwPSo;8DllKe}~| zS8nIck{SXJbvsVYBW!Hgwc3MvXk@^(Q)@*vyB1z2(S6;{m9Sokw+o(%@SNOwIHaqt*A`axd44nrEBQu# zz_Bdw6%UQ~(T(^mCh;R`xR^SxN#IzXs`})6j})v03%wYTD$^xWGMEeRa+T+3R^f9) zG@tK=d#Ajlp;eym@y+)s1MlFl8xH@`kx~aIwbwO->ZT>H@@FhwN%A%E?-@JQ#}%ki z0$ms;xJ_>eRNadU1J*xX*Xjv@rrsKBkdlaDm`L|oUNvF!yS~*Ed%X?wQ}p4K$YG_R z>6i@JC2oy>8`2fhr*-M=KF6jCnYrwYQ+84H#COU5-2V9NJ!bNki)+Ska<5?I<@ZN5 ztu8K?Wu1YySaW|&pt*o~DY?IyL#}`5`%5>9%_+LXZ`A;BZjPwq{!{F;Ft?m;qM9)i zX#$3Dd1M@_0oFFYr|oe~W<&bm{{gPf0Fv>-@e->-jRWy76wjI;A4@rg;V0Q*{~Y(lY+s*H3^?hA!8k89}e1Zrbytt>`{mvIL-R(95(q3ui7*0}u_YTJ@rJN3+& zG#H=#9(0VAzv&#B$b0kl_G7nUL)k}ZAHL;^F3mev1bsGq0_i8{*!ghIt>q(jj2Em~ z=)+8vO|IqMM@PFxRm4xbyrbo*q@}XlzryFphNQyn&pobd-w>*3ALTM!xgUm?G7m7R zT8j^D#0Sp?|cAm^p5WuxuK>h$J1IK|ff1Jp|# zNTP{^YOfi)a(&Apgan)n8HYZEt7q`=FJDDpr?*q*x?=wp85?QdeGRcLY6&z>+4?6r zHP*hDE81gu_8$NpcHFh~YsbjM(3VtGc%{sNrN|}@W6E)(=bP4mPtoN=GQ;&xo(d8c zeK?bQS&6qGt+)7>o2owMXt9kdEdw1-ubavMDl)yLfBUn(XbvSWOcA4pJ3 z6EYt;Ym=})HWAy?hnnt4X24mEn5-ePGGk}8{Ws4@c?rEm03TjoLs6cCmC`RMRjkr3 zNX=BoYUr=Bm$UlYlg-T54;!0A%f8f|D3`Ac$rAw&A5q7WtcpAp-)sZDE&w1nC6O^#;z2%zG|)YEF#YYk;#8wU*v^lwt| z@9W;6{^g4MJ9J|sZxg~juU}nP2);$;Y7^eh%evnx77U?FPKRa>e#laM#>z<_KU8a! zBh@q5r##~uA71whx)!w^CZ-Im8qvAPzK{Xlz9iMXXjXJ!SCw&qVj_8K4PDDi1`=j= zN;l__)qB`)M#KKYw`7IbM7n8ysgmGBH@}>{l4v_p5pjcwXfnR{z>b+m?vO^BGo@nRYkmFPl8<^v=B?}rkbdbu4{ZZ)z>3v4Pa z{p=i;9F_)Dzpg(~c530e60l2I{_S8FQ|1UQS4K`P6MMgK{!z|Bv(dx**#Lc~k~p^J z5j&?}0jp(p6BnyDEBN;?+0u~<(bO$ezw*RTV>9r&7>PJ;*jnJc+ouoVMoQsxC(YRW z3RLqeOCo(?I!)s9-526W!GiRrNnRNPB_XfM_j~;f_2o6Rp!&)&i}UZ?0en6IwyVsc zpK%gR@mvyU)M;i6^?4{#-!fb_3&ahj!qqz`E3}xZk{`8A)N6sLB>SahYWA0;Ee>mW~nm-jSW@E~`pW_{y!0x?<=9vn6IS zt@G!?X<@h3+2a6y|40qiHcr2}{5>6_I-&^Hq;ks{Dh++#d@}=SH?TTPf^LX`DX%30 zvYJo6AN*r({(~hesV-ig{u^dNHpf-wG@{Ruek1>WeYSn1bEI6FMgawSaao8vYqY(@ zBTkfMI#+%#8Q0-wUNQ>O8g^bDQkF54b5)2Bag;R4QV)=tgQRwE8woAT>;59BqrdUpr6JB(wivBA-Fw(P>jn@?VLJ>R~HzR)w3s-VG{ z&FOF$k`&uBJRaA{XWo1Xmv5_704Cpe$jSV{aZu;Vt=zEtNtfq6;png-62!igh#2fr z&Z%e^OyphbM#)|nb`%0qBKzBZ+AC%U*;)o{itLDF`R%wuesqTMh_+bSHV(2|JThGO zx<0}BEh#MLG&_^`TPZJ=b<9MKF=i=AbZO)-XTwo{CHc4IQAxDohMpPG+t(S#X<8tE z?#Sv;5mk*{`0ks?b`S$<^|w$Q2*Fz32O+0us@}H*09mqT=qKMT2z;A5(wm>R`F-4< zJ?>l4e-~BGobVLx0pl>oXwLw*@@lIl%Z<&04R>s0Q|P=Rnw2CRgl^Uv6LQkmY7Uk6 z{MM;VimDmJt0tIuYlN^mN;MfRL8Qm*T!ymGO@jORTtB4N9aCc@oCS~r_24HT&i*L> zz1Yhbs{x5Uf9Z05=XwDSKt(7X9vLJ%WN*-)w5V9)uOV1PesuPOff znpZf{5D}g=I;4Do{s3If>ThPhm4*tc{{#fY4?B^1A_VVlrA*_9#deLs&E%xI^(cu} z$<3wLbsYEDSMew16M|lu?=mMft$TX^Q-$LDr_8ui
$pmRV}cA*>_G<^;6jVZVM zqz{9K?M6o)7mkoDmRO?u)zL`_m2_Q?Bdn}#mn5zha{aJL(mphJp1H;U1>~lSIR94c zsb-1Xp!sDCX=fEigf>Mag2r4v?5#K}EEvD%MNLSU+fYp#ae&Ge9a)NGVT4X}G1K7a z_@S1k8Y~Iaa&2m{zs}Iw*J~w-S0>okuO`S=H>lfn{9FGR%Wb*>CG_5*`e8Ygf=#6) zUer_8RWAo|XC*=|rEutRReC(4|NYd(HZHGc;!yC5%-{_G4UYLsYlmXO2@3-y73xN` zqqJ_-Q>G|8<*op!_du=e3(hxLU&B*YZ<@1)`LSuz%vH)CB9QzI%`eY5WX~*KU_SM9 zjn(~2u}+M*m-+5oM@iUm7>rKQM z7tg1)|B7`ITJ<5|v6Hq;a~tu|zA+;Krg;gOov#s6HN~#maitwf2NMq1J{HCK2to6g zX59F>O6?0jF17Yfjfa+2r$qDb9;DBmyD6W9#I&NK*C(~vDi#iI+#oIIxwzW3)3Rw6 zo%{^|Z%52`y)RQP2~%~mJp$L*5F6u(H+IK9Evw_G8+MJC zOH&UeyidcbB}0oP?&}!B2nDa)4#Cgr`f5RJSw_4(+B1=HLtS7eQ1&ElDkW#yb@f3^ zk0Z>~5YTf4<9Y7VDZbRfeqy7)Uhcmt%vch)wIg)OG2brDvPi#41WGfLZvGr!s_z!) zJPixu^@g8f-;EXjGWy;HH$!_L?OG~Udi$xI9ycRhH6}mFk@gZ1j9EbcCh%c>ntIzF zTEg9iZ4@j3(C?5{XO*tXhIW@QuMF6sw`>`v9e%k6U5f~>J)TGH6+wIqgULx2Jm*Mn%5 zcEG|>%4i;a#MIcXo`wUS1P<&tAJdMO1f-VJC&x@DE zA>S!;`&`y58DGA<_Ty=~?)$gEst&O=J9wA;+2J$bo+eLchn=`--TLg{iQL^%EI^=sAJKMMi% zR8FEVMPihCW=Zc1sWCC_+ZE;oDyDxh>eMN0k>;j2GY&>~x1C+_OhRp1lp~oM72ysT zSk%07u<+i=(`YHQzADw=ggb!fgZy_=Yu+MkvkUF10a^bLIh<1iH5eVHDQWpx!Ez%& z+3>%%9k)uPIP^^I{B6YaKj)|9*$$ss{+1XhSM8eJG5tjLKS}uzou-nl1z#>*OKoC6 zI@g71|J9^+_7y5;(HWy&_JYxkdKRaYfZB|K-2f*9i4Ad*q0DV-bq>JWk)A-ETxs|Y zk#Y;y^d=*E*7M=~51_FZ;#1?>1~kmeIBE=UQ-ljnyo@wqu_y-c!_9}1oD5P>bG8$m z#Bs=qt_CI8V4>Yw6+@yDdT+v3hM%ZZ&{y&?2ljx7t7B%3{f(IB5m!adoqx{`HhN-U z9jVO&8t55#{OIy@X9;5jJ>kP21!7NNzRSJ>o)hg>(p2GbHHxCTjQGkPA{#^ z=DwG&tgMW}xfbL!In+GagDU)9bTTdC!AAeg;Qo*ktFXs%FBi~*SC+p0(#oGTF6sY* z)nrb8@wkCAT@M-Y4{62H)MKkR@yRW#w=lCv1xne(qA9pI7YdHz-b`k{TmxJjwHp_^ zN}v`mcQ;azphC*>f6g~9&sM>kU|`@Tag>r=IkPk(L?_Z^Tw!bKKfP}VLS2#&_(3F; zK)F1?Y9x`*NYfbbF3Cpr7a);2-JpUF_TpNY_icql@o5HhQL91vQeyYc=Py0&#)1f2 zI@F|X&JJI<5!vJ>(}=5s*bwcQr2PW+xk@6#OKiQ(b0>kt8iEKj5BrUtjnV_4J9p60 z^Ugs117mJXL@KQlTTJFzcumtJyDL|0t5;W+<6NCF$3j>=<8#}u?qk9LA6@*(D{TB; zhla|QDyyL4tWR?`aAEkZ{NeL<@ND_=Va2vtdeUW*m%U=nijtnI0f+j3Cl(taVP!4d zmL}{EfgGl6pKGX_oUXtgxM|gm&)cnaH!}pz!5@|y!QH+e$~>~0$WN$a)3VKa75YJc zUrV0{6)6($Z>Wy_c5XPm^%P{zC1{D-ap=hTV3gy8pR|A+C!?F;<%{aGKlcj{@rgi_ z_pLKN*Q+SF34C<> zMX_TKiL6k>Qtkr1^XpKZxKYSnZ%hzrn%wb})ffq})PjLNSzivAy>(7^$72hT$RvOM z)ty1ma?9fS{eXY&MeGDWB3U(v6-sc1`Y&;xKJtKXWAfwbL4;{+@bJ4j(tH~!K0XFU znH%%jUmc!n9)J*F2yysA!bKH+w!ei-6nS+D+6f|*^GYhk zYqwQeQ0{P$uD_KUdrF`L1rm!XGl!_lNlHD-(w7#NSLHhkkAKx5);|k5{YKB*biK!O z@qfxb+uG1TblCj3h2-}aI|AAUHiKDF)R#qgU-v;60vW~A)XN-}hf9&u)ix_WJ3pT_aygGn5*UmaOQa;lp zM)BHZyKvQS$$sI;-6E8#uI8XZ{K zNSWFyG-rSga4=um!6`|Sn_DQ@KYZY+7%{EuSQT<+Ez7$3odcy!D0#yB&HwZai(Ml} z;_U5%NEUkmj8aF%hs(1usKbg^ubuih)~ zV*`rbrqy%a%PJ8M(*&lPPShvaMb)E&aKd{K)hxVF^7nx@o3 zCtC)uk$;1g<#7F}xy_w&_~PXWf>Pc@cBO2_2s3gc)Aq-v~Xt>T(GuqUs-7fpvmBzbI0>>mx@sp7hPB&`&6d+MsmHUy4e`i z>c}oZ7=-z&7eHN*8zlPQi;RiHMZ05jRHEoej)B#zu_C?*8O910^ zpgz?YC(+kZy~*3$5L9TcB?j0de)NT$*H}RcR_0o%-Lc#_6bPjxpszRg+2;aMu;9}l z&(q1&Y86ths*y()DWzsW$Jw31oqs!Y+K4WctKsD7lQxdF+6cgP&J|;RfLg@ll#Vzt zTCwZW6!X~oe4G&*H73cdw!t6(Br-_O@qV6Y))!{wP{$BSW_b_8E1bqIc1d%g~IZ@{wUp8x7@V&(AE#q@=fd3&`} z5pmJbjcGXFJzuEDwKqqGchJmS?c#y+?Jc4j%X?BX7)`W+$rcNx@TpTYqU)e?{1gX5yyRXmR4o_@y|=*2c6qX+ zF&m-khXsORF#%f1>CY@9jVV1%AH;Ac$5?`~gbRWah$ur)uw+$+LDYu8mT$c(;WEwz zWJ$M$CayqQ$nAj3M~;g@7n|&e!(ue`=qgUxPc{TN3MDD$<_Y#*#i)@vTdlB#`-PFj)W`p7;#BPLU$;Ht$OIrl4~_~ zDB~NT92AwEpSEa?`BN)mfdRdNe$*xS+c5#Fd6YLj3_{Rj#s|vXAm3 z8j^e|1nibi_o(F(WY)s-=QE*tYjcOT1J=rKTRZ1T;Wr{Jwid#nwC0hYE&T3190l2W z-qz;TwW5m&kjXiuvzC)uSQ4uFP8oaAWW9alIfZdJ+bC}JaNpVbob5dE!B>$ElzlEd z@op)3bf6(fwls#J1Ro|2&E%-Xl*w92=UE6j(||0fc#iHA%8aHQ2saNxa5>E<<{Jxg zN-3K&uIa-xq;kK7D0cRTTu4q1@8gac*A{TDGf`f0LC@2Q;Ew~crDdgbTamRiwe|?l9IK9h z07nYjZ1lG_m8)#5A~V`(H_|-hGxe>v>J-i$js1GAm<$Lt(UxXolyUZ=u`cASQQVG>^NpJgiv zN*g!h?S|?)9S9;ggwcG!E#rozqMeS6n1sochs#P&U90Eu;sk$4$6l|ir7FU9x{18z-D5Do zBZ#`XEQNrAG?9%j*OoApGqkIVYqdf3snO;J6dScw4^ZE<_Npm6?+{OC6&gAZS7G2> zxXc!PQ2NrUE8HlbWF(q3yns2UU{L`?!^`?hJO=da7T`aEWGEE(lUdhpyUs9{)>^IJ z@=?9@PTp=(|8AG!MC0u}I>B@AK6$Od*Pslq2y`Ed!Q0m^)I|dS>5DRwV4esx{FFWQO!)y6j#gbxpSMsQCn)uxA7k`@XXU+wit5t{nx8da7+rBr}7*X0wM}Dk9`?s!fH}+z|#|B!1@^jCh zM``pa^?%leskl?eR@GWqcI){|evAWi+2nDwPJ@T89?3H9LHdS5)m>S;QcV1k!_^l1 z!W`LlowNo3AjpfEv^+Z+$tq&UXAH-DP3nPQ*IUC~HKC5a=!=CensSK|%ylZ`r8?@f zjnJ~5PgmY)Elmm?16aHe!yjAEkm4J`+)DUdkp?%npjPckw=&t*i#Q-qUZi@Z=!eB4 zjc2v|n#P%RTpU66_fe%5_HB||4dLg(ochUf&XES&0-49v-W@#ZG-o1(ni=1G zHuLQLkLG(wGExaK=5dm=<_Qw6HCWk=<=>enA>)B3N22$vY|Y^7TB>?pAD`S$tn)QT z*LWzGrQll{oovkl2*am$TRD^=Ur!5GQx7VQG2AZ=He-v8gqUVG^#X;yw9P$=3HIO{ zjnIQhfQQ0e4Pp0S(T+3u@b6w+~5;()S1KPy}eAv#8lw&&PC3e=O_+}xy6YBw}1fy z68DQ`NAIJFPS+u1ho1huder&Myzi*ZkT9J-_!icc;7iuA z`Oeepz^WS5xZpGLHPcjeV}HxCcgqP5C;eY7Sc0NgkAxnNUT$Iyp@dbe2*Quo49<7} zyX-jSn>m~RV!!48i7W#@0&$f|hx{iAyczutFHl#DT(;=iWxHkM%vc=VciisqcVGShE@t={{9dF`4> zq15~P7t9&r1}5_Bpw<@ioe1VXH?;Hjs3Ix#^rWti0YNq&ep9$xUibRq*SOQntZ?*Glc#3SF8J`m%Rl? zHAb7KXhvgyIjudL&!?r1L~HAu`t8@X&SOP4^wW-Mr-p@gA}?Gf1j*U1ixmutwbo7r zL0;ji0lCH867F_i@{H^EA~Hog*04eZ7V&uTE_!x5+zZr?7*TsF+mrmaiP08yhO*J- zPf(e>6*(Do`%fUEeG<$h5z!GkE66`1U(}jJvZVFbOg0>o*%b5<8dU)MF%|O<>p{f= zagsh^AQ(iKRaBgF1ruB+kq22Lo7R&m7D}=_z=ipS?P}}2J`^netGU;BEM$gVXcVAg z=CMtao{nMJ`0w813F?;8djB1Ufwa?c3Fp&irX{G)xk0ul`W0gIZx0z3=Zcf0*_>9d zwv%>{Dc&UuzoIl+lcx`uJNrT~uQG09MLfB^|quSmY*|T<8osll2 zPHTqs`(gE|N7BCKEi0)G2fs1h$ohK31$qmK3JQP*a>1cE4cVs>Fc(*A3S|y@)QO3s z@MOWh$F9~liN}NsbAhj$(3{6ouuxnvl7gjpH6{jlX_&6Gn}>%piPc>^g|kMJjp zrpM2T`0X3rTOFmdaQqZ$EgCc|Iwy3C%iJ%bqw7neO`h@mt9fUs=ijx)IB|@>gzZGB z{~#WT(5)5UVrRM8!tyDfCcsb_J^R3?(4?1Fd$J%CY{0UcL7$T9qsLWp8sfTf%QfH> zS;w4Jl`nM06#mAu!=UEIjKRB}u60V2xhMi7>x9n*pXmom-pl@1X?4?;P%ATl2r;c3 z^bTbSG}Att%ls^gtI)GAEBh#d*wM1Ys5v!9};lsGcy#D3NA>TY`Q0$KP#bk3J$WbaW@?RGOS1!Cx-ya`N z8Th)Dr#(`LM(&HS)L9%37M8EK?|xs#7D`n(&qsj+cHJu{JJ!at>vUeeYhpL$YO7an zyIUw5t(*=Ds<6dRDg*l;5Vs?zcX(ez@+NwYfpNa zDc*>D#YnD37U5vUkHmHic!Upw(B*C)d-HXGo?1l8kcO;_aTdu4LiF?RQ5*(&>%Vn# zc0y~r-KKADCsa8{R~F*(IWU>ci@O)O4ug)ik-b^YqZ0TloRVKy&6CcnU*dP^HE81U z|0~tC+JmGDpBkGcm& zrS;@fEm+eZ$oP_&VEwm6pQ>>Wu5CF`M#Ye45)`|O#w;Q2>Q#yVLUbYG;sk5B9Mq!H z>YchaDQ1>isd>e|B_z{Skau3_MiA-PhZwcJS(h@i$GU#fr}-41Dfq#ekGuvTN4iq_ zfL*W5b+xa#PjaaT^YP!7)=v{p{I4(aUuqpi8OLA?+gGIkO@U||y0w+_-B{KKFo*+~ zHY63t**j)(V|Lg5(STg3G85Bp2u}34CZ@CsfvbbKBu+T0K1Rjz2ky}q;Yyk@K3$yR8JyU{4{@+D=Fr=c~7-) z-T5}Vx;3I?44^9&*`IhJm}nZ>p`F<$$LDO`8ICU*)R3VQ%;XQ_ONqiA7-^2uwy#vS)chiq5k1!L1{9?s=>mCQa+5^T%Wm{y~ZZj zwkH5Ek(iZ8=4NC?cF4Q9h)y@5Sc3G#Nse)Iv_R?U(FefU6ex#wTBmJLbZVjnHr%um z%>-+_=Od8pL#QzR*uGGyHL{y3)w1xmk_j0Y%xWhU)y(-^9pDqzR**B9YaL+w>OtxS z(V}~5bb>`ffIe-rhQ{Sm8%WVLCaO6Xz1WN(=-c=$!5(uok1x%>=^ys}!V_5wAU-It zBR{E%{#&qo#Ry@z>Y|)qe4&HzJ0{eyAb{4ARnB1dzz#JA&G8VjK=T|z=}W!rK$(;) z^fzsvqyypp!djiJ5E}hf?xT~piw>>x@*1aUt)VlsFYu`<%e(=;DWd-oph z*kXorr_W-+Q~%89vrr8aJ6wnMKG3CoQ$M)!wIN1MQNTPtBB<=o&A zfw}|b+xI4TCfmrXN#zwQ8-`zzb(JZh#1^ zNFf=BW&ssxqqz5f0g2mp`Xj#l5%v}7WHeaHt3}N{5AgE*EA|d%Qb|&!jT2Xm=r81h z94BESedXffF3A9>C-H(zZ@CO7jx=y0m)a|Jg<|K5-4|*t$LPn`meP?#3R=R2(yB^K zMRoutPp0hfdy7QAOHb&`eLuF;9<)p?!h(3CX#VKhp~`%glp+dqYhj?}9kTz? zr7((CQjM9VqE>=+RpnIlJRZ4B z$|W)EO2tSYT2Gz<+oF!vLro=g_XZ~O#*io&I}u6vxBzNtIpmK>;-=hbkkpH zrZS`Io7hqhMWE@TVOhiK$3kS2f5gWVjUQ^RD1OC|={<2*x1-rCi*7Sxpo7+@W5O># z+Xw`voI1RgM$O1FK8rN>$$_epkxtP${Yn9SnHM~q{D9bzd~i3Vrz4r&zwb%!soOb9 zV>B7;;y(Vgk!f&4!zpqmQ+rZtj;?KK`Ej3@>i>uwJ1|6|DvD8W5d2Pd$3PcS>Y&_bMzItsbv+ zz}*Hy9w&`LaA*9d^M%oa7pu2;G)6uZaK)^v#7!soob83X6T05}Q`-M#LwrBnQ7{s0 zbN?><5XH!LD$+VrMsU_3K0N0s{CoIQFRR45bnY<$nK4QiB>Q<^ucP*K?!D8cPWqsq zHG5SKT0wX3J|sWP?u0@(M8ri`y0UN-yjVgtY>&9_XP2Ry_-)6ly*ERgK3}fsZ8mn1 zZ@Ujzrw!!CEeBC7?txh?iZaZaux z%wdmC6+gq%$;&^>nZB8)_;AO-k1dgkQn2s!ENShhq8RHgIQuGx4-XE0{G4piw_W5) z|Jcha^IDskKYZG8WBKEGC{vGhV!gc0y=RzrbeU|_rsYGgC=;|Ahy0x>&Mgg2#w|85 zsfQ~?X66<6?&R_Vdv5JS*Yvzp*~L1YC2BEX8BQT+*3Wd+*Gb@(|589MBe?)VsVf*B4gUQT#WW@T~@Da!nE zw9ZUx;5a{ITJt^O$_;Y-cWwD>a+}WRQ$-J* za$CWSWa1cuu|36!NzNh%bkF-t$14H)8#Wq~b2mj8b9?snnS)Z_P1dGW`ptAo1IBrH zPVE9(+PU;8O|zq}DClAS8r9|Ht+Tm9kv8b<#5x8Yk}>qO8A%+~5em}3Q*7&RkUiMj zm^o;P6q*P!y7Z4JCl#xWGdNFq$t<|F8Ad_bzMuV9M469n8qw;R7w$ZI9IKvyxki*t({KJJX58!!7%d# zO($XZy`0|oSIbS`b6Y|tL0sN>n|&hA#Hhlj_V~y0&f5lCCM{n!$5-^-^yC=5ohD#^ zzLs=o8$KH>h|8J)YSG)q}Z~Bq*}gl(o6S5_C84@$&nZzUyCAVb73pat@PB2BEn|{_?UCT8x)jV~2BJofE-xf}{|JA&nRq zY)+~rz>CY09rT~bW4iAjAk=2 z&$c^Gn;+XO;(vQ;x%Wm4;1gbSk0`iU5LEaO3TK4jV#sKhI>PS-5#DK5@2Vcfc(krj zO;Q(`(m=f}wTmMi43n_KE*{Y;ejm&2e$bXR<^~+c$ZL2ru$HG>cddFJbkS3=jmhNA z44-y)h*4(PQM467n|sH-$Z!!hdzd*Omf??W8#5y|)5;L;f$Uwjom;yI$ENV|z$opW zBVZ2j!>WdHpY7hMI8GY-NKf{QpD+A-W)b7K(M%M*M@E}re_y%EQNPHre%jNoFDdty z)a8J?GyQrf>Tml;dN8MN-jP4ER2WvHbhyx)UiJLe4LFE0zcaAMkk(Vw1rRDh5e1(s zL#_%9=^0+?O>z?3!zX=m9N^u^3`^rU1DE7x+i1(HqT&Id2?@YZj7$s=;IV2uED3UK z7J6tirA3?&hwRRY${VKX5zRie;}h9YOPB2cL=5x(9iC>mwr+Fh9I2^nImA3!jQrv9 zt0?q%bs8h_dNX8pd51wv_U!Wkacq~?dm!cz>wttQ)G_Xd9~7{EZ>i{SR(sXs?nIcB zFh#_MewjU9IG--00f#@vtI(#M=@)Qao9(_YrQ`b}X2mC)`%bJM|EcL96^WWhDLs4Q zehqa86@z2&$Fyh(ukxC_ZZj8cA?M0R7MXXNmc|QSn03S*RO2*9*29<7&J}LN!VP$( zUD9NLHdpNb>|6nVN$pC%Pc7QRd*5#FN-@0^qFX!Am9gzEn{i9xD-?o7I#%i8?+dN< zPfJI;YdrdQ>xaX~23j-*U@21|DVo z?QLtu8nfm#8sFp9)%%9L0Tcgb6AL19EsoBvBjq`QEi50VU}2R9?ct&rFXDezOF6M;lO(np*3S?SAEyN2{NVxx?_H$_;_ z+OgFn_y-z6)u7EM7BzOfmR8Ma05_ zOyQy8jirnwu@qg`m2a$&UJ!MoeH@jLr$M(bZ;pn|57o#L!?AlVk9T(uvYcJfdJrLE5ywL-^}_Hg1Tg{Pkh98t^wyo_O9N%DKT2ioS*C= zrFY@@J>i3@aT)iepW{M~McUT)lEm4aau>h3C)Cb<13Y^Z=+9BvGNnUrQ7KU`rfl4G zb8k?Rxo|Db-)@1Wygj1NEjG~3CBM98B0`y{@15<4bxvqFyJ2ytFLW&d3`w=HujCPnh#0d7Ce%>s@W6J zljGi@Iwb@zqwkrI-8iq#cSu}m_iaz-;T`H?y#$|JYqN{AkiI&abACmb&{1e7@v}PT zPm4pM>XN+I4LUazo`#8UIpBB#q*f^J0EYh8;2Fczddvn)FcC#52s^MpVpL+QExzIK z`;y(@YnE*W@*&b|zXv^07u?$e%CE(5V9J55Q3sQ$z<$tW>ap499WG^Kkfcd91E+1& z7eQ@@e%i4Gb*{K_KAuwo9`oWms(=OrG>nXh&{VJX5frUS|5f#*#E{#NzU#<7oUYKM zMbY&tg0AgVo&p`{sbi)a;#)|WAG@T|Bc4V)VXpgSK4;_sD<$if7V+rpWgOGw!IyBN zi(*bDx7|Log7OXE#>oaxJOHdEduXFnRCl`3rsqp9vRF5|Qh{9TS96**vs13=XP#ml zC=OIxNY>&x`qPY2b5FGObzt;ir)gL%-p`VN2#%U>4ChH=Zv||WJn+L98Kijhrn_jw z_c{jysj~PKq4VD>R0{e=INp}cAnkV+4N8QlD>&?kR)jyZN_o}RkkTS!f|&E$!E6|xiY$1j#q!rMYgmqeP(80 zYB`GgPnsbhcnqhnl=#ulTUq;HdRDN=Cn1(wMDCu|00Q3d2f*7`;(5$}rud%>{h=;> zAMz`M%lN(+SEZ&W@68xLZRQW>f}K})j&lgO;`uoYUA!{E|2%0Hr0y;6?RRZpecTcf zo-`bh!ff(BmjkdJ){~R(JUA!jXHXM_?lu>|?Y9@uI(LgOTAmbdy`5d-oevUav1l19 zVY-bN*=!_*<(BRpj>5Dh)O^@$^5iJj_RB#lVI%34jf~O9a=-2sO0zUg+X20mQZnaV z_P5o?8%A*JED3R$;o|E$9MVrqESx+_sCRKDE(aY9R8*8Roo7$qJSbZ<(DjMk(|qBc zA$1bIV@QanJ)A|gIwOwcSPFebPb#;q&E^G6&% z9G3-F-1Hs*K?4BV8jO;RiAFK*@!oLR7oyvKQ=k8=NYzPsE0%dN??1>fSnOBQVl^I3 zaweZVI!K7D#=1_Z;f>g6>ow|}_a^4Md0rh>wtMw5xG@Ly+2$PVwb-4~*rB^oRViGx z)QSG(REnI2$mGn+i4KFS&0`$OyT{9qX{4*n*AYGWo;H7nLW-RhhN-wS-^Gdt{56}O zE|g;AaMX&OWOF^Y7i%5=D{9c}Z(HUq0)X_}_@x{m4=oEp|JnTykU($0q6S?U1L*39 z%pozgBTh%AHXNZBi&&&qCv6P;%9C<+uir#J=_Ay}0RFZ&-LAdhyf=9yuDqu#MBC=+ z_$yloWV^l8N5KxRD>Se2Ro>LGEqr=(&;~?O#0k|QK<3>P3Vqcu;I~z#hY#?BZ2H`O?dheNBlyZAX?UML52~x3z7855 zJ1OHSy^|(6?{-MLuO=vSiu|!5&>m=WwbkZ-4&ZFvq^FJawg*?dj>mI52+M0Qm)Uqs zJodcuURi!Fpf?M^V_Yj2U-@tOqurG49I$+WG+6&R#f3iCkn)bJLu>ryg!Lajm@sda zOxeya<#}YQEN}xW*Bw$mGDY_Io{fMoi{8lH@sPNF8QL8SEyLGbs(JXwKZM?{54vFU zt%0;UdhZE}G#+BNX1wJge#*F9|L0?H>aVfO)2A%n8IxSBoAKZiU~tG{JkN#$J##A= zyjr@tSFhtIyUw}o;)2L}W`puR7gT@^-@bi2z8<*uIa?)OJGU%#KCanMtAF+zF=u1i zIzBso1lR+u)l+)I>f2kliRs%s+qXXJbvA}?U%b1$`XyVA9(SOp{t`Bw|4m=Q=h*r7 z@k8cFaveV*6aRs3>k2QtZT9f4uBog41;(oa+FBGLd<$D7-rBhe4pUJUgLgmv^V=W4 z34>Roh-w{ydH5CxP(z$B9T|!>F8m{8RG1%ZoAMoaRirw`h>=w@p~^QAx*RI7^g}W8 zWEyb{v4vl|)VwtK&cd#W)3uv|uwiA?(fF44krPNW<_x}vtlE_SX@8{wmM1wK0rml- zgSSsob9^$v>jV#9=U!ryx%3lf2n@ZE@!LQ?3yy_Gw+R-MIo1qL%Ef>j= z=RU&d-ab+Uhx|jcMbT;AIUjoDS{cz>J9Kdko()!C;Y!a4eX?9H#kV%}YC0kQp1SZ( zY!LS3tglW$kThkK_kEW+sf#HpM|FVM^F7qp9tWS z+BPDt+R3b53`c*ipMk9d^^v5Xj{WLKy6KEVdHAp1%rhh=UTuK#lPum&jBK~O_}_-B zzjfn-S7P|x1Ud;(m$1H4{f@j-_9&MplXydErS7Vq^6C^I2OKc+j{=Kl6KwHd$I*8J zP^Mm3ein?yL3d+e<$-S_BaP3}UVck!>0R@Ck{~S24QN@+TAsdN`pt{Ygz%1j#W%l2 z7J*-P^J=j&>U+Y@{iU72uPR`4uE$5$${JkH zaK#|KeSIH`PO6@Jb|!Oy4Mjv@v%RPz;BQ`f@$~HWZ~xc5y?uV| z=Zmd5jDqmk(68%uUy}Z7IgnmR(ep3g-QKBj6e=J>kipfV7uRMD$ioWF7(`{ik z{AT$B_%0u?=_x0;f+#q}iPO}i8so$)w-2xX^7g&5bViT)5D3HmmPI&v`rWHKT!PUF zB)l?6R2|TYYgF* z1D^d2CG^93{7n1t32%%zBHk;_={)e1g|}2)Z0<|AJ+0eO{1rIF#rWb1OBk#uK{kCk!_Xhfa)RrEc#rGD4zPaN@9}80# z^Wd~y%E2z2)jff|HoZap26;5Zr5&0(C&*vzvY~v)7#!_(EQp1<4PdkLs#mts^}VZ3 z;j_HA3_LCpSmNlKy1^SexH{g`n+56RyZ$_7Gd2b{WwcAZFzd&o|Eg1X8ZX;F!xzu^ zS(HQHe5L+nd>0P?p|`O?E^mtQ6|~HI^?PI6j2&8~dxEyZXFinI3x()6ar`ZEIT`1_ zT{99YW7?n##PZ#E9o@IzW}GMQRtnzw9fjc8V3tNUYa(O4Z%kPqg99x1{d7Stc>2I? z$UpN-zR{&)HaO;L=H(B3XJsQR95z@R(+%{4k%_ye1*AV@&HlaU{Kvn1b^G0iAJdM1 zrC!_6WMs63R5Xuc)4LH-=Ks6zZyzF%H)32YQILGbVtpi%lg&TRt^qQxEl2wc9Qh}% z5R)VUW}Nlq~pjXo{plIbQv;+blMPtQ>?+paUZY_sU4gZx)W_^ zdJ3tTpZ$k*wc{pYBCX$eBX9t$Pc+e+b+yJ*8*RF{= z5ujyPy*OayZi`D>>7hO8)xCC!lYT|R00m#h9h_sY;vHD~i3K#@4=t4KMw&^=QD^{_8CiWeJtx$Obwv z6O^q^UwU*{dT7X_x2I`hzDIUxuk9{A8e`LkukckiZM)Nt z>^bmQFdr~FR`1{nEj@HMFP6XJ!P#=Kw8`C&^5wNL*z~pCg7mj-N~dNFZM}5bu`#&v z0eF9vPyNb!1^Eq|zsB39wmopiKl9=}A6Pl=`S_3NRsh$!rLDiN<=^5gJj(xW{A>DK zxR!s5C%rb>aj>wus~6l-5ie|7Tm7*cAmC!hMUqX4A8lJ7??&WeQ|)%00b&cIv9c#k z@VCv{#ReV!m7na!a>vdoFYQzRbmqG&AE0~zi{)pW!EbtP68cwJ)C011J_?|RM){KA zb^O%)c>=#!`T&j9NgL_PdCJV0@I&$TCL0#N==;Zi^6S>=tvh7yUU~jbp!56K*Fnl{ zF7nn6Wz+kOI6grX<+?xyTFaO?mw?Bzr-pH{FZwFr5 zzl;sGTpPSrx3-HO4Z-cPtX?8^Oyjg^{T2-%zjhL~-_d9~`U$mju2A=?D^lJ4aL0x6 z1?65p-lJyt;(h^Do=as+&t_&eTu+%49hvuSn)K-2!mS@gHlH9Ln@_p0lkWNtKCT$I zj~28;r~XFQ;H^LG#=Ul7&ndpAcY)D2%C?-09Jy_k+ie*Prp(H2Z5O?`@_l zohx0u$3}xIEmkg|CHAJ$=9ixDf;d5Og}5|;_E&mWej6%R^hmPdRr*Z+_3M{esMAi{ z;>_ZSj*kI8Xgy@@!ksWOzeyO{_xcNeX|QEixR!MeJ9C}#4W;J_IXXKXg+IE?n66)^ zuYvha8(!P-798~*2j-IKtbN~xizApWYR6Rhf;XCLzZq8}j~@=jL;0OoU3z#gKMQ}T zOnsR0kNQab+>B%~Gym~bdAuy(eU8LNCG6OBWAf;Ke%f(y;>wb=;aUEPjSqdGZTUGJ zAx}bW6{O}Pj|X;Z!{Cuen^3IGPA)rMqZ>K<%fYe5zu|`ux6jYt-M;+ZZ=+rGB9HN? zaRBX~g`VC7OwB+2;qABo;xBGLe)_TfZSK(SDJVa_(fiRkzC`EtS?o{0>KnZF2|gRZ zH4Uz58H5B=1Y-|`>8p29ZYI#UO_jwEj8Bfi3LYd(67JF<6eEJ2D3z_#Zyk`g=5G0( z3hY3-Pqb$+Fm10I15amXDY!D<$w>RvZJd1a>mXfFN@+m*m06ne;akUu49e?}u|aJS zTFN=dyz@OYzzmLA*zh!MJL>MqMjQr>`bA>6U5?Q^_N)z#oYg}i+U&1QWZ!t@FiIn7 z1`E9`z+;{kRXE&aLulT_=$U5-DclGf4n8lEcf}b|`>yUeU0A#+s+q*VQnXtQN zb#NUg^CS7?yL`$&i|V(>#H;`IQf(1jx74I5(O2q1PdmrW z$s(Al9^L9MHYbOP27%BKn-Dy+Q8Gls>$K&AS9$dt`;=E;Wodtg_WO??>UZmVbf{f} zr+$IW(Op~ZYHp@nn#udbT_Td72j>K)fatbi@)W6D}7AAG=VxGuRU6o zE%emw9A?aHyKiZ4(C*tZu(Vfid9jTMxfc(t4BzU~+f4DTmFwDOL4US9ID?n|jt?tC z>u<|E_;}e2Nv}ND0Di6ve4E~U)17CAcgIG`*r0Wh3*XLV?bMkEx4yPY^QJRK%!xJ? z+I0+Mc6iEI_RNU9(O^uV=@xR_u&=W#bBnh;V?Xfb&HNmK-{EO_H^dJ=jl_33K=~yN z$Cz?Ub8U(K_Ls2Fa=ERd+(qrSU-^KvfDRkE9cx^Y(R|>dQhx%npu>j!?n!8E+<7xG zy673Z%ftAreM4W`GQcO$@)qka`s~V=+jqbJ%gO*Jl1&Kzui>oKyXNmkK6QPQB2=J@ycwWieRt)&A-0= z`fWP%*;`Ll#JJg*WFo6KK(nNzO*@H%%93_OvqHg#1B#pAC+5{Na77E~ocR&EqfiVw z$_zs9SqJtC>e8Tc@5KglpPQ+TS|^XU!4i7NB<;4;!ty68 zduj{%>YxD{KAe-2+JUE_p{GNs<0EK0Sz+&`v9XDjqv0XnK1sSVuzkvAAVkL0HBJbF z#&FkW;Mym6tc}H>zM8&X9P|WNfAH{cSmf@`&Z|(GlBU~8T8R&Sd z{nEI&=*b&st~7coP&uY=+G_`QWkky))lG5gT)p`ed>=Mr?$ht&;WMsU&|v@8;vZO9 zhVIzk#*w_B`likmPbW2#2p_3Yk9@JihyE7Ew5ctEzjk03n-;J7N%N%Yn7*uCv`L2w zKs&ECl0BYTk#&*pFKGA56&Sfw2TX`FDUKhnPC|7KRL+ENfz3VeNDlM_>7@m>?dCVG z4+KU>S`5G~t(12xUfS*CdX;a}HV95K+HYy+WJ6e-1$?jV_x^b4hrxB;U%AIdBZqqQ z!GpHGAG-~H>My?1x#H-g)Hox@;7%LBc6$;%pe`3u(XC$_J@ydRPQZ9yP!2|}$QaIi zt$lR(fSxEUPMUNi?jm;Zy4ldjXqt0^)2sR{n*E}2z-#aA%ha0}eYb;eR=@bH%?JOr z8L0opKY>#a8xR*VAG^L}4EDky{vTPRT;;3W;)rBp^DY+!=06XhqD9u-xb#8Q;M6v0 zv+Z}T#KZj9xq@DyX^u6Dm_mB+hEL~i?K3a0&3zqsPQJa)hQx?$ zzXV>so^@|7%www+?X1z()3ju$;Smle3<8+)for-z5LEHY!v2dG@{bTDkVz*U#8}U= zh%t7Js!f1kYb+1js3Z6qb3rF4E6oFpxv(7sc7tF81m7u#4%_zdDg%BYA@s^HI5iYn zDHEvG$yWOCYq_?^kr;MP8RFoHdkl7Du>b)1ty|sv-C$?kE8R?}%dc|bkh*cyp&{P# z?r_DSS)OTI`63g%m03BUBl|iD&}JPTEDi1WMi=*Vt}=Ai_HLiyKO2;}@>PdcaqvnY zD-!6fOqJ)z($j>^d0G{I~W3xzyZ-RyGW$5o$9KDG^2HpYSYdaq$O0mscC-1MwerxYtf3#9F5c?JPVFna4^5%vX|J4_XX7wIUO;nW zw@Ti=ieG+(oBG7OHW&QaprrC{BLDAssm-2bLWX7uY zE(W|-U%3NIn?JOkY6pL9b(I02>mrH|H=TYG%0_&@w-g#2r)x&= z_hP1P<5NIed9d<`chl>X`TIA|ZvU!3`tJ7h`giePxM}ku`mx<!=yNcs|?rlx6mr>BGc2e zpKgEh4}QPjjR!SCXUPZX>@J3JZY&9F5ne2gu|TFCD<{CSh%Z$5l{+vK$|x^@=w09l zi?{6-q{+i6fa$)@1t*3wfeZ1oPUs8j(ZXV7A30N=I``)1DC)r#Js%iQkZ|8bEJ92+b>GMXUv>RNv^oq!$?Ung~o9Uq$KSl}9*r`}Q9i`n7N#5Zl0 zR{JZo^wXACkHpHaxC>+{{?T>f^pz{ebHxV8Pd0H+9#UqrVq+n_15WxH0J)YvXnExz zSM-dGy}}`DO3Cb`gWrJFVbi6DU)pr>4DI?x!qd5x7PR86zpW1;v$Vwc-0RZj=}dkL z!^07>C*r41H^7gz;|sZ3^6Qi)@02|6WI>vb^^x9U3iQMyZ6=H#(5J6HUu0508xs?4 z3WLKw=&Y}}!qOgGZBl(>lif7AvTEPtUvUo}`Jd-1hzGYfkFTmjZ$bK+2g_?=anM=) z3V6`t)L#Re3fo66NaP=Nv!U9u_HY)%ZLiJZES|96d$`k0SbVVQZ|jz>U~D&CoSC~$ z2IBOCwgcavC&&4OcHLdzmcradLG5xoCCRM@b` zQ^r1-#CT{guPr)0J^%FCJ0>&Nm=gec)jNT$w5y%Ycp83llB-PIMLzni&G1*AUg`XA z%Kw8m-``$-ADi$O1+i-gwq4hP1LgH|K%S@XZol~lI8_%-&CfA|A@*`FM>Ve}^t z5Wo1({zq>ANT$atj5Y1hZ+_!QKQVb)n8-)>vuNS?{L?RQzx!W3zx^M7_;UNF+5Nn$ zLa7Swrx@53WM;0p0xr!XL}HWJ8u^7Q&6F!+kmq%P*;Rt#`GK_+G*Ba*{5owX#q<~h ztZsYnk3oQT+j-Jt>5uZIZTSRej}iS<22a@6SOIxQ=4Okhpt#9*&_*txYypR%sV;4F zKDl*d&`Rde5aw&PDXdI0nAOwie%wj6q$@*U(?S>V;>R)dM`_h9OP{^=R)RX|jRmi0 z>Yvvy{g%o&J{i{L;L5K~f1E8PjnRfHE{;K*7{C|g>zs!^5HBsTZI=J5b59%Hwu%2S z>*x^Iiyx`qfR-4pNya2fMuVKFBI)tXwSx(G>@X)5vs7sN*#xS0_+kFftG@B)Ouou@ z48RjYEW^R`Bfb|hr-ti?S6%x$DL;OSjU9XTYQ`oYn=`ERO>oKObzT#6(-Dj;eEMbE zjLy@y4|~chvVpd-=q45K$fxdZ^W^XL+A?kP4-VaIxb#`jikqtP-~MJ{|G}PC0=PRS zmDSFP$yG8(j-SI<@AljDVPve%j}3;+2YYBc-!&O|e5U((==!>|?_|78n-^@5y6TWN za5leJuk*usCx2u@mw&xVTHVUpSE&WG**|eZaC)1gv>$o_xW$j0#Z5aVgQFZYEzUo4 z+bM25w>73;yccP`i6W0%+BEfWuKfH zn%6jHVEynB&jKl{taePlP!Qe08C;G(eAD8&1$2B>^@_trd|>(Qm3SHycQz^J8>f`h z4=*#;v|+1$ufw=GG}o>pS2i?cN<}X)56$XJHq}i>9~=+KET46TrR{2Aj|v^NIl1V`MsWJg3$p!8yYdMSu)LHBySNC& ziPZ(Ng2iK*y%i$ApzPaQBund>Uua&x1h#w@)8W&y6PAk!2;2v}Kc@_S?HzvF+qHX+ zWM$fZ0B{95SI>ZY?Z~?_SBAjKqa5C~PXWGgW^x#M;%%o~=+#8}bnzqN9miy|u=Sg+ z3MXwqtSlxJP+k$Ga>u9Pv5tId8;DAVZCi-$7PrA?gO_)o11p;=Cli_U&)IR?>?TEi z>rK%0)q`7^^?QQ*`1=#ui?2O70Xa83KwAGiWK@5ZS^i3MK^fY3z@v-2^i^hM%W~Vp z`8KRvaIP|{TPW@k!Fcb&of!V#MSE?$>7~8txpL+sk9d#bZ8o6Gi@ibOO%$;0H!Q!s zZE_9f*~Y=U%5!bE;ak`;@fqTO3%Z~mS}V`Om8SHDm1Wag?xAwwiYH7z4&9B_U*W4h zK-0_*$IdB19%%36pl+v5l(zM4Cx6;rXiG2cj)A1F_3}0s+lO0k44K2s6+0GuQa+P= z!}|4p3kZ(3{oI2Khpbs}c0<$W@G`Z0`uO?w=GEKg(d6%MzW+t~Cu4Z`0PPj#FqpsYu@k#mmN=#UPp4MsLNoQE9J8vydn+a+_>hTx~|nur|T#Rs-+ zW#@?D4YtF#UBfvpb&F)$jgtyjSh>V(0T!p_@w(N2`A7@v41Jv~b-iumcVL2}4ToJD zmd}|ex>HYn>Fv?I{NjVPqp?(Te4QCxF&)bz_5(e(byb@_M7y+7 z1$X5^W8@pTm*0bLILCLTh2<>(Z8Kwa^1wHg%EMK`ZV2$uYd&Yr&)`4BC)sf6(9eUJ zz8#a*RfyA5C~MSfIRx;nMJ#Hotl*4`|#g8-r=j?x9kdw zgLcPLbgP~jb9mhKp+203ne=x9LB|JPC4G@?!^*Ys`1gbE_Ur2MZ2;H& z%CPx;!^15NY4n7dZ;Yt*1(2DT&%|Ee(pp$qR^F}KO|v@wrQU1CX%U*!&TTaP1Z@1h zF5NYOZt2sGts`G=u^bs}H2F2$T~u9=rmDB8qFHa%i_P19_nQvVF3cbv?va=sw=>vx;Je)wORG#%J*o(Y<^%E7e{v+~_uzt1MjFW=uD z4;ByZ@Hr+8O#W*aU%CCwi`(zsf4TkD@7`q`&KFSuouoW$|0Uz58xH!W@VH~sl{>cU z2N##ks++pTWki|8^BMD2O;FcesC+VS-rfG*zw?*3zxOtyi!oz(lO%){j)53&)4-GE zp!|Z{j!6eJPAGnMON8GY=;AG1X#lrZLx~->^Cf0`EN;lh;F5}YWV zoIDB-*<(75&hArs`B%=BY1AvI-|`(K)8-8R7<22@&CwqT15SbxaiV66pAvEMj`p@( z$cB`J6Ua7|=_>7KK_8gKD6V;X_3W|0RL}4lT_Zy$#mMB8CV9t@JylT#Cp7Tl4_)Ab z7c<_UVE1;%tCw%8qaUU-z*oNdP)JOA1`yZ{q8NTpUS7|)FLn{7==KSlxIP}&) z7XDovEL3z;H}%uTW^L^XX0s4^?9M}j`+knh_&Bbg9&tJ`HlJ_Q#I~X1O%t{RV*K_k zEjGt<_1k!#95e~+b{{Pyq;$# z^7ifb(eG8S(#XJW=#1Tyj$L+9E`74-i^@J@uyVx*y?ImF0qgptwv>&FM`CC8pu zf4##QAA7oqw?%TwMz&20V9Pe%jmfoKUYIvIqI>9i0QO#9`#-KM$=q>3#Q0c$nV3uo zi=z+qN3NA?>*wdk)&z@|cGCOcYx^WLU%s01l^q>H+7N6k#FXW6FwCXpi+5X)FrK~^o@(tcH}&M z>-Iq$+MMAcsT<2k)eV3zV<%^ZnOKPP2PY~f9-HsO)L`dY<9S^7+&&3(e!wTVcxwmb zouXJc{oo@~Y-k)g30LpSwB9bEhu-VBBoWhu?wdZ`x;n9aXw1;*Snh>!aE00r{cLcI zkGXxseltGCp62ZF0d(9F>@$7lN&LA_>diwQmfbdjlMl7#k;xsden zGvp%&AItz6n-V&45((Ba5G|MOqn{`|v>+h1fJ{POW#>LXk5-UanT zHXp{KIaEt?Q|A=40&5%gRDTC@TtNo_zq!&7bVM6alPHW+$p6F7F@nyHQv`dA9ERc! z`~w8gxdK=mio+WRorOsqSfLjdXTvIH(OP<5wvcM{J>-)jZSrl_wQ*65W}B^f9R$l_9I)}w!x<1 z!-tOzTYjyV)~0sLpj=yD`@p?ko1Ek#PbQY6-0v3?XYQ5HfCsA^*w??&AKRX~3|Lpk zHmfm^R(6vtn*%uVi@P9? zj$o|pujCezW~m;JM}V0CXYyPKwO$aQ>0URukM-2@kCkotEo4G^VLVUpRwBcWSgj;!F4#dqJQ2wC(2U*ckt{ zt+owa=hAn zDCGkF;XAw&tcl0o%C2%)1NTtjE-BjI0-NJMryvQ;lok!RUZ6*duV?qxqc@9CCy|}J zA40BDc6;gzaR35eao1qi0JK-P3XW2b6Nb_c?MM(DJR(!ta(ErA-6|3{EM0A;J-TS6 z89zIC%WL5-XKZwp8O2Rgue-s-+A3R}YMaZVJhqs23)S(Pekl)f#$K(eu3y^+th0tg z2f@-`SiX4d0#lly!In#F}M}oo|XumO@ z0v`K@)R!;en?)c9!5W|0Bi-dEjs9LaI*BV^O@hC)7wEAQ>%z(z%^H^G)&XV0HBE-A z99yq!(_qur_!_{r`MI!s1ad0_-{Rck%y*r@GoGDUnGj;n8jy7f!>U7*-K zRd<^yUmko*Y`*=HOIq{8w=@MH|1GH7@@u>S1fzJ#0^b6XDQQSLqQQj|N)77}HOlR$Ce@UmjUk+ub_=63Ev50QR#=)t6_~_fz zY0nODzFHd-qu*2M&|JN~g0!diE#cdGeAa&WpzSo}?eD*eKM^uG0%WG&0E)kvfsBeE zzr4M9_3ZZ7zx_>bOSu)==UM`N02#G7>s^D$GrY&PD-RfN4V*llO$+q+hW{N8V8&K_ z@8z42`u#7rzyA;4-k$eCg9#R{eW0(-76Jqj*RV@43&;wlTxh3U83tz!vhmVeTr`B1 z#gg}Tqf>7~uspZjOu)yP=wj25;1>itsA6vub*bSQgRis8+k35Jf%zQu@QK;u=u?Ki zlL}r`jWW0_)O*Xs@#Dw?Nq%JNgKaGtC~k1#x5sH7wW|j@6O*|uBICr$wV$hrvD4bU zA4)@KbxGOAgEu;FIoMALH+^ht(9I?^JYqkC7N`@3|IoSioARXr?t|%E%}B%BD+`l= z*l9`Mc1T)SdG^HQ_1ia{gjm+e3%dHO{Gf*Z{%~F=b%U7(Zr$C^GbaX`z7HLF?Vr0} zeA@TN6r*=HLMbGNTR8Ch^nHe!kLSVHcYM~XM+Addb#!Gp*?-Xul3jXExW||e`1N0X&F99_iMxniwhPP-ku&f{RPt903CcX^Sk;!Fqfrl?Xb3Q zRnp^or(GONY=j#pMh5w$0DU%%M)ga{$~n(FjNWshh3AZCb9Q0Zl868=2$4VYMR<2U z41c=#upYeXdhAP=`#ckRc%xl@Xc6t+N5*D!%f#M`u2j19YTO{` z+oz1nt_Q(2_tTTj#%`nko;Yp39~z8~>oXpp^)R}A>svqJM>q41ISB1=sC|C*^t;=u zKhA|j>ILQ7oj@O*ME;EJyU^3(c{XN!o8}j{|HZ51)9@A9!;`IkivK)iko@%N{h)>Z z>IQndI5HY5mG92r0G_E|+5Q%6*-r2!t3xbY-~aXP!$;qt9~vE8b(RQW$~=mdaS+ti zFlOaI--|vD)HcBvukhc3Isw4tNbSs|+nK2{Q8QAVH1X0NC;A$eU%{^P@OCAF)^-yb zbp3vf|jSkF%$OB^rkwxW-bOU)n7{Vdy`?;*#r%_ig^? z;M=<8M>pkkV29oPvX4bt+2Ztb%c)pd*7o{oZM2}@7LR;j`IlB`FAs~Zv@09^YjK_{ z`o~5WZ2B(G(&MXVl4sjeqyvrCwbo$5c}F!uxe&SY(dHoMT-;1%T6kpZ5G%f{hVCR6?L=|gA3Q#XAMmhZHm zwjV!c!Z;J(o^k+nt~~i_l7TrnL!7Oe->^>l6PlV9DMJ`0@KcWl^azQR52zXJ1q+M=<1t~Oa%8rS)aWXc;NXUoof1gDTcdRr% zz6Dkn@m}n0{)#iS(>m#%G8`HkzyseH8lPV1TpV9!%gqnZp~GL{%L7;cTi@F}882eb ztSPg|C!tr{)-y!PrPbZ()b}QjkJAn~mGx|9q^skl&$e88ZMf@z%?VxTaK#^GHXO#U3qmKf-Z(Wsq}9+24fL$X<(1-pnErqDnuZmictMjZ_`=4UlTx0q*`}SXWeDc70H1=??53B(?jlJ5_je$7SDb#!oB3wb;h5tS62%PK8 zc#UB)NS=Q2bo=pl?{43{dDRmL4AR{-ph>Yt)yjS649cFO9KzzBR2%`1aQDtwoL<_kS!z*YY%pMBtz zOe5Q;GeJ$e50zct434n+0Jn68roNi-w|IU4PQG-@ZhUy#<0HUb9gIa_Li+yu@9%oj zxmVA3T}NMO0S&YpBFE}bJD>iW`lEN`^2GbyE-7}(^xUl}eQq+~_sKh=J(I&_3=Oa4 ze+5IuSW=Gfen-PYqkXd>SD3=@>NWm7G#E|h`MHZ60{E)Tyq~ev6A9_iWy?tW6`u0i zEvzzT9E+~^ngM6E=PSGNS@A8VZ z7X$d4ug>RrrSfrF7uWM0oloYpj1yznCQF@gm18>3x;3Y9eCf?ww;(?!o|CH&Pd|kz ze@&Mr@0O;qH9!tBvD?ujI8H?9(v3jmB^zJm2TvJKcI#}6&gvn}o@jW(rursbUFjSg zYsYS`iWl+c=NtPhrL_ZW-_=60 zpn>KuG5A~Qgo-WgGp%2Kx_$pEPBzAvCv(SnYv2O}xxyH1@W@jk4_tAtjtSsxnGHdA zf5{tQdJA1R8^hZ)SY4HkZ^l?UE-aF~*KM0^*VtkU4h;aWaRQvpOW&O%L0TAk%H`)O z{0c*3=#-WX(@ASjPB<&d?vnK*2PmG6T|xxCy?kRMIOS~N4GemZ9ADGgf&Y{Nw6sjN zWO!!d@hn#j?nNCi_|WXtz6pws(Bt&th0;AIO9sg5BHmDWLWAS(0904l|>=1!K&muTvS*W*Fe1!;l53r5dAL((Vq1211kmh}7Zo?pWx zLo5wNPVPkbC-xXSQ>L+;i2PCp<`5A?2eZFk`3+WcKHTH+0K z6EBSoS5UXX1Z>=N?AVNTjEw9HU(<8d?se@ferLda%jcR#=UTpb^mc4r%fWTb{r>{j zep*0l=`B>xj*XFf-wYe#d$hoQeK_Buy2>~gYCon z*oYsNcEI$f9eeTRe(g7kxZ1}VEx4vGo1ysz((sm7H}X9CNWBw?iRqKFl)s1^yRM-7 zDr;cptrV?~TdSmPOo6@{I+?*Dr?j^8>Kuk{(y4m*O(@U@^yDyY=tJs0Y15D2VbVDGPP zUVLp^Lms#rHn6k+yq$oxg>$6=_sSdeq#`EZKyb)mA)mlu@HTOd1Bho+F#MOtmETo9 zu=Q8i`jKP!i?4v!mYL?<547+#f9z>OxKHeXuXvq>ZtFP3Qylv`Iqkx`P&=jG#=! zx|wi1L{&aIN`r?E=UXb ziqV0)am9p{tF&YSlZ$~mjHJ}Xj(bEEz=gruWW0>D`>lAD~mFMXd{%D zvH;vI1JVmiYwLje>jq=fuK2fXZ{>XpYs)*FHfSg(FMbvj@$%jv4>tWRk9g_u_vZlI zjW?KDHobKlOKshj7a!dOeFE-vkzwW7`3ZYvR~-Jb{Y`f1`g$jqhd(dQ&KtXkrnG@9 znHD+^3$bYCq1hN;?X~o!(OMZTytQKp4X#)BX9Ke`(y?*yl*X|AN6tMhFAK|1+la$ z*gI~4*PW&50eZXnj2q!lzc4>=+TY=kU!h{cEFY$z^#GvRhp zh*3*7xa%keNpbAj8+5KDkm_NWt`_9jaknc1j?Ul!MUKiOnNKASdEgP7CV@xKUu zk0iB=o%V?GS+>dz=%;=ADqN(WVuJDuR1dzAa`7(s#XAGMvSvWvWeH96T2Ab=d*Qxi zd2G^p%+{N9t=CCTRggWKQ&=(i@ zc4#l(80a3?y+W_Q@OmE1TfPlw2TD(yNCUPWOEOD?N**+~M)dRMjjzSg=N&s)kBm0? zmfd*_{f(8K;d8fxGWyhBiKAI?W)29(z5UX=Lwrk^dF9ZLZ2VmM8n%Auj~-y`8ak;{ z)CDhPa2Be|BYTJH5<+vrlNl!qncy}ASRMfHtNfKK2pua&{+W9dlGle*s-L%hY)3Zy z>LUTPp5m{3Pufov^dZwI;@ddYI5hT?67#G@$|}=rAa;zGRwsmP_QQ|As@FGO6fl;_ z;TELR4)|#8*P;avX>VV82EzIQ&vRM&D^%trB>mqvW=do9tIsDkrr*ALJ@SMH5U*EsEJ^!4!E@R+j{E$pf;pY(tGMMLP-nzo*(u-QQuit+K zD+B@)T5{6kDKlWc3I=14zTDp5e(}eDczYc|=BVn?+3pR=yMHc}c9aspNq^}zM#y6 zvFYJAL5JQ4Wbyz{orliy7Yl83%G9sZf-7G*^6=Mz=>zr({;N$(01m#DgMAXVUM#E( z-h3f@Hw^-3Oa0#a>t^ZTR*p>GZsAm~PGkvb+3zH^>Wp};OPJZ#!i{;s)c27U8 zElqCfwHM#h=?^W)zPDJFcd`yGbY019oAM3*)+<|?f%-z|?L5%zX}?ff$-3&R#KukX z`n3~b>KoUe#+KkpZ*`uH%FzEdENoh=-P&QHye_bO;0g=cs1uLfj!j|NwQcI1HmqIv!`96!rq6Eg{RUI$ z+t}YX^I_Rv>`s0|=YA}Vfm22n<4WHECl~q#ee(_99Xx(d9-E@kYsV*iYscc*ob^)` zY@9L|ZN9#`ec!UQ|CWaQ`nE}M%eKw%nekxFh#H-jl#{=ksi50jvD5aMO}aK8Bi=7E z4}YLT+V{72mS6C`0(qgb$VYakC$8$!>^E$ldc4Vjw-XU{^i~d5{?%8Tj@RR3gY`3T z+J#X5tZd?~IIwb>cKVFKFaM?wz&wv5ai$7+n6E*_6(s0&x&y6?wqvx3w~bIE)Aqoo zuQ+WN5yi^kTqjF_?n3c(MmlNQJMuCS%;d{Z$^kv9OVUe2Y^Rz;!_XM~4u%86C-u$I zf8;ZFsH1mUaNUM3K&+Z#?dr_iX=RsAJYayXJUe;aWj;Dz<*L&kT6cS*9~$4<=s}mm zKL)PwlmT9vaZVeX&$;@y^WUJnlh28j?ZaA|Uw&vCOv{gq`e5=-OFnj@@~gVLpv~YN zy}Dq8mRK8fmll9?m04QA7oDEsppm+(TyR>JfbYD)lsd8v;ns=e$@n1?7d-NGQaE-K z3(J3H+_L52L?OkI%K(Xw#20X#b{=k<`^8`VXE04AH-vw~4@zRsO*R=~Cd^UeA+w`@3 z;~ihuz;(gm`)cZjAl$>sv9f^G=}td&d;9aMJA3kT@!tNGo-nX2xIDV&teT9Wo%Ko(>IkhV7@OOo3NBUoeh*Zr6)cq+dvo1 z1gCd9_E^eEo;3a8j$Gn#>7xvlp-1A7JGy*gz)GJq@RB0ohx||8HO3vOR0v z+TZ;BKI;<8*!=AUBd9zFMxLG9!?u3|U-23L8Mu^JLx|fddAK?q4-fs^e(%q2zs@A- zV<>&mFNWZfTyq|}gZupEE0((e3rKFq)^ON}(*_V&rzit(JD6RiC9PgqWu+Mh?|tPF zp7!eS-ga<+H04$%vQN1Vo-~@B#7#aH(%^MNaN3|TbOvT45t$z`Y{uw`5R zb-j4f%Ktyb@TBj7|CnF{>Gj5j>oL*cb;`e?0Ul+8%g4?{v~uXZWK z&cc{s#yx2yl3u^lPO*bzy z&eVg+PuYySV~abl$6SOCS@_V>AZr)!%s(Cbu_@hl48yD6uzw)gwxa{N(Z|1bzwndp z?WSnpl*U~8ef0hJ{NDGspFaOC$dS{8#YiA+CZ2xQ{_g8QKFOD#UfurkpZvw`pMCf- zWtmrs7thN4@*%2yCM#*8htsnDfZx(Cn5)_0*z%N?{vF_N9^Y&GZP5lQPs|xv=h?@; zcE%}HF(9MZalU{aW4j&WLs06ja5W%ULvFsE7m#14vQdD8mbivH0Iyd8hmJ6^43Fin z9|mUz`^v2B3d+!M%w9(`Aq5ssopj%IcjTCQ`8i4)xr!T^@Q~he9diW^Z(%B42El;g zFuc_7>#J-q@*WkQgs*#PUgWfX{|+ z`du)KlI7}8WV9jaDOmXRS%XZV;#xiSMiWo;d!KjPC8)dFO&V`?HZ$3QR35Q@qi?Dr z&m2v=&EXY857X^J#kM;c3MQ~m=jwtC@SAv1)7N&J$6uKMuIUGm`O)@@gAd)d>{4?e?t z#xObYnsK14g5lSZlMJ4ehpH&?dWfXo>}&e-O+Y>cQ6G1H{H7(ALEe+G~sJdP4@` zI%bxy^x6c7*N(r4f4z8~Ippn6ArN}O&z8+J8vzpVu<7VdGj%8Q!=0@ogXc`LY5MG4 zn8!FVM_N}*b+gWsCqz@QIQkguSOBLdW5nQWu&HGyasGFsBo^+V0|XQje<^Yr{rwlW zKY8_wTqML8q2*bSmlmZpT+m&;0z;@fXhA+Wgk3`lS7_PM<>%@E#jl-Q=cL!6r!$uR z!Z=e57(C83hD|ueQ$APu)5+7JBS`C`6?%I+z)49TYRc$TudD+#a!D6Aox>gvSx5JU zm9-m~c*mff!B9~y_^~gjEEm1Hc$U|c(<>`&^wAMB=?Ojd41+t*;>C9O1eD)HSgl>U zX-PY2ZLZEPv@G@%+6M{!ART{5TD^RC>vV|YFK_MHWG5#M{_0I8isTGrgVlFH<_gB7 z^5XW|@4?W+V$ku&R&$lY=~u@coKKlhW`j6<)a_(M2AiAd_n{*ObnFxF$F5?~tHOZE zk8HPBkxdyIk;z02e{HmVf0ru*Tc|x#wz4e!m#!S5Cmb{u)~Ebv+sZ`8rzaaBlQBP- zjg!+RbXSJ`or#WQNOD8&d?OOY`J^Fx$zmE=^hO=eEbAmGY ztpnw8+HLvLmv8z)x8eBFl@7cOoBtLTpWgJUz6tw1oVAI%(mJ?X2A1yCM!tiyX|Oom zNY}>~*iK*Y(c>Q_E4|@sFnlZ!JEp`#|AAXO(cl}M*$S*38}Oq`#^aW+UBs^10iVh? zy=ky^n2GBMd6n;AE%zje+DS0@4)z_GP^=3{LJMPJrI<$w*_$#@bI+A(jmhI=!0e;6> zfIe$ez5XhAV84TTKxbO_yLXF3!%D(oKNH9gQ*{9z;yS@GOAKrdS5q{_cKVkPn_MD~V-$`e2kOnwo>A=>++_Uga_maD4}d6S9Dy;F>I zz-xpR$TRkiBakg;TO?}K}F zoldKs3A0HGZSo(U0~s*vd}KqbbviamPp5~*!D)*Kuvf&J2V_}(wPzMnarq~0;1+7H z!MOsyOB3$O52OY1?nXh~2DBX0zJ57RoP#OLR=<{HcfARupu3w1t*qi`1mnbf3btK@}8_EN2Z}2B}4ml zCdIdzIGaB(eKTMo>GxkQV3H*F9;rg_Rou}BEDdsn$eH6FJ|TV0gYAbc`?~I-e-t}` zibH1ufO`#7cCDL5?23QYd4Y9n;ml?Cv3bHCQ*XcS9Cf8DzS_02#6T;8SxXKpbDJHLhJ1K4J0KgD<3=J=Dq2P=0#`5PAj5s>Zo zWP=9FYi~JPulyHxuFqJY3%t^#Pv^=h1LX3!fiFT=hxpC94jO{D=_*_7q@B$^x!1?k zO}lW8!1A3ZlJ|^#`&zVvft zimmBXc_YL43teL8@;cCDlV zxhZ2bU>8YHyG`Zo3{GJY4b-@&9Bf(}1E(F5ybj;Gd;M2{&e=^z9w1F7y;$R<9B;HL zL-3c@(p#s1GcfW2_;vK7oAkGkzKRv+YKx8=@9vfy;L3C1Tm7zKWtc(#pka^|!UOMZ z3%1<`eYACSSV!8rrL}`-`{qh-b!I1<5M2Yg(~5%a$3uryW& zAdR=Y&{Lj(w9}EwkaT~4=k&4flXT*(fn(1sK)Xt)7dE}|q^A#;w|H?D>xGLHgUVDHv0Y(_R{&y*!r}yKNtQMVBoC@xc6L=h-ljWqn-G zhWW%Z_e}jl%hBp0^(`MfEc*I${0&^?_!ibaV0b1(%B)lq4t%Tg`epqr@#sD{03GGR z=Cg}V>d{|-@2t&=f9$>|FZ&Tp_1a8Z@}VDk=CniWiBBgj)c3*h`=tTo@ikT#e{H<7 z_2TQCii%Z7@{SoY0eE<#D>lZfN2<|AYsb*W>UWL+TULJyy(vqaiJ`Zb12#tHu7UNP zhwZexj@6wPoXo#X{%y7&@4o!@_WiH2NVi{Ow@csNA-G?`W|jZV`>@W1`E4%jR{jq! zGT+9m<`m`l_C)8%4x~3v-bZ|4c)>4xD@!mgu}(^q1;A+tW4-vr%iF){#kHsDk9$92&XFHt&;Bd}vHWDjl!VWt5#8;WYw0(4F zWk}M=4c^-AZH{MXfFnPKisF> zZAzqC2_N0c<+8$uaJP zYyId*t_KZ3o~tgP^(PHhpGos~CxiT9=2fw=DX5$8X}G|gxp47p_JMxjZ`)@=edhFy zj~RAh4-F+Y095MOql2*+e10e0kMyN&{V|*7_E*Z`gO0u6vnAid2mjIAJflBnQ?VP~ z-2?$RHY8oAqDpVqWZZSkktLDwDt@#%dL13f3h;t^of`%1$R~{LMtJgeLzLKW#;{9& z85r%f(Qe0HsI^^&ed<5m{@uU$b<*JyWT zmo|j^L9M_YCy}dB@_*{P?2ozyj-q{!!%m>Y0}uTfXmp-<C`Q4u>g-;zBssf_$=1ZOzA*%OJK{9_fft)q)jd}qS37| zwVg$62bUv2&?|Eu7g)L*SM%t!bQedzU-|8Z!-Tdc3F>SjJ*hwb`WVz#deZv6WtljL z;=@Al_jXTxF7?97CjGP`iqW*_mihS=vd@{m!Gu+0>M7+9=ci=#$%GvOc~t z3T)`LyuSV&kgr`MsNv-K4{1HvKG&;h2b_YCd+Fgheh>kohY3yEJ@EQOLS>B%9(-L{ z(B<#@bUS_5rmOGTiT(CA6TjQf+$WXx`k3-lzvH}!$2O&LXfMr8Z@gvTng-oqN1nfh zqkTihWXc}&M(_3C)deh_rM2~J(zjta`YDIA_{NgWNP8osHtgajsB<5FZ)eFrg0>TS zyCP)(eP!-qe~b;29ey9CUn^(IuM_=(eko)DDu*NUz^1>p?YE$A!r)OKwaqV}y+Qdk z<}YHPjPBiB<=@yLI>&x&g|;%^)&NV>ut&#Ni}eM$7uNTd=l*7i#oaRsQT z#B?x*C!YDeG6esj+vDQIJdG~?;}df$a_0~$)|=*tsZ9(l4{Js6} z0?iyZA3S?(;MY$pKT2qX=TpWvzBYIIWAW8@e&i3aC}>^h_?Nf0q4VmGL+6}kb_@nH zf`nOc;70$RupL=dw>`Q~xk&l+?)K;J-zCoGGIP5*{9W)PQPI6X(6<@`tFPxJ$+@

2#F+}9^#sTw>47_wL`8-nT{o&7Loo#B)( zP8Eu>(rCzE1CkA=UGXpR(ysF)hS!PxK>38edd{&%T4?>@-HtkZq?758u-lxS^y;Kz zrgQH2flZgknbfZJW21*{R(7yEaubl9_yQdGb=ZsU%EXWK>`=RrSm0!}3Z2LC1t4B| zmX50gQ{&UwtnI{MGb7!NVuCWyYLIRF|4Ii=L(Unl>gRUM+ztS1 z1ECWUdxbV!zU5Yme$oEPfId7I;PVY}+7Ej1aWZLx@6wWP zecFtSGwBGOfHFatNMP-i&F~Hnw~^9E{o$_os7>Y4BL_TV04=hB@+NQ4<_f6O{gkE9 z)f608k7~EA7(IQAcl+f`_CVQ!G=0FF&e2Ccd=mAx%mKJ^sY&KxcQR}MrFqGJ3tJDq zjsGrq;EkVLdDnJ{e=dEOCCYS;Uwt}mzHYzyjkj*=?`?kuzO@C|G9Yc??pS&L@Qn$x zFbUIMyEAVbU%S#ocHdUq@`c6S0M=$;+JD_Wfc$h9V9* z3srn&~3II(b|3dHVXhH<`1Zei!a!ro`7WEp+ZDzA-FjJL^S?fB50! z?Z@}&19kk0t?+Vz7rK2z@7M&;qjCgYdh-I9XF^WqTllJYGvDAo>qHZRIPjnt@n88T z|L~Tn@%F|-p4ynBI_&N=rfl0zxToVl>yGdYx{kZT8dQBq3cZvu?zRz3e)uFh_)`w= zng?xnXaJoL7{48`gE#P1n?u9m0MyiRv#=>scU|1qnZ6ys8!tUiFRv}+7w2jN!Px1J zJTflc;%-`~vj*U7JUSZ0j`hhJr=3w>Cw*+5=r)tuV_-Zcsycg;U1|2JGELe( zevBtRVzkgP`i2|U3x6K<}(8HU)ChWZk(6+B!HXVNm z4WM4#&>4M3@AX4toj*^1-cLVH8#UsE-GHvG(O8>+%5nHCEZ)M_U2#^%4QbFe)0b05 zY-5%^+P^2T%k7ij<%?XrPetCbJ7H`hQx-SFDJ+4fgp&yCfKw{Ny?brN^c_k4v#EcwS`yW`|V zHYORvaRH~zYzl8^p*!hm8(A}!eEM8S>%N@{vnOx?{nCv+*((0bRpu!dHFIGz^!WDm zBDAwo={)3cp~23jlZ!&>UJ#yz+5BT%du_P!v76wOB9u!9kFF7E<}_tbWp-S?c=cWI zGQUKIe(5^4(57@M?~G^t*tsgPIpLEz?#vJ37ZL4M!XN(|VxR9YOD{i?d|{c>|OHl^|ZGMAro59vKgdH+mVI+QU~C4(-LxbTPUw>0~`PU z3@iMFSZt!#n>5$01E^nTXXNWbq`aM;vI>0KYzK*#ejfsgG3?&K)@_UygUNpzK#dTP zUm0wSu6(8sLO;&4w87Q`@$%DFsD9lMGJNW~sKe2AbXz`PW4xp}H|Ip>%6EAQz)wpL ztUcq97hHL;G`Fq%m(MfFHk~p~54L{G)2hLw)7@?2xbxUIp|sNnyKsQje;>S5H=8l? z%_6e78n1l}SSM=acKab92svLd#{lQ~-zmDGB&>mX&mjAJz zwH>bZJF&8f`uDNb;)&@h9yyLrgr52jAKq0)vX$Pki|>NKlh-DNm18GZxEtUvn8@KT z{e>wTpONOdmGPuaWL+4tAnc|JY@e-c+E$*x#`r9+E6;D!-{Rvx`LW;PZ{6mn-Odk> zF#_67uYc3_I_~6`mvXRWi?eVolU|t@cF}WM+YsIh-{O+_PV4yh2Jmy~&958_SDn$F zFtGN6voeU$0NaO4Uta>Z`1E;3X!G#LZb!$&A3l8uv6P>0k_d3Hne=S1{m>&HO6$%a z0;>DK^~@iSF=jkXJad@2!-a|4#>QnKe$J2iY-Y20Kt6N&%pVU~RxfjW@he~Ei}S!X zxbvlBaQbYN-b=j`5@XiJ|Ao1Ic1ZU&9vSF{C$f@6{o0nDge!gaCZmP_`Yar!{pdd$ zFJF7G^z`AGlUCL$QM%F-Mx(q|sqm_>wYo7(_wp;#z zNfoiFLUi)?gahB;6sagWM4U>AbkGk!{`KvTg8c0AAm7}#Cv=S34?#T~yPcEHfURsh zc!T_wt)b)+U1;@#=%d!FIg5$B$1R<^w}3 zXUIJu3y=G>>e*m_D2^>%>33l|SMPAx!wCsm^9I#yc&dNoD=ywCDe^i{ydlN2}OwH%+A*yV8k{^m1R{Ga2vS&+C0A z&S%-g=nFEVbw2?L?)m~gl4SY#VNQTPzRP41`;Zx(bXZ@t1?15NKB(NQH<^OxescSR z?B@YopkAM^y_bd$x7A+*qaPm_n+W7z`dbD@w%`Uoy;+*|QSrfpV*=|WmVT3Oza|!z z7P!*hbba*5vUI0@aOfbWZ%mf-_Wk(nH7uwH%8qGH96w3B#@*OI+OYAC8$P!*$+Thd zullzi6K{W1&Xa!R>5;tGT0|vl=o8Qd z{-l9n+UM9`_4A3sG)%wI$0+%_4% zuAbomXTQ#^&iLS0`YR~GnTrql8H;RcJipJy#ZMpJR~~eXj&V#{h=d|N( z=}F@~rzi4{H&wv)Wp9!2jo`DJbx?To(rIdX$h?<&@{gW#k_o?ZL>?AgT;=38mX{xV z^?a$q&gu+lbvcSenscdraziihZNRc^~VI{Q|a#rLLd`F{+{rFx%Cpb zH)wMW6CzAHUavfV{rUFGIN94b<2;_)cLiU@X)6}cG2vIZ7;)ZZ(Xi^tjlrGx3$>Ax3-gmEi~f<(t>V|7yc@@X9Og-o1O*HiG`q@Geo2E%{Ki?-Tc=#Bk zX#V2uch#?3p765qS$PCByCF*q$gsDXcEZk|!83`ajVn5D!;q1_uDG@>nO?klok>3F zcC(3O=z-OB!O(t@azniCot(y(m!$x53u{vy8{ni0=_ta4R6(7i7{eJN_{r?G; z&J}-yi;8I2AYVC->|oQ2zce<;?w z9JMb!v3X+C=x>OVrtDF-@lYi9L#LH%+w!j+v-0$m=0^hBVCe$stG)Ok`!0^M{rwoe z!~f9Y{adf@{tMV?#*gt|8=_|%TGU47zb(4}517a0$A71<;cie4#Ok~TJF?9>1hg{- zEZp#QZJ$0oPB5q3{4y5@%Cy_LtLfKsip{o8W5qi!p|htt zUG$C3IMIujnKNE{t0y)*ywF;iK+~se(hAe3C}qR_yMOV+?WcDiZ||RFZb_-Rg@1g= z;!u^+=EM8PtuOvTzvU5^Eo@#~%a<2MvE0;!u)oqa9;ZR5PP|aA1194_DEgJr?{bxj zBZHLD=>QD316GiB(xJVJ*&67IyEw&9)0put7HnSYg=;RZ&BT= zB7j>bIX^O zSRIgG`aoSJl~0f+-OexY;9Q|KDcg<3)(`KY3E*ij-m+`F;pgC5zOr7SbWeHXjFWpC zn}9g$U7l+Q4*fo!4dwOp=i306ukrh9nZ9pOpEBVJq%ybHM*=Gc7@Cho8;lR3^U(FG z^T-|Uct;9w)xY|t?h3o<-u|!jhLZ1GE>~K5;Ly;^R(j_Th3scI|dtTxrhO@|D!tAgrEb_Ru)+9M-iBSQ)mQ zzWQzTy2`n*8`{x(=0f~HL=ohi)H zdB6UX?{9zd;`<&y$He!myBaWoA(jV07t{ltu?nm*gkFR3RVN2_dnQ_POJ5Z(ukdU- z&D1T}ad!|`rs>!k-3o0Cr&+$95(6aGY5nqj}@uEuP7P97ngq7m#sm zymd*1_sZ8Y1Onw#tDc|^kCd~Gqs+EB`lw^WY7v~}>3-zgo1KjV-P8=vgz(#|==PH< zuTdggW9Kn%`eG6WacRdPd!<7r^{jn#B@5WWx=?v7j42c3h4mGnZ_#-D-P`(>0JKw1 zQtabB-Bc*6+%{8*yEzK2${5&%&F|4T1~ss$hadlV?`reN!#4Eo1Q8td+OxNQLbInC zJ!%{|=A_94H@?=kslca`L4ciIanaRf-^(v1tf2>NMu47Hwlvs6ddEqRXPr)@4z2P# zn+#?0^hZ`bYw;q-%ccADnEWDAL-_bT>_bWJy z*0fKSJIM#{{xwv-cj^ZoZS-|RWPAGfkbn74v*>qd_=QhEj%&~!EM1^ndgHr|4$k0> zES2+w9Sa-4E+X_InfQ)F_Q-|)mB-d^n!hwYW2)tDTZVRV-Q&2}O5Cv!oTV%M*=-$Z zwBV#}V_%TIzGklc8Y-i@qUMQnZ zWN_=&s-eY@(1BZ2$Ua&>>A&CkqyX~fBx$Bt2cji z``>-|DVvb=appfX%){n-n^*m@vQ_u+iS$u;@@%{(pV2IvZX2Yp82dZMne^8{rdA|S z?kgA)9awMv;U8PAS-Qf2$Hrsmi`}H*YGerR8zGN-I>vIKhS z5!>!#vo;@v-EYbudF`<>haMoe;Sl=`UL~1;n1Dl=L#u{ z;b(x&z+XNaYa^%&m&j@`dDzm{EwZ}gO&V>J&w^V&%HhJ>%|c}ete!jJiPh23Yre1Eyp3@pXJp(@-)qv3-$iEak4by_ zf`>(5WS_CA>?*6c?T*|V19(8$HFU!3V)CFT=F*$qu(UVc0F<#$pM5xTY*_hrevz)8 z2Q0n=<7Ys8r6P~yZ(9M57?|TXy|DPX#E!4TXXu;qZcGvvJ2;;6u*u+m+ZW5$({RL2r86&+U@|<9BYmx@cUP#T(E^o1HwkE_Q)inlYDp z@ZdFT71|RIRNf=^$UOYeTiF)W3+5ZKM;BJUvHPd7M3`1Nv%zWcc{rN$1Gs z!elmL!A+Ytzses!wbFw0b^0r_RTScjLh4hFZn|I^m08w@m%qII`44}6`$5`8|EFx` zvX0^7fm^b#4eou*&yT*F=kt|YGBIu22#_hom-N78jND)n5?KWR~9o(fOX4`#8(%+>yxRWl8PJq+tS03BR zi+9IAuvgUZ*sAr3ht7mfh-qV|c(nulmzEffYo0vYk-!6>3ur9Vj^_%*EtJvZ0o{P4 zZs~w)d(N{m?EsgqAEtckc44#mdA$7;9kblxU)VUXsaPO?qe~`B`afkbf|bV=M1ADL z+-Jp)P8PkpJfWeic0RUN*PrE2-qo3-&sd@5=%8MiBh%PAwilT-*1dlA$ zHL(e_zkqwn$cGO)esi|6M230(W!loq6OHA% zGcvxAi7PblsGnt1uuq_`Oym%{(1aeH)@D~&yKXz6owPDgJ0|Z(CtF8`gfy-_e*EdD z;&l_HO~%Z~G7APe@+%)$yS6`$4BwXB)Af@9dG-_Yuq{J-(~}>$g<@)_Z;}^p8)5a{ zGO4XmC(f8jalt8VF=a|Jm06VvxHUclGwCdTgyTb>?l zdi9Icr+gLypq`BK)oJxe`O_|n9Qm6KQSpMvZc^VJK;+}N3{j-NpikHR$UAAupu)5smsPMbuRQ|}gbAvuE%|lmv^PsV* zeEqp^BALUpq0tUaLEUT|M#j=gf3!{Tyk#~vocRdvpIg_aEj<18u$yvz-;0>U0+{;Q z&@AsQ?=A1(caBT`b@G+nyqBtH{lU7UbLwYr6;Iz^U+QAhYD@zYB zPTQquzfn>ngw9UV9bl)EM^OvY*H^%BAGsqdx(8<+N(h_Q+ts6$nixM|@fo&XT?TkqM7{Y-CaO(3>Ohj~^ln+uGHWVeL?xbB*ztmGPcV8=~#QgN|g^cjiiN zCc26cMEKq=0r`BOFw z(&V_>^DEoNZ@^x`EUv{$nye;kn=yG~!O{Djf5yznl)sTv8M50yOHB{705O+KTcZ<5#IR1OWB>yiJ4EVyz_$jD6#K^m|yzK+sVI*4F93u`{nJY z7a5Z;4{hxk_zLyj7@z3ZxJ$VO}UjuydASNCH|6kzw=i7((&u+hrSr#g6b&K#ZGCJ!} zTRywN#~@YKS3pmh`~sz}vZx1j+?4mqF|jbTA4VzOH{}nRhPU+MU2!+;AgeKx-z&C} zQyU%lkkdr9n;p%D#=z1y5I7>5+9{)z$>9zr{giX=J@Eh>kPPwYyRDYT>Hw~M0s14~ z1Sck8-nx}51j}dhoxm&<2d=b*9b^_{V1iv7?MgFXbFlrUtagtLgtgnY+lArHoA2(n z5qmQQsC&wWg8l{_K#wf&I^m=LjT|e>%27W^+^he9`t=QR!PB-AL%QnutMhG?OiHsb z4v)J&+Fb&jzpb~ht}M#%8=mt9fPkm|RSyr)iyxFu7wfTueDPBcq&wlKPMP|JVBh80 z`n6a2Buqa#-I)b#c}T;fw@KSVB5%^EOu+-_pn1(pFCDP*D)S0vajVcQ%xn*?ZLjT% zf8ffCKS=Wl@gDPE!kk`RcJQ_xFi(7i!&(RTT8^KzSYY3+yTZ2J z5RAdGXvSV6zs*Hzju?v@$!45uccE<~l5E>8Y~6w}VOksd#{c*iSX||gc4!VC^V;$g zY}ib7FXJn(Ucc>62&}wfSIx-GtF}i+qR}z^D-Xx5on4UO>HGRi7GWE-SJ@Qwrw{NA z|FQ4P_ij^sj8DVYemCORMN@f3Ks24_SIFkH_4V8N1_!+=Uv>Lb8mnW=BCa$%v=;6@ zs=q?} zXAIn-I1hPU=van6r=Lo*^Ud@{^~kzJzEAO4sAMAdla1hcduPi)S*DFLr7Z*u_E1eZ z1B^Tv-DQtsbbC1!moRO$4DT*s$_-LzOy{)LqU49!OnWeIVZYDSO=F8C{M}>Ho?Y6Z*9O4!CU#=hf>B{1h); zx^*%BJf9dB=`dnImi z>7;ec(&su(pKk!@nJoLzUGkOt!^dt)v?VXPCX!tUDo=Pg9ZA#hjJ^CgR3;bxw)Dvk z?yu;c4F{F!vSZ;zCSB!~|LDXv!&@KNd>lp2NZI?zY&~>rQqW{aZ7&UX`gFrXhgoC? z&n^GH>5@5uPbZ4(vwmdu>W0W^CcpDN48H^ATN=vV(~EC}SF|Z@GK}x$VxT@6zsD;g z@5KQSlh3%sx3`d^OFx;AGS6Iy&CFniAG^(osEq&`_@N`be?PY|N3Xf1N>{|J*@X6I1bq{ofAR6h+wcETWF+hJ*=+qR zgjb6c0S5Ji^gCDNzjuL7@`?eRJ)3-Z6kylX0mc|ZW zn%alov_l4vTO%~O$9GV$q50rTzWS0yAtVYJj)&ukje?YZ*#Auyo;qH7Xpu7UU^)A6$_uRPXJk z{t+v`)@?A20Xo?1;L&4Jwu~&hskpZ3f_;w1wD=aTxIOK-0JXKF*Ht%VWSR7%{ld~& zJ*MqA))iOzrr~YBZTaHUK|SCzaP|waa0+o;08bmffsXv*Okd7zJkSAbq9sGZ%1REv zH)kT4qt=xH4w~{;ewDSPvcWrYDzA@Ne5QF}+s={i3_|JhawSKG#9!O!VJn*#Fg7zu z(c^JyfF2oER=+6&y19D&(szW?V)`nz_jKFGCY9~b(!M%mLQ%FlZ>XM!A6#XX@szVO zP`~)nd*Zb;qgnAPZ^{9D`711q<+EiC7N^AEQ8%(|xmfu{H#X$6Yp1V-*j;YWE5;h@Z_t~`zr6wHR!J& zkNm^?D#O~K^v)Qtd1#w7-Ll1*^qejmoAUcJALMgk)fW;no|Tzfh6ns9_d34UXu~1O zjLbg|sqcp3;4UQjHa=iASQp%`A%hWpum>oby0ToUy#?P9!k!Zjt_JX z@&6ZuK%)-Q75L$7fADg?$OxVoIe2T>-I3}P_$wHEX>|n#PJTf>dv~%w?N)BI)O)i4 zjsTXP*cJViH*loAJQi=m0$yO{FJASYHVe{Z*fRJ_=i3<0+G@c9q286stH`H=c2R;S zzcwn~X}j@!jW)2hIRV`B!G*tp{tIhUa`)=vv@zgTFZnxM7K5?TL!Ucd`tSn7&(zbSUq=mS2hfKjzqIh;+cqK} z-beR{*gL}EDb$w|um6nI9`;yT)6cGe=Pi=81KstT7;kv|!tt~#G+{SQaLVK8fzGxO zj~)5ejHiW1-2<9W-){NF>7mBUM>%%)iwRfEsaxLa1u}RG=t^$`yeqCW8e@}3Jy5Q! zU}8U^w;$sO*Lv|&<_mkoD=qQjEZxnot;9>~irX=nz+Wd!+dEyhNazm}^1l#jK3g5r{azTutTi(DB$@sZ9^v7a_J zAG_gX8|}p1kT|(GiyQyH_5mC^d?gc_U8Ga*s4;%F7ek#7;@jE@#ztk$oHrNMpSuaz zdh|A)F+S_e738-J?&=QEcLIE+1s1<^Mfyb>xC`b8ILg=r-i|9aG$)a^8?wF$^Jx2k z<3q$Tk2WNOb=o;9_^aQ2y8X@5j}bh!J$(_UzJ0#`K;UBoh89`o*UAyi+~S$I<9nUg zlP2?vfAk-H_K&6w#6aja1xGq@*T55}@0)I3o6R3w2+yCty1oAJueg2r?X%ne4e7v}*7e9@(et&^Qk| z!-XS4N=rg^;nbPh1q+QsOJKaxy&GW47nJMh#^j7VI=!3@?uPs+UiCRVz5*Tb;_w2g z5Bm(dSCKziBck$0?8vRPaKA!6e727=-L!sBDZuiFR~eETEM(w7bf*D`$uT}=R?c+;s9oEnBW|yQ-FLptC75&LReKt1g$BWo1p&JdlrJjw+ z@$q~rACKxA`N+}5q2=*0`6Cw{;NIm74tUA}AdLpP#8f4Qs|~sfo9?)WbYM3i;I8|< ze)@2LerRhk|HdKoPfgT19VCqt`Bv_wIr5Wl)A-UudfEW7He$Bxh9vS#{@^6oDDIpw z#S?E@@G9yownJ-aEFEL18Xdo;7yldE;%odJn)$Of_l<{nF62U!E*(>lM;0_}@U?6C z!5#V3Px&r9V~Z)jE}(3w-<2;6of!uoKiU)wo-y+&JEf?HJ9`G9 z<1qi+Hbu{D^x@ryht*EmL3r*S-&irZ2+F`eDu}jnvt&!JAt=X&fI%htGHO z7uuy0|G7WM1lWP2q~Cj6TRZ4pMPeV`d8+#KS=)HAHDwd|N5uf_y3A0@+zV9N8b8)Hyx9oIHB?I^%E@r z`~T^)+yDH>T)0)A@Ob??&7R%fr~MCa-_)mwC^kP6*?dF3eh4+R>I0F*`mNVGKjC?Y z5bHv5pmqTVD{_AgWF#PYFYRtGawYo9pE4nRxxId)!!xNeQiNkHd2Qr{bxs|;&UFuF z|5GO@TjSO6Nu#xsmHJob>vf==cZt<=j@hM3Px;csf8`Rs%?rzSVQ4;LuyU?LOJD1k zR=Ox1!EGKB@5nkfS^jJ$2I#ClTLw0L-GF=xE6cYy${+GgAB1!H8*t4ZS%7$OCcSa% z;|pT#nAk*imp{&~T^+NO29z~_Vh?-5S5DWbPqX+|hS2B}@W%$qEsDz@&c2BS7n(3n zp{G7E*efT%A1XrxUOCZak?MI&BfY>MU0IP&KUTMt!^OLc{}~tZU}YR$yV>}6s zl=d&?!PRW40sIdiMt*=kTQ;4xd$p>Km@TiroQt-($xkWtjgB*>w7dFh>72B(4e;5e zSBa;dOnuEVd9dXI&;~3mF*)?f$_^GETydx1G3LbDt^K!b?e}e(ye&)Mi@UJa2UE7s zd*x5#mL0ondU(u)nx-v(*sIm0d8G@~gUthJ<%_>%;7TJschApe1Rj29j_+%?Vep2I zm~FP~TE6MhN3XQlcW7^$K2MP}S*la8wk2Dr`#Jh_6P{wXt=}?X@f+g5DQY50{JRq!CW=;mse#xbCh}YB<_6CGLOOC z(+FrIUobzXJZsC}fBok6*}9xLicA3R(kVz^^K=lDef;I^FP?wC{qW3X?pbfcs)1MKb$!v4Xq4AkeDyW_<+TeJJxpXX2~C^T6PNw6=9Y^W z&6RHSxKB>QOWpFR%qLd16O*9pTc3bm zLvYCtcXfd)y?Ts{v$=o=2P{5*^f&oemV_YR+p8aWA7cPWEB~BLkSot%epN1Pe%lE_ zuP+qGJd>v4eLF{9443Rn;|fb(So+d@q+>qqck}#n>3KE&vAE(hvHUmm&w5(9lVAP8 zQZMuPM2S3J7*`p3D4YpZ3ZQT`=>?X}^7511-7u_CuKIo-SLleD* zWABCK(S9qO>c&iC=nKX{dkuD6+si1l*XaC15kbqXJh5Z&bsk+kY6jP5{O`!+iRb<6J%d+Y;`OUH+a@F!D`Ly!JqB=!o-EdajPi9huFMnh7r zEVjSF4$ktBsGRJWi8k~N?oN%JOl?Zodh`YTv@pA|Oa5*D>JN)dX{ZUEZS#Up<9{|# zrJpuV1WXvUW9pT)Zxe$a$4j3&IQ(I84U*vn#$1Z zTO;flEB2`L$S94LxThT*SBGBc%yDY;J9a_K4|*wEU&f1H`XJMMe<3ttKlFQbO2ELr zxzh3k?N^WCxnX3Q@?*1rBV}?6${L3cS*~SQoDcCoi{zfB!QBAvbWWXkbev=N(oes6 z^QU9<Fh#@YlXa{%LM*D%}rhGPF^9mNaUj&DBlY2Ezv@9+g~rOzz@TR^10i}C5*_>#Fk6c<@Ln9q~yDXDR& zFI+hEWGijNY1DQ-`3?``8e_3?Z+5Y>wME`srN7PZT`SOuq15e$^uxRNtkN=|Z=S#0 zXQdwfwl(p%PK0Mw2YmAe@je|oyS_wahW>Uyg>f_#kRH%clO~|B4!z~tg3XJuq*&9{;lWS&K`gz6 zr3020SUSL@SSLxlogjg>J?_?-?)hEXOAqfy8PG;R>n_vLU-{7Z)*cVo_TVn#w&ysf zZJj4DG8|_a=%mxcdN3xc0yy*_$Ba1S5pzK?~`&w>- zDJVNMR;OOQCfxC36F#Qiz;IPza$+MB%x;1&sVInLDQAH*>-Uml7R|Fu zn)j*EwcE3EW56<|yj?Vc>RL92uHmP+9c-cmF?C~$f7>5WzH}F)4FYk$4IzZzcK+4z z9;XDu8=%LC97kuXuUzPz^y1brPg)(?i~DUG@#5L_lBZXmEgzkzX2&dK>OST4d)P

JjUc1hly=VXiMj91(S&pIUVTfZCA@#DJJ9yqIa+O+~ zQM~j%44w_ZH57W=kG*X2%+1aOU*Y%(^L`qi>pYBG$=qqn7?55r*eg-7>BzLBxm1A8 zb4qI)jx><2($IAwqAO>8;Ye05ym29{#@wO)jEJc~cyF(^Ynyc7**Jo6tfd_^6m}1d zTm0AP1#NV@dvWIHoIS8m?DlMj}8((N=o;)UgS*{uJ(bSPy$7WYdb$3{sg*{5C?l@zu?=Vd+{UjQWWg`SLuNU0aQM*S zvb;23I&oZkfj`#Uldac|qHXpdQ}DyG)fx8qG#Dx{$>g+}L*I>2p;+Q=6DnicJ!uk8 zSykb}JCj1c$eBS#6U4iwtMrLdCXa7Z-cxB(xbc+Rq!*sAlAd_C=t@f$O`VEu2_wHsyMjB?+0vI}{j+ETF zbEnh~tUcjNQ}1@tOKW$kC_hbo^R9KTysNx#U^KLInkG7rdP!H~s;;T&)s@2ceJ*hG z%ez8Je(6G)as0UZX9vgatd=$CXDLW0UeZc_+P<=g8!Fj8U8Ee{LOb(Q8R{F)-B52m z{`QSB4SqhmkX+b0AnwZdsK{{-Y*hX%J9MU=NyH`XA`iw{-^2lDu(t1Bl>g87Y?uGx-|P)h>-MFZwFck_ zswvOAuv?6=yvOf+PPyD2a^34J3-{N`-~QL*i_nN^%a z2!gs$hDP8_!|UKEO?c&tB`5}K z8^DBm*54fyvPy&DQ2i#(hx7kC8yjU5587cvr&c`ALc^t^OD`E&bBz zbL*zG-J?9e^6IClU3(OsrV-Ga|5V0{d?`D7s0Wt{ehk`v%6lFb=Tmtj)!~ZS32rqHpoYuaHSm*(uqOg z`RogqOUK4i=|u_b?5>vGt&XzV-&tM zH%c1VafE^A!Z+z-ug&+NpLK;t9;CE5vyhQ5+l~_~I&_w;40;zoFX3+jduewwy)gc{ zAT0;0z4X#c<(=1lQ2Mr9n=+77ZT&)NXJ1ztJ$EWf(qZ1$-*~Iyu)mY@66~4jSnDWR zmRINlO-<)-<+-*w^%BN_wi@{cU+b!Tnl|*LZcf`sYvVGafD_jQ=|we?j|wH7bP&Gp zgr$L0&dq=C-lKBk*3B|M=eZ3YD%DfplgGu?=Iib6FJohVq)*Y^EpzjWIb)~dRF!nC zmu(m4o4RMgD(`I{zE?L`C-067iC5Y}D|l9nxJg6PrT+4y_3YJ3*@~}uL$_8LR@`@n zhF-PfRUH#U^WJ-$4DY-b7t6O4e>Xa$;z3W}5p#5s_`9p*!o{<699%@(SzdeX$5|_* z9#96q_{Gm>T35a8X{^`$4ixQkdD#!JZ3L!eC~yBOr%B^F4coeD)ZIi? zyr`@4+u&&ZeGb17T&KEs;e&T&p?Pns46UHq;4pGbJ^gJPN=FA+UeejR>TJkc;WRvQ zYTG>B=sFjZxcF7vvivA$pPdQXtR7+kXn+SO4vzPI?8W|-t>7LGGP^oK-G?;#^ z)P^Eb)^-m>cK3VK(i8|%R9Z%%5$c^}kr|)W=G*2o0^~_=gRiJcAj=HeJXZxVI^18n zw>H2XC7=Kzp;lO-(WwC07(qFpkuxq0**HReTOaN!0?V#xV1~E>(|T2h!H7zSFwEzc zp>n~N!W#kR_tP{Kp1))ClBvxc{Pu8 za|*;dDQF6EI6zTwp$s7i5pss472fQngqiI=QRnKVe{FoFCwEJsUhC^qH|jFb(^ z^DIUu#&OILcAOs-M7~La(G-?+7#tkV8V}>dseTZ#Haz1x_p2iDP$cWODVOk&Y8##(pdZecd&*hH}NchI{ zj`g8e?)PL|sc-(&fxEa_PjTN-e&I>D&F`3Pe#;7-B=`RNS$~ZgY$*??XR=FZ1A*E^ zhIYVJr@xM@Ib}r|+$}@c(px$Y^wnd%Jrl(GiDTz3e4v8;Jws7&;=TgD&iPAQcLsR0 zx(=tm=P}my@~&O`q`dLko8`)fSIW&Bcgyl(J)cH;n9jNj%RnngS=QP(<*AWrf2-`h zD;wgh+VOV-LwUCz(nab7hWu&q$)G&c`08v_U1B@6Jy+fsDj#<|B;~i0e*xIb^ z)w=0?TBZ*1*>k6{cjyr4Y94XwqNC-yHJvkMt&wACZG9g*-u%c&3u)RhY~p5pJkXH} z#lg<;T;Q*ro9gJr@#rY)#3@L^>d*mc(aH8B4o(*tlsU_lk4>mFRK}FsYGce({RL>Q zj6Np(p9(>q%lBSShvs+FQPW9~d&e!G3V_3c z6|VEN-op@zu@MHQl6+-%+Vhw!vK^eh_Ij^{O;8H1D70c?0|L zJL=)H!Kx@Dttk*E!(t}SRO9$;mM4vi#q;dcX5{0u4^dkm)cU4zflc-Tsu zlhg=0H0UttE|pYHU7H|wHfZHCqg(tozn{lnho2jc4478>Dwkxm!NX9Ckf<_Ds3-$}45+$kFn`%deF4&pwm6VQFHyTgb0djgEfg z!&fm1mA4IDl|k3PNE1)Mg#)RlLA)MJKjb&m!B9#IB}!Q7VNvh2XN1a;iY<8P^S*WG z?l_4HbG>%J!^OOQ8)vih?_+STQwzTvGLS3IYa{>Q?cz?S4&Hq0txOHP`R1GD z!w=m(upTAujw)%StXQ6xMqPR}@}}Ghvynk=38po!2{Du{dYaB)8iV=040)$>*W!&+ zYd%{}6EcEG57&js_S75Po*t3(@^`}_B<1TZxrJ z>$RPczqI65{?LndrhLXAUdb!#EF}_T9`arp7ikUC5%R=G{N7dPn+FA5Axj9 z+tAR*%jd=h7$SEq*w)|oI-p*TOb9P0m(s2phasaL@JZdQ5hfGjp(}>$`4c==$-lg| zRc02Jfm6%14D-5n&Jj%8iEHfKGVQzGzB&b3dE1$w&-UJSncZ2-sjvy?eJRsS>j@|IX_2*&(eR7V(9O%x(8(Zg8Xo5> zx<;y#3~tG3GB*U*a5nE9RI|b&Y*VX1dFFkNZs%POaa|C9fXZF$>@Ax#hNF0P|M9Q) zlZeLCOHTjkc2Nni6JxFhdCCJ$g7AH3x(FljriV}(1U)l~FMt0Z4V2%5dDEm{L$PXb z+Ucb$6j>Rs!ALO(HV@({(Y!mfW`k%5q!6dRWU92N_Xv4@SHenEgU`ZgLNnEpH5r6T zFX-CJM~)cDJj2+Uh|6lV(xkjrXr61{8ic7J$DrCkTgj8ZdY7e($UW$E{>p$d8`cM!Mc5OdY|5xy<3p5XT8U>Wp?-Z873PFm#{4Wh2(JY?j{Y6dk+TH zg=-3<^R_!ER2R#wtgiyoqsx0^-N6>-o7$8RF6=$_hbIG#nm!CF`94QxFyw{IDU@29a=!v^q5wGI2F#nii4lxKmP2+I5clz80EtjY5Q3y?5Rz3rj2Tr7Cq5rstJ-cV&H?F%=H7>f*-82M&l^8~wz0 z7kpU5$n8OMjkAh7a3WhOqt0p?ppgnx=S9V-;>8Rg2PjJZl&`_Q!O&~n5if9+Udnn# zqyQJC#BUvN^JmK;ir6(dU5Kk~TeuS(@ebWUR(o!atf&l^kxG@T zb&a9%JvgV0f{XGJ1I(+GOZ~T@v-n8^dzapA>lZGZE5}cssO<>nAX6K_aug!Ulyt^v z6at%f_ApK3dsVdURhs|lV0!aIg8B^o{EF~)&ogU5nD`Z4Q6hzmNh;Ylm2 zik?dzNV}%jp1N#sZz+D;tj4MGu^LCJj;#4Dnu?P=X*!11+cc)HL;bZkYY6_((|105 zxBdHE*CSN@+@x1ei@c+vwS6K7%7gmI_!drvCQri`@rb-dW@{OBDq$zbv!6M8BI&>N zt$&rp*xDec6kmAZxyW>Tdw2Qa4_-s3EobyV+K7)hN>j@*80V;q-=Fcb0^-!*W!+Op zaBD7c@p2@wp+R#A(=^7X3dG49<1N>G27fEN{?hJrce+Nd%2y2)H|>(s73z!5uD-H@ zBkJkTb|QQG2GS`LrqkJ$86B&iT5zg5ETMX)yL#>8a{bzk z^4I?Quhse}2f#5%BlD;)=B6K&zw?{Fkv!sLN9B0wDP5g?<-_Giu&SKPXqaklK$SptHT#F5r zX;&C1hY43P{GMlEq`ayEmF>rP>@H|>d!2DM{;V$uAXLnjys7keK32LoT)B+r@3fIJ zm&OhcoGW!2MvvbH<50dVv}ZRnH%D)wHLXK0S~|o?!NU=Z+L2>N%kTg=I1C#Hi+YpB z%i&5tnQfjsYfI(E?87p;)UiKck0AoQxnL44~3T7=0T&DsCWR$>Op9^zsv}2*Kjcd?nhJBKtqUD2M8aw8uc z9f)HAy@d}ZlvQOy*|P~L^O=T{t`7HtriNnx#Xac<_~1id{}6dKLe9t9cBHn7i!y+l zks;fI_!D+0*C`uYiFB)mLu2Zq*PS>9kxOLBXYs1rhi!8>Y~Z9J_nlKS=_!JfBU#e9 z6DLI2QO?lCQ6*{SarE23-KM;%xVrGsdT}~OP{gix!QtD-fM63lk&O&vQPfjSh$~G^S2fIxQ96bE&_4 z@3ZNB)=0%L)2=hT4_4mW=(RQJD(*U}@RIb7K;A}{F;8CBw3;)R| zi)=J~Q--0@wZ`e7cs0hbrm2pPff`31+y;DLe4_OC4JS=!2R1|5KzGz>Q&Lh;-K`?U zk++sk(V|DEBR9sUOnFTZho&sksymGHYC?ExpgfIfWSUDIWn5lSr_=|y-i0Gw8d)%I z&n@a;(%FWg=bm8u0q<&r!37?ePe(p7A|JIMd#-4!@C_aY>9<>iEq;>|Q{|->Ud(mu z(6KVqJ6L+1&O$EyOoh{&{phDY92;S5t-71A;%ab8M!G0VyL1f3Xd8d~|M-6@|Cj&s z-!5PO`CllLv@?1JWu;>$>vcNYw8c8j+B*1G4PI!e97&qy8OtGYAJd2^f;_Ht(Roz2 zDL?8PJ6LVQ{e6*^z$I~niD$|Gr-Ld><5~m4q0}W7$ z`pb>I^)d%lR3AA#_D|mp{|+qj z`8#FGq*fzNyatWXq;DA41fOjH-Wvf|`B|sD1DH{oF6h;S;5F7 zbWS%poHsHtp5CGO1UJeFyg*U;S3}bDprVlY?d9SwokT|*Jf_zkxA2<=uahAiRDw+} zO__+7PXQ})3xU^*^Dr?ony*DJFV2^JjERlK;0TKf*`7v58NjFM>7P7t45P3Xc#j@D zOc`C>C}?_|j-vG8osW5j1*DeuKlzi_(n~K-WFq;(`@X=ke}chd7m_*Xn?n+Co@*)jC;(b~X|Exk1G1cfqm>|i-^>O{Ho$?Y;b za}T-pK-A$fPrmIPXg)kr+WQB~gSlCd#97(f1TpBydkwj=p#wHNG@8+nde{|;3Z-vf z&j9D6D65gs2vkM9O`Lp^w*y0i<)u%*LOe1^{XV#IHHSW_GT?HMV4DOo(^JKqg%%WJDGN1=rm^ss(X z)ZccxJWs(ckL5RTnl|s{$fob4y>&6aA$&%5Enj0`+VGO}$tP^_r0y{k6zcmXue3C6 zc-e|GpXptP;X5zWIRdI~@VRM=DVID=nRRimysuLT;%fW#y=4g7bWh5vPjZ*SmDw$?d8E69kH+_BfFX*LAd*>RS z!yw{GYuy;6Up(WgUledViYkb-GWgIE7<=UZPOuDHe9GlYo>nl98qYo$D(}wIqXzam z(HTV{okf_d<`oP|3cRfRJH;+wL>c2jfxarl$mXW^l1Gih(pZI1G{k1 zJRXbgk>74J)7#g@q2w0b5Ja2+f%Vex+)d+ry`wueL`Od&gDC$_6ujqDXwRfwwrW!T zs;4&Eib%IgIXOdwL^~)=d%_#58)dM+uk;OIsPHU$`v=OwLkD^8%t2v#^p2ji^GjToHbbP<=YXYyMb4j!J&7QC7J z_h@Ww<;>YbC{`P|>nupiJEy*uFi_IZd09sdoYL`QZf?7i*Xt|mp>yPjx@YRpvcb5s z&r?;aQYAr^0rJI&LNBLBJh<%m>C=Jb$i(|su4KAJV7uw*i$AczU-==YI)pkRvDV3+ zE@jJCIcd3ZO!%vPe_r^`vO|l?Ct>9t5eByDNt8AKTeI>CB= zbmdwWb;{4QaUBidhf$$prKfoMlo3!O8bc%9{nJqC^c!64DUQ~~yFn)@dWbZF=mHnd z>9Ngaw2@#LaSAlfq%l~3>$pR^ZGy(z;5&*4s^Zx=KsFfHtW_L!UaBlTxvD;KWT)Ax zY1!VN7|<31gj$Am(Ye8)1Evm}ho-4R>T&0GSUkLs_B1_L=H_O~n{U2_Gd&%5IU}%? z;UaXux00=-$~bwIR69xXv^ttqE^678hSILVv%#^eQ^!eqLSv^^#1o0n6h7rC+scw{ zBvXUd(TjRyZ4ac7h6%(O@7fb7WUKM6EO>VWaG;~RtkVH;3Z}agTqtuJT{ST|UjE!S zz7ZPu(a#Q;5PQV$I(h~inG)kK9$viTryUm$%DZskLh2^}VoRV;W*+1*<-1VTkvCy# z4;(F*Zf+cS?!_0N^&8MsoQb6zWjQpXPDoW~R?qy9uC_C2CSSE-=&=^f(=JISKOCuQ zw$}gDr~Dh?@c3)7^;a&DZ@mxuf}}SIK>_@Ubc9qw(Ac^i7NYS@b`7G=cgh;d@~<2p zD*OE#RHW5Yl5i~;GSJo`oP-uG3B0er&Es$WGnp`S!w9oCI?KDOon;!ux}q`H6zh?p z_;S`i$trr_sZa!DEyMqFDJU`FyyTzEk)bstcP^P_)-cWTrBw(+&Xg@vDTBzsH56BRziLKpK)t)t)0oMG?iw@FVLTq?2V(R*pjtw(_c$<1~Z@x;bri9Yf&s#0JW* zp5syK0cFH_ir*@ZhDScHp;#<1>jV(wtQ&|ysI;^GsWZ>^j8vT2r%xHCv8N?&o+%(c z%gZk8#5nnmI&{;D+>$&nFa&PBR6xeiQ%4r<0Z-+;jL~x7=#er$d9cjix|g!-6?AR^ zgNELZ%h-=zZjm|Swv8_LTQDw8b!tU=$)Bu6fR+$1(+)bhE;c)G_)x_A_M->oh117M zC!NUd#f`G#JSv8*j2|lV3k!kKGf)qB(%8B~Bx?m+%b;Vz?-&G-5bjQR0XI~hq-%3Z zl5(ZJ@(Zdc5)>Bg(1pj!iXWcSAyMhMcvE^CA~}vO*K;;8`h2C#`}f`1)R65p07_u{a&3fz9@3aFY-I zwq4|01>sSaCzXni#!SaKyB4-L(i8VH1eULSNe9ap?$v9bgf=?o(m7xErS2+e6?8S$ zl@`*@$M9O7P-dey9#75i`)Nb&Wj(TGl=7s5ziZep{R4gALS4Hs1X34((e1Pqb+|AC zgP#`Vb1h#Q317z)Ic)SCiR6)?8kIT(-_&7;dU^?`hU$1UIMq=PVYxn`Y7}0=lWHIx zb+Rn=h9C9QNO^9GJhv{ErPFxl_Wk17Ib;q#@=IsRPYkTX(@dS=gIM?dJsrzBdQ(So zemaP2dgiU?S}*HV`CuJw3o;|LR?fiHreP<_&rB%OsS9*yrdUkS$fs}`8VNfcTHkYn$fk!M zUO0a~Q#4L@8=p4V$`ib}qF>cLz_*QuXZ%y9zxK0VEC2T2{u^`;8M0Ktl1bO;A!^&y z*|sgQt4wCmyA%-@-pFa`sY7XyUxLWY1R+jHfY$dFsrSd~#SMWzR^Kth}-vxF9+2Fq>z zUVPYDezHQ(7}%@g0)w+=0*5Fk9h#m0!gL>VfAXy0)OvBjAPr=kEb@AHF046?&bX$& z8It6#v6C`gVG=@?OL$d@_>}EY=BcHmTm?XeXVIkZiTAQr5mFxF5Rk)XA=zLZt*U8N zEG^*yRwpkV-gZ>Mp5}Ra!7doRbMtmtURsE;ot&I3i}VU~Hav^MlN}w-R$)Z(D8!Vh zaoFR^&H~b91cP^8*2z&2>9Vl6nDo}ld2SB}^1TbHZ2UTMe%aRAnXC(CY=asf8_)U( zKT2iYoMy0*D!4AB^b84m4H|uMvnQ{EV`k$$V9WODcMCMu?OVI~=-FWJKH2EIq6dhyEb8CuCBzFcKbMG83n7`!wI&EGq`dmYP{T|Fd^~%;(@KwGX z_1LCT8pU00j7A#9j2}5fuWh<)t!H)da{6SMICQWaKXIZQI)1cF9z0n3F~&1AI>ljKZsUaLutezyGZ%`3cVx-*hn#fBlgdK)*)>CK6e{xLhzBJH ze%d845|m%AFa6BVl=}}Jl*2fBCr_U$_b5|C;$^wEBYRS(Po7HprL~oEXZlfDLQY*g z>uw_*WqXbbON+r>2g)-wTvwvpy4FbNQ|H9<5L~pVS6j*<+%c}c^@FjU5{Q z20J=BD#Elwwnaxx;|dySlLp&g8YeK)0+Aziy}24Ai`1Zt?4;OEt(PpfZsLqQsBgJD zvbQ6j_-#7RNU0qY;1chGbe}0KrH3xiz>!+Za>PRZPSHt_KcIx8x0=&u*H$-a)2rlH zR@GDWJQ(Y4I;ZDS4iyrv1!T<_@O4m3RP9Wqvw4-vj9#_gHT3rKeQcicfliPv(lriP zd@3poZ7QB1MOuUK8v2Wm(|Gc}9vB8q#eux06ZY_MZ{Y4B-~a&s^hrcPRQd83KUZFO z?%8tw%&Bq={o!ax3L$^i{Xu`F#&v~zbn0EeszcW*1RduHl{%W1xxbSI`?v4h%0~yo zUq^??)9mc@Jfi$2uQnvRXiP>&K>MYw5I14|+}Hk0`NB z6+%d1J(%3Wl4&XND8$B7I&{^YjG7$g{cZ=6-J+dPYPikI4}`U*-;9emadL&8up>o z!}3(D&ILNfV33~~1@WDN%DvN~=#_YY)aKGk7Hy974P>f8CBK70)A8`2vN#~nCB~j| z9ZsE@naS#Q7r!Y!b@;X_4waoluf|hp5a+>$%B5Ur0PK-A^ZYI_b$EMYeT$wmjm(d3 zQCGKTx<16ktliYnwI$-bgTngcqbuc&pZuhJ@WBUVdir7DI2sghoMJuG!rd<0C`JuP zj*ixG<)uza<#`=`ROWS>8~Vv04Y`I@$HP40>eQ4<*u}G()O!wGJf3}YVyrCU)GdL( zPJ%&vqO4R*#8qy#V^lGu7&yODtMg@<(j-QPf|Qmf=oH9H>$Hjd`>LU#!Zx?C5L{do z+KG|29^x8jLY$GIz-Yl0cg$22chXUI@Z_F_s@#n;2&;i7o$|~(N4QStOdUB~e(@K7 zvHT2`-p!9$q9s2{;YnrS1B0{QHF?>c`vES~zRTzEC7 zjMm*f(8>kU(0ycVv`kDM2)<2F{$!yB$DX$iv*{h_&?ysFgM3y-vn?FDG&Iuz-J*TZ zuPl{Evol%u@!^$^%a4BY<8srZ@bBD?qhTTD5#G}>z8R8_!|er{Dg*{>Q3*%>g#tshIyn5juN_jZ)daYa`~BqeRQS{gC7`l z9h-+VX2jaE!EXm0qyFFB+v0tlW^`e!xVTnpiO#3fwsz(+ii=E{H|e-Yn7c<+Y;3N@ zes6BBmkne(&Zu%nn!ok8{+Gd1$6LGA(8ade)KA%N_+wDti$_BrWufkn@ppA{>PSfQ zUIj>@)n}GT#`si)t9nYr6Jefaw~Wv6sCnRRjH@bAfj!jLP2=VVLh@(E`^)%=K3W9A z?=Yw3T8OaQEERbER4A0)c$ZcX;e~J+CN#bI3@P6{FmS%B+?werw@BxQ#cV=R))FK| zh#3Opoko^Am|yr1wn<}@Wo0f6c$Z z2yqZ*=Catbm-w?6&gOJY<23*t_|@O-NCMn~FM6)QS2||e3rH$S~ zC$!z~*eg@}4&T%J-03Wx6xl@~J5=2@0b<~%@h#WqtVuz^Y*V(ISd{_4rn@5}5cu(_ z17+4ZYm9~;fx9*Luw30*EboKc5)Q^d*HG3MjL_qEionwdZOn)wy`rH^l{pIFx|%z8 z??jH{AS$b1;M$UWe}{aI)a0-*aH?sP4cZL29{|3+mF`{_=e6Z~G!0KBp;ML)SErqU zx_R9q>-zkBrvh60E()1@0%h8pk=_DPnd*R=*H3;QIdUXQ*1K(CebtZPwUx1niE{qz znaojNyLPRdK656@aCvE|JbdsFnbg5<%ZNf>e{UHZ8!wCVi^1Ci?^I}}x6V$tID#hv zj&_NfqhVQJqqQVn1)PyR-brJRG!HEBwf8Fw5g)W+_>we>9sntmrn!O zwt43QzH|y&G^q|C&N|9}1DRiex5`GNp!4Tl8jFu~R&GN}xCKlRl`AT{y*Sx`wV9MdY>9$FUW>6aQx9vC(U$Z9bO} zXi+Y}PP6}uTKBR;!EfDs|H6u{&TrBtXfd-~h&}_ST~0Ag7lfxjTSClD5k$E1{Fmb@xM+#bJ7;O(RKu>SF`; zQ}TNlkcm^r$}7L{v*q&7{Y-iOv#*qwF1=8WA2?Lb96J#Qq?0<$(My#oofw9Wt=;mO z6Bo+e8@KX-tg+$IGLAFQM(<<%;6yq1+(nGWP8n+Vdq>;B(Vn;q9aWO^3BY0wvcgm$s( zWZFWd@3)lv5~@Ait5>h4?Ks@7@;rC$T$~%X$NFs_%l6}b#;N4p0pXOK+cI@Z{2*VZ zM0qEsl%BN$DtYCnF*J_SNiUzJnGQuKPFoBfj*Mk&w5D0)@6g}~w6BX7N5{wW6<2$? ze(Ba7BGMsKHPkDWCLXqoG4^vbh6*7ghSfS{hS&P^aXK^^k!#f#)5`O=rZR6hFXqsm9poIH6V_DAFEB3D{1Z z)s8N3k$>Oo{7Ys>YCW^*GoN|6eE<7DAc>>d$OU!CLiQGobVSrYhB)EUCw$_PX7Z1F zrXq>!=Yi&ba%v6QN&;pghEv$)?xMYlx_qT5p#bMHnb$XmEMqp^S z4EF8NkR8gQ(Y94@UqMd!4ZK!a*4!p6qZ@|t(*NpnCWy7@+Qv(Xvisc+k05mYnLR;kiR;bz`k;IGTZguY2|f<@ljA6`qIFa0A!X}mT(jf#S5TS$)+=hC5V8@+k$iRe-9I90&!A)FHxsP(YX z80Vb-2nI(3t}(WTmZedPAVU|8u8S?tz4UxJc(zixgRcVL%rod|4=!8>{uD5!DH>q#==}VcKmR@vol5cBN{O3dFIlyW#Yt< zvP_S5S^9Lhmks1V2fty-Pviniw8hgJ+>~xO~DX)T$cq%92ZJSnZ9Mw?Kxz@nmVVpBll1V;R z8evd1*0g0Et8C{4rq0Xa!aV6*+hC8iIzXWX<#-sKG?dmF5rh25wpPkfcWCgGXE|pt zwh7Wp=R8g|4)yWl$MdYgbz12D{rfRg((%;Eg<@E%G9wsmMiBNN_DCw~n|1CzbS z07C}XmxS@Hpusj@WI`g!T>mGoaRicA7F2LGyJZ?d=hOwg(kvJG7ya;Lrjs$=PE(L7 zdW9^?pTX?z;-ac4s|im(8{~_4#IX=R@Nv{dIda`doI9fRLt^`=tCw)R#J#D%Fbq0G z%}9%~Yv;ncyGS;UI`#6MUrTn#+qT|`en{Q51K@yI!HNBHXq-;r8V)+V-$XC_ovoA$ znH}Y_eg>TpY2&nt@BOWO27et8=o5WR+=Kfw=)p-~VS#uro&AujQ#{%V^QGelaAib0 zmqq2)r^PSZqwR-^ru?41p7PPhSFsbTsdLtpg^?63UC9g80;9@-q^1(aT9$XBBa7P7 zk%4~FYHXhfqf9o`>PFfe1ZsOo@%9~#H z3#W5sZEUox0oR4MpX{%cZCXp__rjD)qu`8?^$m@bzws--T)y<`&%oe-5vnS*Yz5m-F4BRH1Ny>zt0ij0~R)v_&Wb-Beu6_I-L^t^CzC)J1u2( z|K2pc;HA(gq*3|%-f0h88$x&x=b)!YniLG~qsW)emA$K!%kPHYP&l-e&ixp*k;wz4 z|G-%7!O*ZYYSP{E_Avl{5YN+AVLc8NJOIy;EgU+SFGn1D?6EZ?BiYy9u~0gXllTz$ z~Lr@W|uHSJyU+-$&V^$Fl8Ii}FHzj#Q_<-SiX= z9XSfEHp?I~zP_*!`B{fQ_gA*cdhcjCaO6;VaA&40Z>*Gc6@#NJDA`qd(AM9njYd{o zd*In1X?esOtgpXKCvBWEQV#N)cwrdtQR|KpM4o{sJ?m%*^(9DS*NGVKV&*0&MdEBZ z&p!KX*7ZzJ&xF^m^Ke1%LUt#RHh9`TEQhLq+4-~QvanDC_k}NhF1U!3C#-tj!0-O< z?}l$b|MNeelWr@2tN!t;v)8YGlCK6UV+%L}!8`J#(X8^|!f|!7M*G0{Xyn(SeBay4 zP6xpBEn{X~#X&fRS)4p&OW2M;EHAr2nVvXKsBt=MewlS;rTLhgbg4Ad=vc1L(%m4g zezb9G!|BJWFOaaR6C@0w(W}kxW+cM4Bd(SsY&!rx>!`o-xzCopRd)_OEVK6)sS7>p z-u`lbdNtqfag^xGUp`6O>M1ureptq*j^O02mb*@GfkOhcs0(QOa)_Tiln(dq-Yy?~ zcqMDM+^&rjRHv^MkR;@?*1hr<#|pgE0k&oFHZJl;JzS%uJm^4~+qBlpyp}C3{B1si z`Ap;GJ9V!#6{d1g<8~q|-u+0OX?-u9%&)`gZ`*PMt0^P#Tn6L$5gBfHA`H(_a>tmz z#WM%OweXRr#tYB14eaJ#zsUj)JV&m;UHsKcmfzGx$?;y#&8T**fos10f!;DYGFXoE z^p!ygh)l^ZcMaK&3{IQ55Z#?w=525Y40z{sWuq$vp#}DUz@NPNUb*OkcaJMTPjul3 z30HD7{O~e}S97;>r-LD{eeaID?*0Mdpo_W{+ws5s-~ShsVeKdvS+=I;wS(5cN{F04 zfvrB0cdDE`_jY%EGlU|I$wHhw)!$afzpQcKUFHc*PY`Z^+XKtJIwYc#o)T9+%?;vu zm&evO%h}`fVtTQL?I;zPWg!(@^~`f`gW)tF+jpHSt%&mwM<78(3Qpb~KHAyXFALLq z<-O(hG7VXrd&(j@lG+Q^A&b{ls~Y?&MEw}>0Exs{4$m2mZwb1I>`gdjqWZSCZ+@pv z?BPd$(^wsmaKE=h|NXoh+V3hy_UQRik1?2aY^SYE?yr^c)#dV89F0@GyXDg0Ub%d@ zue@@$yS#X6y}WX+y<9leR-QfIRxW*Iw46ELUM@e^RX%;XuYCUe;qu_^D`jFGr>kqQ z4AXzNN1dTBE*+rM2|@e(XFgL7j11ufc9-@3o^r2arwqb}KJdAMXVHlfx4u?)45z@4 ziuE9P9_{WZk#>4;a3}(8&)%N4uoXxbp-Qt2l&-_&^~78Y#)+}_NaIz|byECHwGH2| zhSoJT7!Dek9=N-9{054&wUKu#4}^?-aF3FKrVcAR3_dV6Vq|c8`^~q@o3FoF-u%f= zxLz;UKDvgne1vhFP0z_Kl#iw#mA+0IEx4~EZ=QPUcVs+q)gkn46(NjvjJ6GEz3yw#bW7$a`#Z|oerM@BFolxpDoe|2<@~c3%G9BQ>4j0zGCVq#558e9dv~ZmJb#7W( zTZ{CX{XsjC_9{rHOdOTaSvBtbGZ)L?0Fs9j>23oLPzpeck|w~cymWM6KSywzFXh8? zKW}f3-(3tJfBbO{Q@V2PYDT}D=5zPT(Ibb;v17-|*|TRdN^tPtp-fBpakoZkx*oCPE(N#nPT(0ZNX3R#dj>l$1s+xc_xb6t}?G(VCktX1GA zc?4WOSL2~kwO6Ym*NDeZ!ZVZAH7P1*ORRcE9E726)m02HNNex2F^ZO(X|})sf8q}v zJ5si(`^wUKSzB3!mgu!@;B@t5S6MG@c4VlhJh)d+9PaM!FC(Ml`C{_Ie08kSK>_G0 za30=&Sl)x?@4fe4oQCt~&y|Z8FP1ZBPM5Y(>QwJ=Ph~=%q}j))u8S(kGNWm$PRol&bxJs4v0Wo z=T>LHXWqHp{n4Y@GD_#o101_`KImWytfH=s1qW#*fYxuP+^q}HfYZ{$lU@)qzjW+%LR#;FgdvP2)c>pC?lSzdHPA--G+w`Mh9>PCZO8*Zd*ADUPoZoQOdlC!hNBAyIdYR!ixn$JV!5 zIwyBZNB>+oIM`MW4}stGemT%SP!51+o1d)5>B8KW%`WQL*;QV7=_M3pN15*3D>vIW zN>6`hIo94^cGvgH2OV3b59dK)^8g^XxJo01&MmA6MH-dgD#(-+w6PZ_e{@Q+rH#DK z_v@V4q#zFf+Va_L1#gVrX@-F@7B=YYQE$nY>pfK19y(TeKvgMAht3c=;Fll_>KR)|NdWPs61zSXh4=$;1fMc49U{MLcR<0+N(b-AEIn0heylO%5oX(?knq? zZcB#OZs`RVJ^0PV%`!K$Ru*nAl#zqyO6fn22I-+&htlgov98}O$1Z%O9DnJBGIjPs zx$^ou8Qxr{OfZN0$_-CF;MUy%T{Qw@r2~b3v6~+H7C1t$`EebO&C)eF6&#l6-42fo z#|ayo7%K;+4wi|jsdDVp$sAbbp<_!ZVAlgck;e+l;&i56{Oz<5C}gxFCl|7%F_Yno&3rfHstZQy3BlWuJXRpOS>bVc09bq5%m_&8^_EA{vNK_ ztrNOh9l(q>p#w}~nSl@ROo{spP3;I~oeA)iYo}!*L#7A5ouv7>W%TVp>FdUl(P7nH zaEE2>#6a!fNMbrI+Fjd|@#(OGTlfad{D!91tBv|Wnev_Q{wN(Xd1A*(I*FS=D}Cxc ztm6`Q`Qi`XRsKNJpFXy|hGy8X8R4jjYa07aGD-DYNlrZPeKwW;Q%5R+Vd3fnu?rmo zWr!ayE^U^-^zu+SNN>Ni(=!I2%mdRF9;Oju{QJjHnp5jA3!H2SLr`UJ4dP3!+HgwSHio(O z3~X|>9?~%>!0idWUCG=$CxCY--)0Y)`gjn}?m*dkf4n@pHDBg7SIZABzEZl69m;8w z?J86q0Py+Q?FqoQ6;0h9?;4;y&UgOxi&WAY^=Q~8PmuU4S;0# z*)PLt1RM@Eor@1u0v^dNj179I!r5NSiiz|xrY0w%d_4BO_TIX2cd0t$_QmJ( zp}ar({eN9<-oIP^@-O{Txpn8A`BTrm0F?|xSi%{eqLJ^w;R2byy(zW2TFk@qOFvtDj|atraTe0S6uEMmCL?bdrmjc&i?0r`c^f!hH*VZWFIsw8AJd78<(c0&%Q6US3g<7w z&a^>!Aq_nh)oCcy@c$?$)cRuUEqh+nm9=H1E9pZN4}xtfn}Md(e7!Db&}tC|5};E792ZBSJ^b^SJR@s$^f0Q z(3JF{C2}MTPc64xpRJ#Dax}-qwm`svK|hoCfA=5%mu1xh9%J<_vj%Ofbu!48;EOyN zglQXdhf~hp;y0b%?$(K^HH1*DUwU@L1V}3XIF+%gs3#%5mxYneWLYP|)sA4fRk9Za z+e?qTUQy~M6gP4xrBj#zP-0xfVe+* ze5HKx&~Ewah3#_j$fNR=FLsq@&hC`UU+ON$pWiM=pQAp<_e$U4&C)YLW9Wu{j;?o8 zYJ|_`tT#&oQ!DM!z_VyXq{OfqhZ<^R>gZFwJqbjFnO2UvXzWY-dg(a0UWQKZmqTZc zmD#?tWdnzA48?N<-FR|wr<~vKF9RfYitAy=Zn@Fjj8(2K zNd32P{50x2$dikEyGKwIVmr>uz@FdCr#yj~=DBJv2NV2hbk5$IoB+=;KU*sAwvIb@Qv@uJQpj;g`>uT2bbu zUrX>!r(+>KrK&;x)u)z0B(LW-80Sxoqt7bOMh32bqCsD&Ylm7g;MYhs=E{Y^c&}^F zT&4D#aTYW_!PjyrQ|CBm%lLtb9KL6~Y46>+m+2d)gq*?Hf@t0 zo+YlrQsHXc7nkZ+Om(sy$#Jw!2Sb{Oi;jozRm#FLoz9Qpahs*$m9*+J=xj9YE~7it z)4S!F-!zu*)fiIaeCM+?{qVi_%hhaWK39$$JywpNJXuB$j3Jlk6s|1nMn)WUblPnR zUe$FSwXF>d^p&+G+N5n3eQH@wxvEJ9sL#e4=4huA15W1&<1#(Blr8YQ&iPJ`Y>3nR z{9;+0n<>l4!RGoZycj6YTs%|GpFf?g*z)J*t$UB@zylcI2mr&f#3dGluQaR-^Ds~3dR@IpsieIZP@k2{q%Gdp9g&~p`kgVUw*Q$Cy5 z#kRhR?D1QeUg0_EvjY+buX}T+^inTt+3PMLf@L+MWu|ZBM1a=YGKJOXW`i`6AYwB; zvrtBc`pY2t*g*wtvUyxIn<*A9kgD`2y?Wg>h1v@3OEa~Y5ez$D@qP%D_3iKe5ShcF zw8O7FwqRRNe&l!HE1zWX5;I3cIwi|n~{4{IkPsE^H-D3U~E-agjV{T zyha}5vL=L*hw-cjAhNykS0*~k=)rzcI3y&}q@-faFq37e3Y)TX=Y6fZ43q(s0nsco z7|0}?iv8LB^4k1vnUis8Ja}<z(BShU7Vn*-L|^Trl zcH(t7RQ>#RyR(R-2B2K)Wu2^#|M`Y==HIW3d>njy{99`C+{D=}-&0V5%e}1~0p0E| z_xetkvEjiohQo7tVGkwLTTJD76&*eO<=yqUGKXSv?SaB#Lvg;{z3K|Q3-Z?K-Pq_F z8IUJW3%p9$W7l2xkw96_eJ;{#RVk~edmR1?e_Zdm75Fx214xxZ-GE&mr2@p*$F}$lvNzRJ?hcnngbNbfuqOEk&_q7)XCFjfFA1jfx|f8Q>ABk zpxnNB3qw(R-Hu|oI5krR))^XvC&VveEHWxZS#B4V57HmWLP^<%aE7cS^)_EdHn8wc zH4F^OW8_m&A)m@iL#p#5TM`Gq6|1^Hx5750SLXx&Y1nl@G}`V~xq+hgTn~HYpM3I3 zlvh>O_8|Mpg^L$a@@wT!UVAO&9X)m|a837z-}z2G9T)g2Z5@PJ6u#3Qj&fuZ7!-tdU0!GVMb~GMw+z94ZMjWm2ljp8&aRI97lx-a; zpLKjaug1GLCSr;K2DI4Ay= zYr48K!L%BhpKkj;o6adnd$bz=BZm)_`}gjZd7SB=iFFjvQpE#Db zytpujuA^rU&O`Ll4EdI)$yNjwpqGaAT z@9YpY^>^{6x*__L-*!xuSqW)+(@0+`7#Wf;25BfwV;@?d@2KP5I>G(#nSp*jBd^>svSqZG29crA%RhX>iT-A?ejEj$qh^ zU;WA3lu@0pU3(U-km(!#lzoGE+8(5%`nz$&ln43NHas+-TNFUN%3b1^zd3ELnIcd% zH9KQyeZ?~`8lw1ldu7a_YMMD zTErQ#V#YzE1k*J47R?}h($zXO;R!Pnns-f}(1da-;dsyUw$CWm*$d_7$oX<)Xrhd4 zz|)0Ql7Kf^cM$N+-Olo@_O-H#5?OL&f*ykkePwwqa8+LJ8o)P=QB=t~94&8Lsc%Cu z-H@xK$y*yfHKsvmC{*k!YSZK(E%Lc`!G^IozYs+?>8YV8)WyYla<=n(yf!p`HO8bW zB&%NeZLizjgz()uIW#huG#bw3g~d2U1`jvVD0zMS@kiz1o%`A1{NU#8>@a!pm6yPw zuk_PHoS&VGVqbXhFl5|c*(j$+$IAigebi~5p7!ADX|nIV^>%qkkH_to!y{v*cVMs_ zn4Bs{Po5|}U~zxHdH!f>R75}?aAZLd0D z%AO95j*01n^~tT9`6fy~4&|w1N8@<&!On{bx%;*&5Jbv#h@(HI|0$}Lr?n_%TXggf2t5fS*8tJvUQKv?2QwDeT06j8e zy}tU@uVfU3FXV4>sNHb!MVZLZM|bd{bh7#A9IBL1V8zy3~U3}a_#V?UeqJ> z;8{8ttdF}3_o6yyS_2EfP>(y^qfHU6usqYJ zp|8_kDG!|eYHkjmBW{oDE_UNT`+xks($+bGo)VvGvvV{C>BKQK_P5m*PEf9TCo)dD z4jSY)6_8XgH3Byu%uIzGeyMFl#`#o0+MW*fX6tlJ^>j7KPP+`EK>B&tji7vH zsIMG8I#Tx9H)*JPkG1jUtGY17o&|a>^U_(h%d-`WP^Jtj5+o}gIUzC1zq35NwovZg zUMlDNH_NkQ{pHZaO!?xUJ5)v|*Xeb&m5C$mWn{9H1BW2&F!_7&u-lg*8p6P(c!PwT zwCW*Vi)tyq##QEpxDs64d^TN6neZb5Q7IZK?Rp@Ud8C23C`Y68_?pJ!iIwx< zwujPnVXC_YzVfx7E60xcr;TU z%+I7Qeyw$WajDGVP`Lp2EqY6DeeizPsXSVoEA1*RaM@U0r}4U#nTFfvf=A@jEq1Pb zqF2&AJX{9py$+)o#t%)ENpQOG?8Pj0?8kBT3#e--F6-v^ADT4@I_cisk#yqJiG0b` zXL~6wU{yJ4@W;l-%BMc{seD2CqJFp`}BiU8X{?@=(q~o_HS7#kKhcCs;sG` z>PP^O8FjIjV-Ks!Ze7oiG{oslIql{_V2Y_z87s>x!QT^V&z(P)g_b%?9uC!P!)@xO zK@+D}UVbGo@7%r}gRkKl7#b|ov(x1wbaI;DHt#eNIuG*PQ4=p^!QU!w*QPjC;E0RQ zmgl+_^X7}Bls7`Ve z?9Ayi<@|*UW$NHmrj*W{J)8PA2G<=wKneb~sh;jipRv&i_%Mm{NT+3NoOakxJM{ps z{_MJucb@rUKBoy?tgDW)6XJ&|mlpXApB)`pSlq%vA1||W^N%|&O&z_SzkE6TQV!*h zAqF3Ll0q4wGm`oWuZ|{F2O_Z0&5j)TKKG>N2QuBX53i=ojEJ@z2QX z_tM@})}{HaP83&ln({19TtDJDM8TWHj&cMR`NY*j1GRTfr8w#~^Ju!9KYu>!3`x;i(8v0pWoF)lybn+`V1q zkq1x29zp*Ro%M~aswYqcI$LM3I!4yt>0M#^oM}Jd`A(VSE_~OGxCQu6UVn>ns0R|i z>%v=UDxE{oO3!M$D{m}N+~j$s9dI29$@VA`C$h;*0l5n#Pntc5Oq#^ywGKnAw3RcR zqbDv%wFfNO9I-z_Fa01)9e}C7OeH@3Voy1E0)jb&t@;6&Q!|aDVa5=f%q|GDJRKGZ zBk?^X$2lv{9dJlHy_+EwXVi2Yn1aW*i+5&PdkPX*FMb~btxZ-a`Dq11nEg%#i@g4} za^7tN7?7PEdQ!aZqK9hQ1m7F{ZAI<+I0BLY-mMXc+Q_H_i5kW?A!RkeXYptys(946 zp9<-!5}@z?F5Kex-Y!OHx&PZBN#9aAQUQFgY>AtyjC;PGkzQhK}NF8Id)5dnucY zk4F{>wvVbz_sYNj@BNP=CpsV2*=OI~fk!$3uHttp$Y;L^UZ{L{C;4T=`OH<2Lc6Ds*9h+ZX ztSqg@sa7_R96wfm{NtaLyZ7#;F+iZGoksg+%E=tY$*3;kNJM}j0D$dT;s~?vuSFZ3IT|j3^T4_OqQ|qF# zCXmO)ukyB$!8(N_&g#4>Tb9w#Qq6C4bfNv922?M2s_-m)w+byFKd3(FRcGOzyB0Wh5mb_($Nox2(NJ#zr3)I8|2Y|9r{ zU^)`g(6+zd;}%N&EC+B*)7v+Yvb&Hor;QXDKh3ZM%~v|Q%WwWS|ES!5xK=j7S^Z$1 zJ(mi&>xAHM<)>MXRB2UZKsw1g9QU4YLOxz9exxQeO2(`CUA=0EMw%LH;E8xWs~T$o ziVnm!j(lAd>hRKNC*C&#Keg9YE*y1nqJ{8}gz@Gt z15_5lRfnZT1Rl7=0g3^m=5fAD!dec%1nmM*pS`R>gxCb)(cBeQ6eutf=Piza7+Dl$ zRsaO<$)iKoO3%MW-}o-+$=}qqhE`gxjJO!((WS=A!PYx}TeXHSW#5H~J7sUPx9rTG zEpxmZ9Udzk7$wV5$a3}o$!9_0NBf&)4ch6!BDWC&zshOa9Havy-2&Lv?kSR;5mw(R zFwHhd6@cI1@V)U9_MlM8UF4I_-c`z;IpT5M_F&@~x13syiaQT5;mVX;xGwCttA-PCPi4p`J>WMnuE-}K8kCH7bzU{sdo=gXa&x5~ZS_t2YL zupDD{?US;+wo(=zOlQT7BY4@+ z>&MJ?%gKx9QM5bd_PsmhAWCKW`fRy>^AXA%rAnTe(pi4{AN`~9$<3Q(`q4~i;OL6O z+&a_Z?)FyY(|2p@o3ss_#_@@qYAfw@=*A`{xu|PvD7dq&3y0(5(ublreE1MbZ!3z2w(k`xDYhBftA`0KW8R-IMDjTpMK%R44b=om=TiODjhMmH9f_ zQRnPk*C2gj+-U3B{;E!dR_Zs`TDZtt!kXW7W5~LTeqFC)-h)$9Wy0@{kmsNM^M9T; zVtt;!bh(^8e<3HTe{hDXOApSvMmIh;@#%tex%DUh-PcGiK##!XgpKvY95s5llR(T^m z(uRSpT(zSQ$|gQ;ej7YjCv+vL`p!Dzukr&& z1l@G&?xXVH;T-j-xJdhy;$8X^LL$meySIF^^JhEq-qGXk7&DX9mj=C1Ge!`_*cW+j zT~t2ry@-$&cDH>_oUlZiM6P6Z$Hf?g>BSM4M0Y)NxTACrkWWQK#Vsu`1eD0aT@poRBir?ZD#A|eg zJVv$BJRZF<Pypo`dq@DtC?8krPMDGtWL-MutbC zT>^2zVn?wisNMc6r=ElAT3E=e{-BwnY>G*V)ciw#`^m!fJ zJh9ZaSzDI7oO-gD`113Y)3I>sQ%69$$wx;b89S{*v1ir;E}J2ClCAheeL zFXG_%ogxp&vpmbyY0ZLC;7c2weB(^(<;ND?f#hQB#wiKiDW}n6mS?CkRvpUEe(uxg zDe7_VY&m@NP#HpYA3VI5We0wIPTQDiC-Z@uQ#d{7{vIN9&V{KQs1sbITF>u*!d#Z) z!c?bG8XBA4IB}BRsXM${SX;)a>?oss1N_!Wsw11iGEW>Su#i3mX`vpr4AVFTADWw1 z{&TlPtf1(gO?WV4r?WezA|M{c71Oow9^Xgc9zAvXXzCjE!%yA-?E@9b?a((&bH23`rhC$rsoB8plnqPsWWBh zz^Krj3wM~-JUbc#6jK%Q_6$e*6-Kl|N(ocz*7WvhX8sB?V_8ioP{_%97L?0*j9<5Alh zvh|JC@&~{FZF)`cYfFXMU8csz%FxnQdG?E+F8z}Zxp$ZA7|zG#K#I)eStFGxun}?1r(&tZHJeuD{z~Yu3F|2<1<(D%e@b~|#zn6vHI`J+pc5O;suK<4V zO&cany1MB|AS!LZlNRAWcSkeq?MvTg@uXjJcIS?KvP}80igV(@cyGM%R%FO^HqzkX z!|Cz|fB1*dF$Vb{@1HjQp&#-z&|BAQxJXof8!v7;7Iw=1!e96c?+@+NN6SA#Ji{SG2Whdl~Vm^wfs#lUJuvzd&+c&K|6GrpfI%s1& zXUR<)^4QTsWhgoh-J(2719j>WzhC{)2jx5e=11YZZ7TSQ6ELMk_=N4wmfseBUfMW+ zld^Qsi~Od;Hc>skH|}BvM~uF!el( z5lr29sqAkbEgie3O8d^y(zbc1wC^3q2+p^#&|u5>O)@Gd)PJ`c@wUCLvOImPtYdI8 z98FI~3n{%w2F-fP?CyGbV}Fa@I!X^=*z%x@7M|i_Q zTQp|9e;h!(K0ogDXnKZnFg_?nm2y=Ac;w`9SlnS>7qr=%5T10bMN5dPd`%aD<)wEU zp5=FS>w!DW+Fs^o=gSz*kGnzE*H%hDb+uQha`Nt(2l<#6unenfepAL_*rFYsB4zI6lZ|3W}cj@V>aHY%q>_QgE zp;hBRHXoZ(!FbQ~CI2!l#-(0JuPJ|(XVx|83E6s>wjz0Jo6LwAX&$#jGDg{eyF=Ku zDOTm_w2OwxEwWK`DW3mGrz3=zJ=KFEUYykfKvr&?R`POs;lmF;%!r1DLj%-BZ`)yh z7h&q8i1!o@i*@j8(dKa!MA;FBhE|zVX*!zlaQac?*m^Whmrj8_U2J$6(ea_Jjf|qC zTl7QiXQ+LLbbfs9{{4p-&FV_|Cn@@~KyCceFELn#W%4haY|z zXWm|}qbv{MYm5eYN5RGRW*z;;k2nkSz zmw)+}%NgpOL)}`qD3i9O7hZTFaV{wKw{qealvmLw;{b9%jlVeajtq;dUzybr)p)Bv z{tzeV*7Z-y%{#Z__*(bt*RO_u%7d2`k$zq$PM#>6tLr#3x5~8-Zo)5|qy0`g2Y17F zr(89{=8^vLT6yV2(X>OuZV!(Hr1EGOb}9wF3A=GN{LH_jzdCs8v?@=P@7h79@@(rX z&~y-bdN!7gPhBV7Y@JOfUmg2o+7wv^r_K(~@+uj1w(Tk(IyFu3YvEh)|Jo;a%gtMl zkn>6d%hy5&PICENX;i;VZ-l?q5tfatzzCcW6$ofqYRwmA(S*c%=P!iwcm0>=RK6xj z{(4pjj}SL2Z~5(9muGdD;N<378SURm4?E6==KLCNosQ-NF z+{HW99kvLZk1QM6>nN-9N6S76v5!X8zU@eZ!?7?KK~)fMwe6G-aqc!YU2utFrNY&l zMHr~B%GMrqhR&lD>sk`;2H#s~vmn@Br$X=Og(D$#`(cvCIM`Eg6hmVej~=CAI)k*b z;iL|h-%`TDGOvo(%LeEU9pOkym1suT2m^yd#RJb&_)aIOKwPKdLS~hYipwEYm6F5F z(+?iztFG?W`2HV%4}7c_jTqROivZ{_QZ3-D}2<@4xrpW`Q!#h z)CG%m$B_orHsU!iI#yO!TntfY@XGwoKg+8iKv_{P@Lax_FGj^600X#dK>aChO`B+* z4d%Cw;VtC|qgk{p&FyV!(2$VGf;>`DDZ|1DHj)3TbfvvK^;u&yw=kbAz^<2g_wBj{ z!rday`}=uxWp(^sxratBB{3Y3$9RHVZ8;#Wi+ z)$oA3hYzMRckefG`uYdU!NW(=lb@NJ#{s;bIybw&Dk-5!l)p5`IPSUbh5AGL7#Y%O zQ00}+jb8FBjfMGza`)EltWU9bsTtNO`7{oLqdFRJr$aL0NM7T{M(dV%N5Y&M5}G4T z*7tAz`mdMIfBy5uvqr98zk*!V-Dw(a^C~;dx*6Ma-MU=LFaOdnmD6WWBV)tm_rLx7 z8DVndZE|udxQ9RCUv2Non`Jh-S?61$l*mqcOFZ-OWZwpWhfT5X=G1yl#yNyugor0;9K0tl1Sha>x zA)3O587U()s!QD%B)q-9+`m>ne`&b%jnRx?lHE~1DwcU^@#k`cA_@(ndJvWd$jUS$ z7jYIuRqY_2t$YdK;sPLzQht~wK}BkO-Lgh1@{o!A{-u!!E9rUHOue8qjBaSs5L<=D zk5(S@w$l4MRH8f_0Q=v2TVn{~nX=w@yxiYMNgX;&d2ZkA%`lnHMLXWEuv16ca1S_i zf^YwJXF0xlzm&xXrK9^c0^x~=Zn;EVuMd{_2haOKmh_O?)}WQe6YRk+tnHOQ=vXc{ z?fp{D%G!F_#w(B7)+pg9T3lzF#;1DO*0HIlqaX@#gh?+Q;k8lP5L9kn3cu4P2gd5c zG}Ee7jvlo^Kg!M)4V>^?#OY{*hDHH&%d8E)aX=iJ6$cf?@bD<*Z>2}Mvc6IVd-_tx zMq!!9?TX{n-JJ+35r+{!zIrXn$LWgD0r`IK{ddcaE7$VdA>*)%`g)QqO}+Hd_)iQ? zM2>YT6G-lNIf(d1h-c{_XX&_Qabp(u&`3z zr0hdehf$~-F_hx%?ihQL(!w@t57ALT5d;$QkpailzZz^#8S9?qzt zd_q?I09NV6@$2c$arOr=vVFY+<-hp{|8?r(Ft*ca!jczGYprcRIqlQ7WqB$yB$4)2 z<-y*PJd?+f2^yLFF;qobXaQVl-^G~zW z%jp=`vuP*{rg`b5m%-7`9t=cZsGA&VvrG-l4}SRl`deK_oW@W+CeEHMqKvEO+@+H# z$QG_tE_9EhPkG@32EjUq7V1CgDJkd(ShqH4;Q?+=gHGUxOOH3+d@FgBo4@fl{zj#1 zS9?Z&e)QuXqldsvx(xJ}VLC2u)%OHc@zRkq@8xGNXWGgS75YVOotoeIo!?2>^3q)< z;^au1ZS33M{&wQy7*en>#MRH_E9=%%I;am092hTyL;aC=gY^)Wmvz?p^;sCrC4Joe zy}^5& zfmVDIbikAkca!C#ew6r)Z+s(oI9=DQ+p&&KP!GQJ;>%@ob*^kKFPGKD`O-H$Ue=L` z*~OL8KRi$#Je*Bk#Y3mX=l-GIGO)E*`ZsX`;d?)hu5DDAbLWho;t!qRtoV9m%1*qY znRwJumAb18z+l@}rqR!vo9pH5!6Rjt&Prb^t>v0exfE8##W5Ag1sr8rXR&r7fkzwF zZZ@MLd+4q8-v07``_Fy@-3%-{yf&-yid6>=bP!tf_%Ix zksxC#1#$rr)L>S4$ZwJ6YT`l^|A_Mbc+kd|Ip<1)|f-4`~XilcSk!{G04kCnZ(ljY99*>atRzc8$~-wUJr z5QMrbMS;iyFz^0Z9{GjqQkH$n=x-kZ7P#(g(pWv26{Wd7R2H_TN(Tm~-CoEV#*H%D zI#A}jo#h>1+@UYuGdW(yCno6$989lD=I_9FaaXXS6hk+dhTqYm~E9fdKiJumThp`}|Jo!^Xy&O6#z%JV~SZUeLti;uw}QX5fv0VrhW zls%T+-oNKixTw?K?$W|ydMx&y$A?GK+i;lE=@8@X={O2!aHMY?rhvgl$@@ZE+!8yM~m>Y9bqG(4v+R9m~$O9k4|*;S6x z6Y|J-k9L+;PQfg#ES1I86{RE&fqc!H3L3mJlSNuw_98TH($GuVs}LNuas9)cTQ{Mn z+g3ffa#{wDQar%P`o>m5$O+h3tylITzn=5VRdB{A4Hvr^0v-g^2aF@>*|TBI-9m> zKV{&8NSzc<&;9tLD}im>`rKzfSAOnie>Q6$E?>Sx{jWtYh--F`Y;0%!$+OQq8=k-O z_FLpd-;n?GsZ%j*E>PF0bGvl(Gxf1!Bf{b>J`MeClQ(XBk~JBQu!y@;wT*M26B9Bk zKD_g>juO-|bp{-TaNUR_4H{3K$#i-s)88)cJbmU=%DZ>Rg}Zg9icX8`JSYS(p8t2^33J8#B6u!CUNsb)tb%@fjA(W zwClBtdf)7F5y{PtbqkDWNa?`f7D5Y!%01R}*e z2{s60%uvOnhH4~j>;N)N^5SJtZq0*mmGK8@yF%jFZ4ncKp@ya^nG^cjSTKVNj3Jj>aU29}EC!>uSXXS&^*eBx$Q& zmTw%gQlGi@=(XtGiAx05d@x!C?r%NFvezI5EI}AdvvUApyj)wY0|Gv^TmpP>-i|^`Cv-#o0}xM{9?U3c46Uz}uASAgv-WP3;P(1hdDL~Gw0S5N z!u0XOJ7oz&x`wl|L{G_H&}M&k8KY-R0_tFog{mWnp|ygAP#fu51%>+Iz56+VaBbO7 zhgTz`Fd7_%(7=1*s3(5f5LJTq08|vGPoK=fI(t^@s~Z_85!FD_C$bMizSsPFunKgzo%&EQ~v3`FpQ zf1dPd@20OCXNh_eT@K*Q`+cJxbjiWazRU;jB2$~ta0fPcEp2aPEr=hO^W;dnT9Lgr zXt;{gC0;fz=ir~Y_*_}1oNg4fAJx-g`3JxCTWMRCX%AUvAq~)mLJ(ii`cUqquZpVa zU3n_8JaQQM3t#v``SEK%!ZE9h^iG{P8HH@m2?CWZ@a};wj%B!$$TKSZ&W>g4GF1A( zciRAP<#F1V?Fe`(4b!Mtn{^QKCh|zg0#W&pUp61xgGRFAs@(ft1u=DW>bfnj7cX5-KG#-x z-i7tD4z8+p*^Tds2ADBDY)dVx04R^EE&?Q;6?iE`}R>GDti=|2Uh-RLLt zHfQB@ceRyX!1QhI&@rkD>eD$Q%@~ekS}5&QUDmWqM;m-Le}k*CgbR=<6(Y+lof11g z$34gqcmwdy1^YT#>Ob=}qp?0~+x&)*Nt~1&bkcwFcm8f!*;3bl z4;{w#y=o)GM_Djm=my@xvy&}-Qg8A%ZM$Q5WaOJN>d7(bWDKY$!Qb)7xz`biN^mP; zdgI#pU5UzTo_P_%*`&mym$*Yzz$u4GjLq}MdrQ{e?Qh5%B`5BnG>T@NciL4t0=2@5q-7#M#kBAv9 z&cxf$lXu_ae$SNs{$u6cv97Yjd*}YL2#*HkuxPfBSr7kJ(gsv$M_B>O|1^ctgQKH> zdhW{7%wkzt?!uutQ68c6{F>?tM&;r9YFWXXqrYDE{H{s2b?+!W12}*f_qn-w7{8w_ zuGS^zJy;$EHS?>EIxN!|?d>PReAw9*jSqJd&(SwQym(FgswIM?eTT5w&+q19@x~ep* z;w@RsdHCqzoF$9oONtMA)RP>)9R5{_^BShU2~*k zXxk>8k%UH>H^FD)8r&>5G_sRIU45rgR$g?2G~gNjCrykvWoNM>c{9=^KI)|w{^`~6 zUcUMbk9r;pW%AB5UgD?$yT|&aF0D=(aR!~Y_06@6YTftb)d$mMV&VXmbR?oP+hm7Z z$D~hA9mv8)*Jn6-WxJZk&?$GGa%=D01I&c%RdrpZOX9$(aT<6VntKk2bNa9S=(V!8 z>bITjz_jChb>;jEjhZ7MH*VYvl%^d7R;!){+rHBw=gyxkD^3}bPNVt3dmjdO;n)Gt ziTeB(zks9hOg`~HJ3kZp2<6xR#^2033U}h@T-E7I{>l54QbVH_y#qW~^Q=^d{@h_* z>MxFta=Lrw?RVZO-~Ho1E+2jTan@fPJ90Gjh)f8xbr)#M{sQaLqmhbt=IC}9e+AwIv_e^E{rvQa~ZtHdEMD6FMsMKIsvD$d&IYHAr?P% zn6j&b|M5p3MqWn7CyQ&%{`)`tgN*!UO^CU?Bj4id(dkmJi?}vAYVwzwL?3yYDuq+o zl8-*BAJn(%MDrR_Pjp?{5O_!!`QoUY9lv2ZV@}g670RREG&8GokgFL;ke0m9Vo~Z4 zIx2@PT4t1%I9eo*NJshBAAX1Q)!C5)*}VyzjH-uL)jtq#?~X7(;bFx)WlT;@eba+s z?0E~oSM~Li;BT`DAWFyQ)>Awup8=dS+^vuSm&5j4I?xH5F#252aOp&W4#AMihdWDG zAKno?bq$iagD)8x10lc=G>{1`#Oi`cU-C}{NJ)(%hi5-kae;ahiVrYl5-k?zaZf}aoes7db-Qn<_qP=qdjGT^bT8f zclYA;_C^tDd>yX!sNUoiFDhUO)}=++z<1G-(*fOx(f(dfnVsG$ckXPIdvow^>R{Oe z_VVI#S>D_&YuGipwe+FIKzzw|4=QjQ)wUY4e3^KAtiX9r5$%yC5Ejq9G=gtUQ-;le-% zq*VEYcG)ST_H6h@)(l~4tcid9?YGKXZ@&|x=l17JDG;wbD|*UWUHnUaU`Q9<=5MFf zi*s#(PM7pMeDE-ef3)1abB}!BLD45qoGhN0xdRVeBJ^~oR zvZ`cG{p*lyefGWue=Sk5aPhN-Mfno8dZ+f5l>?_@OvxYl@<{^HCXIC?cn`0{h0DC+ zEN_$}4=huGOGojr6Q*2ijI5IH45>Fxx{LF8nK;|6(-w|I=xAKOic@m`UKWcsN63Hp z!ACfww^FXtlFGx@_6917o~668D8o+&=tStiSdMZ2=MZu4_%6zjP@hXoEOA zOZ09H(#9U@xpQYTWwMKbyME((-l;|EPB!(i22I_TR~X9va{1Ep#RCPc%kt7n@bTkr z;(Xx16y=uk>i1qP-~axr*>xnJLU&%co5VAPq}QCIlpA##$141^bLrh!Xs?(9#QgO) zv<L<1f8> z=q4SNck$7IRVV6zHCvG_yTR#?{^*Y~UFQgpIJ@J@w%bM7racaipL441^f`3QB)q?! znk&cd7+OS!P0!6HE_zNq(89f`yX{iV*-m}C(JxNNh=X4Q*P&8|lxuO+L5VGH<&|dE zLtMSAOB@aU?D#n4H-Mh)!A5l9d^kESOzR)nAg^UP%GHH)qiqwuoa>4X562XK+BW&1 z-zL`&fA|*g9i5Vn>b|6^!5)t{U~AJu&zAhyp--8yuiTHHI{D3I42n!L056Ob0U-)B zUK?@tqbef-CNf0l*)%Ew8xxTcc+(*aE}Yv%5G3$%3B&LCo%Qm{a90@^(VN>V9WWI@ zDN|U%fl3P7zv^_<%A`<#@jlNf(FLk#yz_QW$5_WYg=IO^ z09r_SOHpAs6kiYTLI5e>v|BKDi#l!ec9(}ZJ6mIerDtHQETIVIQ4DMQ^w>!685cX< zG{U}~EKqd4h)#e#ET=o9rRQEaBGMQb8@5B$3n;l}t6-|k6+x#CBOWbIPsy`5d~dwF z44kv{do3yqdzqeN;CUc6R;M-=m*!jaQ--_J`<}!x7#{VbTLU>r%b`*mjQJfo@WfJw zmb3nXtdHl22^s?zA0M1L1iX&&`kQZ;b$5V}#?w>XLg_S)hYY>-wWSnFQkt{(0ZRbLQ`ReFFe?D`~2rVpL*V; zSGYsH<)894HZf5;2l`7dy`Z7V@i>z5*K-1{UcFN07Z+owgr|bCEvtm;2#e_IFrt`v zr-8P-yaB$l-HaqeDH+wm(~yy?Bn5A9Qn97oDYL?)oG8q6GU65ppu)0l9gg^k0ja<_ic zBMu^W4VN^ItN}k|%R1sygBI-#j>y>yc9)LrLPx}QWaqp%FRWbc#E5NrHYc`P+Mp9s}721>&(v7#f=((XD(b!9`SHAP*^%QF3NoVg-g*T zP8T)7DF8>-Uj6>}$_F35pN~Cy+NQU!qS{FZCy0!lHNxhv2Ue>mhpYjFdEvrHWb%Z&VTRXOr3qIx8N zC*OJuft1&tNnbBVNBl03&Y1Lds>Spkim1MGfkWS&{%?ifFdVOwaXLGxLE@KGM0v>o6<6y?D<-+4(Z>#V$z zENwyexsUjC40zTq^SaW3Ae`uT%9JjZZnX_YmUyS-$56+{Zs2&l`N7rl-bc4ud{;+E zSAhx}9KC~oa3$JyrThw4ye!-5*?!v{!m*Gl^fhPznqXzjATTdO^ZRin{7=E-r~R!; zfSTSl5F)sPB2tI%SwSnZUKesX&z!Ba2&+m(<1Sp^H3XMPDaVSCKS5M1zaki7ksx_e z7vsIRa7+-sKdJcR-%khADyT^(QJ*MGxT8HLab&`qAP`%|D?`4@PmZTw%{Y2B`)J5_*)#BG%y9fHp4!>7W zA}ecKWtDQ=Y2?Yy`GB6Cu6bC;`iWEbzq!Qw={c;OoI>X+#|={MsXp zG6!dkZQtMkaR_JV?3XUL%k-nU@@RUlOwZTL52!g*T+`^#)UBERHeO!KcV*GVW0qlX zA*yr`NAFn^P(i5P(jcsp?_4M+JoBbOg8O?PykD+gxtety7oK~zj89EQfp3$3d3^rvZHqf;7P z;&bTr)U%@3r1vQ!JQ<#Tk}mkh*jD+e<+S9Xg|E++C(XE8n*Lipft}@%yzus%P z4P5gD4=xQ~>de)Nvv2RPhj!MKWoU3VnD^rPbwRzXn+hE=8iCaJ0hHdwQ_dlXO8+qpeSxz^447!UnbzlRt(?#Ly840`e{N>=} zW!-d^obveMm%dbf@f+VLpL*p}Dckx;Gfx$DI>M zK)}^$Tjkw)RaliqO+A}nJCQDtBWRQs(xkVYw4S5qTC%4MhnlTAn8wR?+B~n&{+HKQ zGve?8PSyYO@BU`_hrj**DZl$K{&}Xp^4*^%UyHVnLkmyOx^mY@rsklndeAoJvpULC zhus2f+w=D@{BRn_g}ORy?&PbxLV!hkN@s*RG@T0hVta6Oa25VZ?~IZXZ#o;5j;ZBY z?j@I)c7EnIo^!RIi+a=bN-+;^>eo=Fo*h`e_LcPnNE z@~(9ewJ)yLX0Iw^{6(HoV7qvvZDUvm6#m{wx&7vYvb#N6ww8v=gWLOM=0Q)nQ3lEl z1arTCm^}7uy6BOqAoN!2g0kSKaS)Brn=)Zs>LpG(0>tX1xpEpXS%j!isD##5-KN`x zqtjF7mY2#Jd0ljb$VQ;Log;)Uo~fa6a;L`d$VeK60;z(u7>5N%i5H*y4<4q0`7B?( zM;Q5=pusuq^6=p!5d@$8oI@bd6*z@-HR~@ttx}=4C+Zm?OHlyETTAEWb$B*6Hj4)| zrPoXy4VLZu72s^qEAh8D8k`RDN9YC}Y+!!4PN}$Z{aWhf`-!P3VD1*r2yy<@Zz;GC zZJ);MXv-|h`r+LNWpQDtjMF=Hdd1x{?i$g1r^fkMn)~`4{a8b}xNR*9{*V#J47X9b zB#y}VeG_S^5>`<-owNfJH^{SwG$RS%ElpClR;Z(JZRI3N?wC6Q zc;EDNPaHpyp05s(Tcd?(d$FB41nj$q@Y3G6v^S4;(}j1I4ZP4ccMT?T<2$0HwV-3tD!uD4jEEMZBGj&eGjS2NC`cZMSE%VzAe5dVycNI#j*j zR8F-S;-qt3+XrpSa(K3VXN@6oaT;59oqL7JjSjj)vM6nt;5w@YX1kj!q$_!hi~IvS zhncAvdIoW>2Fq{$cfVaWw$zQvzk>+EBOf8WFvvgSgu^`}WZa+bV8~C~NZXhH?AP`^ zKVkmS!w}NTpz3IXi4DF>Jf2RTTLnv5Q`a7pLq4Rk1;ryG9hGaxDEyi3!7@sM?X{Ki z<=*Y`7rt~5;#=u1lo?J?yA0haUH$ZK1qi;xw20k8!a%&fwDDFF%5x{4@)8+g>UQ%PXaTjyd%AQT87rG-rm`rqqob$nceh6g_0pS_*?OuPeuHzK zesy@8Ub%vigWfb);3m7gTlFpk+4ok*-!mAPjZH^6ddu=&PkH!gHXi(%#?gEz#@>mM z(&q={P&)1Q=qOk3SZ6`{?rc{@*zn!PTN@{LGDmz?>4w*1Vuw=utD1sfICUQ zO|QXo2TYq&9D%9f_B??`!P`j8V_EXg)%U)$hp(a5YnMikW~Z|@#ZdtBi9;F%mx{}{ zK4|DLsiOfZO5?>yZ@{T=1qYiWr4uYJ)}|@9+%BE3jk#A`GxzBwzy)cKx-5O|`WAs#wlRm@XpEAkku=?o4c+xDdE|*t- z_=CKYZ@yFZJf+p}q%3f0DSYM9USr}BCGj_%_4F=I%AY)vmw*1x|M~RL<(u1lrJ*=F z6?XgX-J}CpMgp2MV3bo~H|4t~NI5e!^pM})6UU8|k7=U87O&6;yzQ;}uE|sBR%P3D zJD{6qcW^a9+4qgc+wUt0kEAVp>;P>AcYjm$JbS33e!jCF-Yym-sMY|(ym>j;cB~)uFkYu4cQjKI%=2_;(x!aUyRIOk4k|=KVElg>`?Da+ zw)DOaTREO{^5i^KR%Xp})t%?t6VSK&b^`=V8E8@xsR)`B!?q|8q$21DKl$PMK@onj z%{a^mC|D^`Bd?!lu#sE>%!G)Mz_s|vKpXp}qS+Cgm zP&w3<@tqBlri+&@y)`#G=VP~z5*|fEFv9bl3S23d{wo0RI~5*A2^|_tMQ#y_L}Kh+ z85kFm1cy9Qf`b~`KXa;6ifhyPaLp;B5XWF$G+Zr(1ZAH6-9-nqS< ze)QQ|`q|h<`uXC1`h}Fmjs2$dsbHOHE2jzRkeQZxs>zB#g!xX+=?kY*)3N^4&{L6- z!iU~8%BSPQ;-pRBNtgbKVHg1kArdABwV#g9BR75)capHS20YUlaJkdPz)`vLcttqN zLQKnoNufVAqHsCnrmf<( zM+2g<)cMF9M{nb@rW1|@PqA7YM&>LA1dzJTD|*L{mSDn$w5X1WIax*nC~c1%6u%&N zSJz|&(r&e)kxyo^>YDXQ@x4#~J0{6m8bGrM#7gwnI2nCBlUjk3mQO z5Wm%VVFW6Fp1Lp}!h1?f+fh1t>x=(macaEFwD4$9Z8X00;-!~fQW2tkE$B`>=Sd*U zY};gK5H51c;p9%vhES69@X;fGsE{2>EaU}`tbvH#Mg?yvShiG;`9K-HP!l{WlF=x1 zxA#hMyf=Jm9j9hKeeG$}nRpfNg>;-o%6SfSf_y-ZZI{p)9;mBq%3)UrKtc=ZaHsD$ z!3Cg(D|pFrqZ(WAI9YKAu28}tjwt<9`Aio=CyYY)GdfEK9X1@ruc5#tcZ#cdWGbEF zwhDf#gAfYj2S;4+rj0Q4k;*naK`_HXJ+ydfobS^Mhr|}HNNvn#pe1LZh zWtXLTVJ>75gCIt`D0e=17SnOS!I~^OOpLrajj-|3u}JlsZ@iKE29NpML!W%`k<(bG zzz4dTjq0K<0pvxT!V^2L?%unbKKSqhx78gl?I_xl+vd9)>3;`t83(!T`HFWT3wTtJ zb-Xi_3_RjWQjkc^4*B3282HVdI67BS;(3Zc((z6hr5atpYh$-~pYulhqkI!y{q)cN%*Z5=oKP5+ zA#g>=0*3R!#)BD{id`)e7J3MaR?ScYBR`&u7j`S@R7ngVLXXt8(m(s|kje{7u(`~r zQk@mMWl9vTvQZLhAj+JDi#!v8q4i!jh~P?^*k%Z$;$dh?t21Gbg(JvY|V z#L`BpfjB9nCc$eDNuhGY; zlLP70vu9I7duuASx2cmXo569X0VAU!TdRT_#SMP#DMAramSE9;5wAMq06E2rd0M5I zVP1$Xh8KagUVS2%{?8dmF<_xyR^Du`he^9o-TU=jQ6J2 zv?*nl+r|zTIy6R2)wT``2l1YYP#P|_Co<2@o_eOz=pf0*s&84L)jv5_RJ{lJ0HGp=7tI1_|0#6+Gcrf z!Ra188uxZXj3Gvgbm)_h9&6P2&?k_d7#vJ>!HE&V``n>^|KI#uZ>@!13p*QTG*2e! zo#8^k3Ev(es$TG7!^29o$uzL?Bwlio+nxh$qGKX>q=6Dt`I+C48S?NOZv%!LTOt`* z0pqK$zUrwgaE0+n+0;!tX*Z+dx;k{?yhT_%L|N{H%?TJl;TfSGK(V4%Iq)HLpibIy z6z;4*DeJp$zG+Vs#vfZX`ECm|8XP)ix}H6KMrlzammFzRdT&Gq!{(;Z|GLX=lX2RXKyih3AnvSlzVNSik*laaCz2lDDoX>+BX zHrF&dU#O+!`D&WDUrFzNFrVg^2GXbZchjHTo=QJ!*hzPk_kMXVjkea)gVx=&DFova z*s(%$W&TD8B&uOV2|2*SW!IBCiTF*s0n%|oJ|D3j?C(ee$9q#}Uw7K8$g)*=j4Eh@ ztzA7cqB5~z6cv=q0cVWfC_OMLV&T0JLCrEs2h#v1%**ym4Rq8gs`FC)t4r&~6Z744 zjx0QC)abR+rOsaUWAWz$vS2bi4=j$NHrjwv;Y*yHh>8%ct>%xP@q!>IZfbhU zcScH{0#Q6$2!e{WmIbG*e;CoI4}%97@O1Falt1``Kk#Ur&I+RfPYmRdlqfZ_(@5~K$dfv)+=Lt8<{9Dhs7~*^#T4aS zgxt9cDDyW8-PEQG#px!z3MVKq{T4b^1`04%yI;L}&D%=xK!I;O6DLoe5>FxE)XNB; z(TwUA9C)rSUApM?0q{k1xPToU6CDf2BfLd^=hJ)dI8s{kiTIDRM#xK zeDPA8(V~1D_{5w$o#3sT?6#<-GiT12AK+{9v(_tMiF!F=zE`7ozKg?Ylo&0;Bg5vM z@WQd3HE;`$a5e(`$q3LNY!jT}9gucWxzOMB2p-b8ULg}a+6WWuo~KqCcRsq%Pnj1D zn?#yt4;EyAMEl80=Tme-qAkW5#Ag)A`Vm4Nm=Ju|m45?Q{&1V+&h>}kNSUUKU`9^q z^xO`Vx&HX}3A_*N3=rT|8w|?JN9e9yxoW=TED{V*0_$EVBRYlPSMJFBrOTHr>tKh0 z0y}yzaL_jBGden80+yUlZ(LTU9|jg}w|7M_a$$7SrntoGDkI{cQKZrjm#5MI)6Zd; zL_Mxc-+7oy-EsA_OPm~dQg*Yzwsd3eU#r1v7G z;O(Gg$XX*2EI@*}To9R+FBf=JnL0fATLDGPnid2&eRt6=Nsw&^~3q}|Niw-`lH7!>Hm3qIsNP9ru2SyYg*L^YN@fFR#iUxn}K6p zUHE$AZrWLIHr+P-O9pk-$72xWNoyJ{n6=rcboJzY(rM$3Vut`U1edG^#|_CgR?1_s?#Rg#>E)MS_Sz5( zm6{at;>xniaz5}b+F_Wve!*xV5{RsTHw^@*-PUwO$N+6FngIL+@*EAkHw}ej3hzz9`?zfgwj0&nmFI4$b+v=N@B!i$mv`3M};M>#oI zUcjx&%QWOSd4Y$t8CUR~I|2Q{qa2O}eKEk9jss=_aPgdXIAWmkn{p2Du5zg_*G*eF zYr}054fv2@tfz|f?27r_-~C;C+Wv#z`@Ju;Ey`3rm2p&7xzldmlb(0Lk=uU=6L8c1 z$dd#5kKk?V1sYgrDD$9#}%Y;yQ$fz}g*T-}0~bYN6yb zJU7q;eqg~SeL5E(J4BZM?VtT1{qukMKUmMZKFJ_=Jh$i1o|WOPL7Dm&8_$#t{3@R` z87JTiIBhg@*JTe#@l2pRW(pXP`C0G-Gkil?8UOSFHqwi-$#4B66h-<&e1qw_-t!e9 z4r<&`y8r6G`EOHG2YNfwVB}<+=gY7cZQz0zh|d9BJ6%BvU;`fF%s0=y{>na!p~mjs zai>b~4h(^GI9iWDCYYF@rt4)i%xP4p@1!XZMHn3~fPhw2Ep)fOpJjYz%XA7ryFRurT@ zm;z$D?)?}ES-B}4aMNfb2Ruy^(jgr$o(Nk9as@x=%cJWwtdohn8Y z${LRdcN8?o0B>!qIV~r0(!nqy!`;aKdf?>vd6>});DoE3H!~&AbXJVIcn3~6NDC#( zd%iY`a4sy&c~3s?Im-mEO>ZwpZdYws)VJweMpAocPg=*Lv%QhFHkVRecvc^l_O>=s zPiHl~`|jHo!v4NqkIDxI`fQx^c6F!Ir%ss;+lvb^%_GcXWPodqaApxGh6*PiVzBb1 zR2FRIU|LE@SJpSgKfyD!iABIHHr`fVZ~|Ef-u}-ccibV)zl53$~Hqw9&y} zxPABAZ>F*PqZ(~ZsGca0G8o|nuQsalIPUgvz#H2L!PAX9w|%Yx!8||Hj^s=bwMxQ*(Ua3;6&3-~ap0 z2T%ObAN`RJcjEYYI=vtL_{UUeI#VX_!wXEGWhF`gX4*@@px_z3L8r_0cyhv{QoI1% zc~84AlyF&NT(D+{5V9x3bL6=30Y8r4A2;5~L%rnZ%JpM_k`FyWJ90XVH1tO{L?Uk} z*$jg{Fv<%ySJqd5}E*(SwHqHq!BF zdCtH=9+?iCoed*}JZu|fp*eY|lR#bY6#V<)uSFG7X^{<7XY5{{;g@&dM_0VtaqTSWg@q)Z+H>6lK;Yj=nT z=bi0c2RzC35C7pmH2r)ySyA?lTQ@c6|1fQck9O+Y*3$$QruK*?{Tt#fRosRbQhJ0s zpcSL9yhdxcc zg9C5*w8d4v%vu!S{{sZ)zo(S=|nyK<<@@scdP5^{f2t_EN!Gm8u`q$Hl}qMADgOgbHA3h zBz&kyJSBCN2XoT-iUw*N#NZyx=dK*)q*+SigLC)+Ouz@sy20|eq8fI@%5e0xUrRtXkV6JU$B9(#IiHpnIKxDpj11YW z?Wr`su&7S2H~rne^LN|{RbtGo5uh_?HW#opL;VqWWnMJcPkd$H`71>ZU%JoDX-gHmD|kVQMR; zLgTw9?JTqwzBv^b-3Gsbdpf^R(4vQ)xnuNrs!_Zj*KUC`;U9hCREM(7lo31+Y=c3Id+~cynGS^De%Bb zWxG#614sx6c<|yWBs~+D2KE+ap5YtO4j8xyq=T;T6K!TXm$;b14xY)zL)M|* z8K-;Z;apd7(>)Bq=n$a~@)qTq1bS!uJH-3oZ3>7BgWW-8!4v4809k1jm*HeOns|7N zPL&1S2k@#6KGyf*^DkJAGMt<(0u5=;rq?_QR=r}qQ=4et%~Auk&^)0hHfq3AVM)qg((;epRT5!f&RDn)cGa? zsRX$Yf{Jw`x#y6dT%iQ%;J5x<8H;691n+6PYt6r#)KFQKJNtDtvXpL%*teRu)BTpc zG}^G8R@9g!rDMutSB;1}2kQ6g+CEMi{Vx`aEe~ zAO}K~$^Rk@3K@e!0GU|aJql7B@gSUzK-hEpi08LdvW2L~A`?hN*sY~A8d04()o%+= zVlLQJk?LVL5NifdDrA6oTowR4L%>E_@S}2#PeuX}VMf6SF+D8oj65WiHI#)qHaaZ< z9$%^5k`lpHuMWK;X2IH&)wiSHH9A1SgL2|STPUizg&7$UlkTKhT#7M)VxeXjn+}-v z06)KNNC{p}OXZnPdSQN1IFf=EbMiG;+Q#$%Zpl3ijaIgBhDVCFq3CG~LdtFhz95{p zN`n*9AV7>DV)3P{cNrmc>cEaif-iyEmDt!P3%xb%HB0bRPnGR#s%ufkR&8rHZ7nyX z>Dl*O=4-FMn1+sz_?r}-8&+IZ24iPuRau(SgL|WivlQ_DO=v{TE)d|{*pNKHXX=Fb znl96H<`WdA%0Uom8()tko;6D-3))AgXE+s48cys)k>%Z9{MqP*=E8ZjA7h14km8gN zMF&1O`L(CF*9R^!&19a{KX-jfi^8_+n31NQkymJ8z9UeF(g7rr?9q!on4#!s4+;Tb z&4YRm%drb1I!flIQ6L#kV3WZmL-K{^pLZUv&p!Rs^#WPqru~V(ZM1< zK7hEqyp$e3d}OZ&i-m`Vj(OzHD4lxXQ_6xrIkSS#;A3QX-33x2AZZqGqYt>)fyQ;> z#IV;roIQI+bnY+@RW%}HDiQu-ebCnSrsWyU!I?X`9eniA=+ZknJn$Ut4=*J3X2oyi z3y#$8=m45Ly#Y-L4DRAH5K1RFee$mY?K2Ok3`L8ks0`W^bTMu88!rm=V;oNkSNF%q zW8o@wD;39w_xJW0E)0!FKGHvQ3FqO^nRa%z`;!HDJAe`R!6}{+I?l!A#l+_u+#>*M(G@iZ@)YG^8_*7C7b?HWvN9et@*r*r0v3=JBX!ZS~aF5(r-xOg4?LC{b0 zIk-fN(qu1Ggcp?#SqZsfy;#r|J_4^8hP1`J4qAj0ep3gy&(DOzwFkb5|M37{b*+wYFqWtJ|PIJREH*tIVhTVF;rAAPK}8pzdU#EVx;O)cuH1&V3G z>8#<|hTk#9z_Hi2px2Zp1}(H3yo!$YqDrShYrO|n-nk!yCX@{=&OLQypON+K%#`<> zI|z}PxZGjSj8rlbOh6G54+p_h9|}flkr$SV*mw>$2Nnnn8|L4*l$1w6IzP6C-V^Wu z96URDFcf)X3WWx$-aQm7!W*tC!>z_V%}@jI2zv*8mFG80iQ_9Jz}erll8 znyC?10lp`qvk-A(Ta2Q9VtsAXioz?Eg};4v5$U3R%NiYt<18S1ViX$~D%-#HKJXes zk0Rh5Avz@mF&a}RbSjLVNJqFoHtNH8GM+Hs2+)y{7v&%HzzHiaOAR z8dV22pXN>=Ir_XpKKOo{G`D+&T+s=+AL3OU!$`#-2{V5usq?tLs3!>9W?nk zo5gfeeUwdg963+0>s4){o#ew~Lfy>kQcutmMJHa6k?5~zQWnb9WhsqhKhq6dk|mTW z@56wm9K)?VeO=w@KlS))<++Gl3sb`CF7mlJukfQg1r{E zZ{PLQSkNuJVek%~l8fgr$WSakW~k8w_5JMUe{S4=;~QVIfy4R;*0M33N4s8n=>;1X zcvEoe!?VOA={Zmy0a0P#<&%6>fA$s2? zNM?vH3(ovRI3SP;Maj~2su;yXgaDq4xSXHL>FD?knJL4{nsoYKD02G98_dInS;{+H zftm3Lxm@y679lr0!v|98k`(TgMMvf@K^Ab3J3=sez@N(s2IXQN9LDn^z#{OGAuKYg z%=lw&IL{9wM0o)c%t@eZ;NT8i8BU(TA{`KM83qFBjk6+czWbdNkAEYe1-^lkIG%Gk z+<}REW>n)=fqRB2rzeocbCO~hMox4f%ngq}d>{oKXUaIAI-Z=D-}bb~h`_DR8i5Ty z=U>o(KspwSGN+1C&mB4~;-1T<&iSQf=gBbgo^wI!`^?j-gA%xu%_tBBPJmtrh{V!D z^3|_@)ruI!e{b}@=f~OglJP-)+L{%WJ0ATe9`6f=5CTqT!#fr4j)+||rh^oS;ph2C z2wsFH0Xy%x>y4h_m-y{x6`JXS(DIG|40sWyr>9*<fx z9PbIla|u&6KDej{JmobWxlQ2Ha20sUWpc)WULBN0`3DPYQF;oDrio`=0bUVkl<5t9 zdFEg!yd|^;o?#m2`~U6-G63yYbbiWv@x>R-8_z^m+3?elA|R6(cTAi8@|V9(Q&UqatLR+B=g0^rE-SMQSUjDLvfxAQ zs$IG_HCIw|OC@zwPN%-^XNBXdsjIVB@1var>I8=WrSRmt3_sxz_K*Xf;ho$LeiN@+ z^n54>xlGga3jz8fqv)utL!yoxz;%w};x~2L*e^gOj;S~ADAI50fez$@78yS7I|J3Mh;Y(Hh%0O37vi#u23QCW1j{tdmvSBhhTwy9J}H>Jz@lKYNe;L{o8 z)T7EaG5tGmAlcW8TyI`f%oWpoq2IX(fqUvaH2T7L;u}59Hn_8am_x&bYghKM_U9ix zjzw$&903yR6-fk+eggpU2S^uuJm-4=49M{eklb^Hq{)Pcl-#Abn7%2j`W!ea*>|O< z^8kqi!*EbfQI>uO(hCc{$a|2^#!DcP5LREI%=PZ*iuau6Ag_M1`WPbUmlTZ{UL&YV zV@o=7N+X7|$E74xPHVsg6ElK?;6{2Y=YqsyAwm`!2u)nG-B_|_QB(z1l)`uZH?C1S zf*qi3T-r~k&YnnJ zZJl=YLSM>SlcKH4m}yq$yEH#%{74ej2@R!>KK{VH+ z=EzwL$@wio!&rl3+AHv$MUT+IbqGEbqc|;SNy!C`c!!b9dwUL49-S};i{X(%FC3LU z0=U9+MEHAu@9!A~Zy7Awl+#&ZS54_vh$`rwRK%c z?UK*okrO_XXnSMZMxe`Ae(M3<(PPwwgRG;YE$!4c()`Sww6XG@Wr?6#soZsP0Yl(X z@G9fJ_$5NY!{S;z1K=pyqg;9>5Bd_>6XS#D)#>5+VlnL+*bwVdRrPM>9ri@+Vfx3lvX!M8w=nwrl{szf=qxAFL`}fi( zpL~=aO-@)RlfJ9FBlUJxQ}3pp#WxtUt*v-Znk?(^4Z5?`7GJeB427IC7zHlA8P+P@ zfd1k8Y;;Z>GB&rgno7;()LLy#|NOuI7lH}z9l9X;SC^+g4LIBqH3@x%r`3n-sV$(_ zcQlCZ^w}9trUG;`5`s?FsRZ?HHlD$IF<^7OlB@c57&J|N$B(^5g&f!f5hxzGL;{{1 zxJSjVBPt`V_$^2d0PK`j7`^^Z zOiNnZ=&)6fn~`^hQH%~Q%iw%}Cw28~D?M8<8>9?bycdQcY58nAtC&J=T zPFQ91O_?$Epf(n1xJ-Mshq6zdK4lu~hwr>6-tD7sJ;&ONv+2s!D>AzFJT<_3JRY1w z!)W{d=>0UQyA2;-`J7bynO4Lw$?H*K(H{H^eee`u{y0`G6o;$Db|9p-pXf>_4HHeFMjb0FW7}nHh9EyY-hfF<%*3AJRb8)i{=9cER^s0_5vxEa{%B! zUDRNC6wE=l;14<%7Vx{1R)@ymu3WCBt(tU>XhFhuwVCxU@Gf+q9N;2&ormB9hXcp@ zg||}LSes3o>kpL|euW9)CvX&W0~#)1ATKyG2BZK36Dm^|xUsQF8^EDrBW0v<+xVr1 zWWM3fIxpivLD>wWHwOq$ytiS2?kds~GA}D4?kFq;?*LT0sgt!voXJFcNaD2|3Zy5$ z8e{$V+N-blj^7M#Au`5k%u5;!krqAE)Y6cu;`uh#(?&l~kO62%pOquxvvTQURKY8LUMgk3bNrw^h#6bu`28=tE zs1`@&cn9JNhNog%fkkj5_{lrn04RG$T+|W5!6Gih5&8u)LLq+oeLTbTZaiseDDV6V zgcSGUJq`atx+5XSsW>whuf1qVg+xA@7-jH!7Yb3L z1elM&LO+#Rw#cGiUF#GqqL=uCI#qkL z$?)vROhGUw?K!z|{c{;KtHQ@_+LD1o+vrH@s*4d0$`bqoH*h_C_#iDUF1c1Kd&P@T zAxQdbQhqub>JuV-&qfMuqLW5JSiqIeyCM`2VDe>LQ9ir|E(3>3=kj#Zdk!ySnu2S3 zdaCGnD5-!I8c?qC(K!%D-FeF&zq4zY;N!l5p~%lgF#Zbq@IUdgvuq#$bWTee$|pFl zV83H{CMWfSs98fy6v$WdrYHv7D#ej z_9GdI!y_a1%wUMV_uhLp4yl%ft&}x4H*e1hMgY7_J>Zf69fxF8vY2&4WzuPW|NGxh zJ6pB%>@&~FczNFWIkzM}tOs6FT3%XCckkY@SAoylU%U2{+5q1k^1J^8e_OT92d$kt zaXMYPa3Nj3bSa%Vb=n3k9VxrUz&~xH(*w5cy;$=R9f*jjN^PWwH$9D|I!p-~s*Ac| z3l1WiosQFGGp&G|g{dswWtwz;b}l^G>R9OvF?ukH%oE_oEj$bvSKhj#!L)?B9MpmAOz}v4euYG2K~l}zz6|< zE7D5@@^jcCo{yeJwmeXVo8!7^3U~qDX2}_G9{3n9cto@3lZ(XkBH&TjE4QV4lBY+H zC(^nGS8MB=)|(t{5g4M5Flaoe(;Wj5Sb28a9pasFV-IxBO;CFMB+i3uVE41~>I#}D zUc5nf&cKF#D8t+IAN=j_y)|;#BU0o*AxijCk(Py~9m&ES^; zLf1hcZ!Qgz@j1vN`X7?NvhvOWaB|p31sRWBLa4LgjKCN zaEEeN{;+42M#=$YZexP?8maFoZmW(9oVjTfvhKT^snooiI;*90Y_L03+A9`%zQ_s4 zWCgiMecZt!AafF#EiLIsl*`DhQwMPM2|O*8CN{2OzNOcj|!B4`Ds>F=QMeQ z$CZUN+M{=hL%1mi`ujw|LQtUz6$W!g`f9bDIy%}Fy`MHW;%p8UC614cc|^+gQuu-c z%?|Rkb(oI3d(CNXp`!M%7hZG|cnBgq;L#`e6usKp*V4$yVB)0Fd$;d+DJfKm4U>m08p zm@tx{DAtGIo@J!(1;{Eb(F1s@U`+wr5czhEPs$aqnnu13allEpL&uM$^Xf=nedQH*s2CPZ z4Y4+bPtO1NM?bRLAEW-afBU!61$FqGHFEzxyP6(*kt-gh+!n(qC5Lgs^^xd_F;W*l z{{HWOFP%Pf(#m{hde%EeXvg<{|M%17%a>jM;NXD03ac9F-@1JxUA=O}WfCYq=q9}( z*}#y%&}W{T@2#-Dijhzdkh{|KP zoViL>AuI3&ZtOSS{EiH&K^sqbofQKiyfduN7(6!Mj|FaRZWv$e=Hi6uuYdh(sjII$ zb#!;8<;7*w6@3_nb#WJ;(Wdw|6oZEFe0gdceqkA-?lzhm!{fogX{W~Nt>Q7kjzIzhmHu8c1#YoOVzhT^2R-~^2tcKKH z5#L(><+g9#Zj4-_eSks2obqeG%KcUwQdu(NZ0^L>z-*L$qRGv9h*m zc>wq5u5ziIx|9gaw@M!I;4}+2@IGL%6OlUM_iRAn6{mgGKC; z>46OEg-!JZ!t2_~y6_rjOMxI3$(k4Rj)H*~e5tb%&UJ^b`Xiw4g3iLPcqBagArB1H z+%Fy6p;6@ZAdd2(8<)$W9`zN9r@v@={Wre;7G3dYAAD>J*W03siVctg;~JjhSA-Bo z{uM+Q0&qKX&-n;E<=;p1lP6rB6vCZG35kl!qelYO5RR5fIQkn{RILh)%dnXdig#iV zBg;Y(@ph#NUK<&z4<@J9Jz4RwPdr=WSkB6?GFlX0lCl^Y>`Ma!y%tE65V(!4V1Wx1 zgx7hfP2OfDaH3v-gK;1vW#={^Ncx@I>U!0bXn^y=AiVaxs`4_&UTTeR)6{`OMYoEH zanJJU@sZ&XS=VK|)+ualbJJ}k_&f_en{EXjxf_0%i28Jq-&+iU`$#}2>Jms84%lqC zC=Gn%`DevZc2)x5$M7p2{s8W9W5z;MI$TD`cp;#@rvOwBkkR=PNW%zsWurkBeI@NM zTvyxi7yuuNMy7VEG)Q3U+v@z5t@J*8|6@@So|d9VNhoZrtfn>LZ)1HeJs7^Ib$~0L@Jdi- zRuBM)cj2HeMNuDjeq(5%B;ga>!Bi9qBNQrin}(zn{o!-!y}N}+;cl!FnByZPp= z8|nIu>*=%4KTGTEII)o?9w@UOBSz`SA7v0Q99S@lJb2wuTbqxDKQH5>Tb(ZHSwG|> z>D4}Vgj~3A(Qq3v3IbQ@Qflw0dddYpgBMX`1BO4%=VWolh*uKpN((v4EtZmqE!b8$6e6gOW zlcU0ABzANP4jJ6YHT6JKe;TKJiftLIM0NzmNQknz2 z=(=+CvIa=&X?rKuypaYkPt?bcpb;<;=#!BNi~~ZP`4qB(fz5VR_|Rt|shlYpz)YWc z(4lnT0MA;d(qOQlhcRFXoa5m$J9IC%v5qbV1IU^WM}#NzuDMCNPe#n!H*Tk~nfbIM zoz*E`Ko1BwdxU|By3k`qOH?kj2;&{x2_)aCL&+me(XP-PdJvqn&2hSujQ$H)$ioF{ zXot%cpO~i=n0bssbSbXs{ME~EUB7uf?W}Kl#KDdT6RD`cf!~o|dE(*VJ$Ist-+t78 zyyr5Jif88}PGz8E#LfCmV*oVrfSTO7aj}TT%%`*Bs9ZbP0 z-93$%z1zizs7ZO_-C!R*qewbgA4HX51O0veehQr) zohiykDf|r52?#dWATMya3@(9}r=wJfQqX~j0RlPt%fwe6;=ltaM%a}P5B zHI#It7}E=p^d9BEv9%$1CD>9@xV0~6B-TGLU{^NEiG_!`+#Jl*+yx_|j#2`D#9OHf z7B8HGPW{Q7gY)*t{3r-T6r%DFnWyT^?l$p3Jux5C?n; z-zzQcvIj-!gU8`RseSPcc{$OQ9UH(#M@ujt=x+JY-P1q?zVW75cE!KogEd6J2<*6{ zySutg(;N&Zou;0F&9qit_+QnV$3zLmhXHST0ki5Oke;arVD@j-M;boThEfCDHqtC# zqS;O@&CJfF>$h%sw+u!JQx>e-pbYBBWnoyM%-}1G1B?O;66*_xeeqC-cgj#Fr-sJJ zF!1VfR37=6#vz_lKsgtqsKSOC=V4?+24jW8rN+ibeU1s+eUBYEw}d)fZjt}N_=EK4 zfBxsrH}+uM>q5+-=N}9yyn&>pOD!**q=JPI*j*;=}Dgx0k2@NqU2l3 zcprs1+M>9Ucym*R1p|s9UH9(Zvwi_rZGxX~?J!W_J4Z_^E1r&_Z0LRI;w5+NOBxCD zoZ9IkalO0e#7u9Cm*29Nvn( zy=`|iySv-w7ifV&2eSA&dqd-5>Z+!+wX>P#XJ-!T$C#lIr=Bhu!Weyw*8NVn z0Z&}yBW(;)U6FsA=He&Xj$X>fKPLp6hXgG0jpt>2e9Yha0WQ)ADj#Il%@mkQMO%28 zgmB3~kac$D3j9l%A>(`>%$_v#j|O)4HIVwNk3UIUz|t&vk#1rTT9aXi;p25*OtaD! z!G_Ku*a%Wu>UG~-$PtDQ0ocIkG?15Qi^r8W<%ua*E0`k)pFa3{K;U4qxOnCaxgJzv+BQuN1nI zCswD!w8Zl!-XE6hc`Ymehk_yeE)bPNOKV|tC_Tyt<~#zDkRc_@Tvh>$(4_wYfk#8M z8Z`OBpSC|pQ!p8zideZlo~x@jrnQaDv@pM%TG^UcZA)7)fe7AOZc9zovfa2o zpQO^}mD1pe(LD81ADt&piER^k$afH%|1*q$(ue--}b3OyX?NpwFdT5^__Vy~mahC*+b3(vT z&=0GgwqW)(?QNyjrnU6S>wRf#>{hyeZ_LI8G-aOFN#<{PuL!#dq#Z3VfQUl!i8Qz)vj1iq69x4ZV)E@N6h8$^g%dn(I6D z^xDg>q?02j(%izlI=bsFi%x@JC8x45JcuXoo2Mq>iB8alkYE573}Rqr%~wuK{U`#% zsz7?4a~qK(%Fb;<9w@{0D4q*Acn{osRe7&QeNL`)4Y8eC#v2$WJ^2j}3zyXt&f;*J z;V&ska6sUT#_$GPXTSRTtFDKD2QK3g_f3YK#iQiqU9N);g0^se2?ocTGD27z;^#5uo4@IGHyCKO`nDIDGMz+TwsQad|J~nnddfv^{^U>o#GO-nHNHDT{?>A< zr+n_*zn8xK%{Nm2u|cP2`{H|_eVlH~u)&Mad#ukL%iR2InpxEn5djHN!|XlZs{o!WymG4a?iu@LxW@eg!g&}jQF z|NIwT^h$v(RDNJCjPXuJ`L{V`W}E!e9k14gLw*!=GhOCo%}mfqD>>OfPy@~+SKXbYo`-lz?~BNHw(}4Ty#j6uWziGhHU>ve^DO!(F1(PtG3saKEH7@J(v)lg})?SL;aO%rvQ-*C_RVu zZE0Yzv9_A#XXcHY+@B+x+@oKXoYPkeZyC@0g64iM@)%Eg&V4dC@^AHj^cBFHjTiVs zO?Dpeq(tsRxchS}x`=K%e){BFDnbCoNtFnu_o7pdE&wJ_k?;OL0zers#q!lxfumRf zTU;Q*JV%A9I9qTLA`XH(rf4JqfOFX(BBoO)=KHF5L6E96MDshs8@WJV3PaVJ*@z z`&r$$?!?!)EFfhs=<>w|vJ(MUc3l2_uthQIJl-WT5ZCItGbcs2qm1K_lKkKv5R+^NZpa<+CS>PF9AK%5+(v zZogYsTdFrzV(%0PyaMr%z?E9u0EE}!h$B_ZU?mQ#~cN-sl1c;fUzUTIBUz>dCLT|umDLr)LU~-!1)Q<&#&Lp>w&A9R*L{ksillsa2!j-Sb1^!h zzNizK07m#-X(>fo1I0sH+KlIhdFSv#2G79H0cP|-jDE?}YVgUN?!d3`l)D9!51a+;f+wWo4VdI|o4 zP{;&dsHSrVT{U$Mcr-SaR?|TLKpGw#_Q|N9Uzagj$6L`NJrmzv0`b5$J2xvhHq`cJ ze~huC+MfPY2Gj8Hh^MjGzI!x8=Mf8r;ZM$r@M3xK79S90)NP$D0|TBGu~ZiWIq@}w z@bt6jSKE|~ta_xqSKAOjFqJ~OHR+zww7#m2Z#8y-K%Ve^pr0}Qqdq!W+QMne<}=j* z(*%zOy-Z{A2+!sZScyNu$XBNMLh;pW*V3!6y__zbznFgGH@+c!*1e7f{2+52@P&@_ zVP?V;rNc9ZO+P3T9e7&CKL!g^uJBMDLqVJ=$y43ZFZ5gDLvJ<+0G;2{4tfACT1UI^ zSg|nGh6K7t?L(K&%uJ=3x%p^={)EodB*UVutz9})Izw{hH6g+a22=yyHFlJ!I(E0z zM57=4;umRhMYvZy(=zT~)h={H-bB#Z)2$3i1CUJ*Fn#hi^6rvUGx%myq~AynYiEEb zJj{V}7XT0VhQ45MBKb8B={-6{={y|=Z6d4E!atHr{RX!TJn3yn1O2JP>+D{9$x}$md9eLw9=Oyr)`RFIhCmf9<2O>e6aWQ}M)t6+Uawrg|9I7Lc;)uqo zlTiavV%^cj%gGms&AaF{2=Yc#KM(QU&2ArCs-^F1``n|Kgrw(;C zjXjvMi<{9pi;#Aulo8^Jln)F0hEJUEd?1$Zf{zHsU`w8k0Y`LXC?M{@LVX#Iz)y4z zTm<7(8j`%gf&ypc!Y8abRn;SJ<-@WkU^ozS9Xf&2PO)4oBr(Iv6S#ZY&IC zG3v59S&R^P{I|dR=7Ef@$?*8AkKaoZ^HXV6ypGYqfQ8PD&pKdq!B2PrU=U~o5rK{T zjdeIrJfZ62$nAi`Q&Xy+HABY))&vY(^v*44Up>$p|=Mu4wV#Qv^Y-9$% z#r_8ST8%)bCn>_GLrv_$c&W>ncHk7tD zW55YdKNx@HGh^6Yf?nC*!y^~^gefiP2Cw|HfA-HzGt3zBQa9%j-M(`#Xioc;wnccM z-d5%1xByNt_jI*Ac+!b7jGAR|0N1u~vc4T2*sQc-V2bB2q%V*RkV>TAQkdj3&~%0n zCafe7<@8d9;$hv@AOI%4eo_YrVi72>_c`E+7w)Y=223S%O2I|E(nv@GF~CP8!k5!W z6atr#cYs8_S5`4NEyml`l1>dDOC>4vR>6!bOQoA3%yeo?Y_r0+h&D6I=g}Y0Q`;zr zwJ@GvQ+nzmElk8cnzhmX?A@_MSPY!30_Lr8c-Xvc~a4ciYn zTK(?m{WLK><@FAO!^cxe0*Lp)pPyG*Fa{TJ(1G|1x4LJ=VSE5ug&@VQ^3n_JKynO$!pdd0m{iy64d#3i#s13+b9hOYLn{Z_^z< zKAfi1dBlgglvMDb)V!8L@cUVCgy$?eTLSzDepu5%Iu@*&Zwi9Zi2%Dj9?BU!8%Cx( zW#PdJRd?D-JOEGzbwTTESFRdnXt|>iKMaonLkH$MbfJJ4)xquzX6PG)G0zplJd}k2 zfe~Wn z-19|zv{$?jZ3P!)csCX3)0Ln33Equ6{b-b-hv%uT1{23WtHYfddakn?~e(7!Gdv91I8^K@i$$N_T9nmo=P;S&NL<)a@&U+anthay71qc`_cMKW7qQKryEM-0Cr zr`3v#Bh@iAGo8kz^Wd+I%{3cf=u375_H@OfV|WyNJoVJm>Hht@YM*2lJ`i4mXX`#D zzy?EoOKl2+7o66lXTectwL`j7Jf%43Ju-44ojiHMItGJ}gTs(-_>8`zO)$UydaMcY z4o|(qnBY5AiwpCH!HdDA*h?~|cWJL`=Bu@wX6m&Rf}OVPs_}fsiuHe9Xsh3?97tw< z;Va-Aw8sINt?qO3hYk^**iOCS&utI~KCH9AGiav_J$oHT!4m{%L4ZaiBu#jx)hCE% zmS5`-%27d18)fQA@dVO{ru+$J@R-voDm9(Hc>XPf85ir?%4*u)khQD?2Zu!V)R5k=g=*Z^0B*<40j0|OQQxxxQY=z}a$3cfoJfL!U+JUG7 z7U!pE@aI4M5CRTR>~xe)C1Ps*A{9(WJP2z>0V%Gn+?s|52T~d0DTeg~D0Y;Ar*A|= zT%;h|9j4N-D35Y*wYeU_=T-MA0VSE4A5Q?k1LZ+zgP`<0Lkkucp|zG*HzYt~X=!;a zElc42(KrbYAF88MV5dwdG~B9UcnJlT{lf(m)Cw1UjXW^31 zAW_GMura-YYY^juwgR&kds$hkJ*o=>4YwoDtKy+87Wy&*6)q42pS(xAV16l4K2uGd zjDkAa<13(eY%t)cUnDY)X*;y=+^yQ#*4iQ3Rnv~Ful*W}Rb}mOthqyFRr~(Fl*3*v z_4OphFMCuAC73%07oH!L@zd4O=}{~T9+#JvoZkyDMMsPVa1sPi;7)smR{*2Wup1rP zsl!JBz%$?v@}Xduda>Zk*rV;VC({wSxMcljx2}FuzoV1}{$Ui!R#f-BeE1)OFw{R7vtFWb{Ra=yp^%nQ?hf8E=!Z*JfF69~0YLP-(Zg-{4LJb&kXN!m zIi%BNc_OiHz#QjYh|ZMaGQ=CA6|}Yls4T89xXG`0s{qPVdZmRY$m_azDJ9HSN$4JN zKthx7oQ6W=9iU+BvU4DGK;*Be137>0oOzyg8k19#KKFwWGVqg*kPn>WcgS9x?SmIF zpU;AUO_{u-{ftNlS+FX8x_s$MI;VO#kA&SzbX;twq+S*g_Vjdli}~&Gd;XfWr^r+< zh6f!53*nje!7Jbn5`54RfIGoP8~Gj<=x!Cw(0ew9)n=YKv#4CEq~k+FuKVuYd!{dV zVk^6+pakz%zV@}WEMuIhNpu{BGMy*9fiCj4Z+KM3g?T|0dWsR6fgg8@y6ah{i4cd* z!;8jXWk))MwKN=bDI>+(YT-uFBZ^Xhj(ZxswYa^3PgqUu)Vpv0jqg~#8Kw6NuXOg{ zI(QXX3Av&(w`>R(=0m~fyUJlvB?BVbq5ourY^AA*Nw4ogcj4h>+x4%0`O9?v`~~ZP z(a|x}l_t|R;Ntju3?!y7+4>&zY1UwX4}UJF$B!O)dUS4X!DV+;yJZAOcPkCvn3krJ zx0oY`Tbt5FiU;P`Uw>URWeuUhRsEZ3a$?HmcnU{x&>P)neil%)je!;GOVCF#^-2dW zV?(%smfrp<7D1LNgTXgA#lXZ+1t!iA@;Wr>H_F(=V}lM6AoM%n*?gtn$Zi-nK{sH? zvd?d^j6&!L%QbgJ28AM>)0+5-(fgnivMCuOPVa~&Jr{$`hF8D!8?3$a@wF?9OP=%O zgLZU)PX@Btm>0l@z#ab1;oyCdmJsPfiJ-I~I$n_cJ}U(7AS1`?p}2*TaeS_;cz0A$ z9OP3t3g6KXWqmRJXjPUB2Lh%Iv07B5%BUQ@uBqa0HN$ikzwEtXyH9zO#zpfa%VT)xphCyEG(tjjh(cr(I2B> z_Iu-Y=G0X7{UEs1VcAC=0we$rh5)W)=DBfgpE|*D+R!Y@+m>MY zYrP0T5q2>e#MFe6Mp`SY>*?<7q)#Kw3tkD#S%Rw$;Zo?b5dy7`4IZ}_gy|BuUpNAm znz)mAMiVHJj@D}G?Hf$@?yscLiD=J`+T6_HK580yKx0$$avC{VO84&Ekg#u95ZOI} zAfFgH?y_(vGU6G#cVF$-h*_N#{4Oj9tRkR|CcWd!t1P^ORwoBJ1+q<@%3k{P=B!34 zVYEPlj8oH2ZOFW3I;vUzO}vuAubIzLK6JDwEVfgk1gVP!k0?5(nl{y$na9;mD-@Mw zIYe&NE`*#q4)_MeKpJ&s(8lh4Wq=e1FzS7L@pbpW2r`T+G{YxI-9EUPIK!ay@EW`Y z{2a6e49GND>_yT8mvk8;Fs)r)~e9QlsI0!@f#tq1S|6Qk`MvUkZa7Qt=ctPLq^ z=+|CpOFc3$zx(EQJWaTz0Tt(e03UhT?(A|UzbqQ%Lxu1p3zzND6W*VC>M46a!UZ1f zWW5~kfR(cL&8DHthe)OXsxo*5y{HEUn zX7mROzT+LTU)e(ZYU07e83ee<9H zqkk;^!SEFSi0@a_-VZ+bz&opg4r;b=bHLw{LCVYl7=nMHXO>|Z`%)$t@?^oV;yr_p z=ubi?Hj8gDI52JIWr!`z!~$jBW!=BccbX_f^rLKa6ox|9RRp}$oLxd-K*3ZvMh)dS zuW%2&dG=Z&w@dm%H{d$(wAsjtGALhKAT^DgJ^dC7p%`h>$uN(E6&0xY>j985;-0({ z0D|9KfTpK|_a2?;(Uy~WMhvNE{|`^=9ZH!FfP^&4g&f{2ggInpCZHTaA|iklk41-N zNKF2tQ6VBqqrWe}dgR$ji)WMrj5jheoVwfF?2>d{5h$6(F+0;RC|&RxmNZPxdvHTqnh~89UKHXPy|ajJTFm2?nE$5p#v(^)stqwJzzwLo@PHQtT(x(0`m4EX>uZLQb`zGC zHF8s6lmeax+PkH6RrbBKM z@$Cb{0Bap~kc?0;9BDIg@JTidJUUPaS_Hi@G})GDzEoa1Jhtb0Bq#jg{1rPF8FQhmbSImKG0I3r|y z@=@AG4~cjhlgbcjmD1l(KNH)&X&+ONlx>`g6=h)2j}8O(XkzDl2#meqwL~oOnsl=XKoq=nMuk;uY$pK2RA`LVTZTC;k54 z`aQK>@-8}*Smz|T8zoz-n`>!90}Nn@9bM?L@K6jMA4)?fhf-@v#-#>IGz0zvPH+Tm zko(MI1fH3`J9GAQVrS1IU1u(yGp<>u18sZMHh7=4T*wCp({TVC2FB~UE}XyMZP5hq zM}0nUO*-}T=~HQOZaxhR9n;%JpH7M{W35HMjE8gQ&N_{E&Z&*)ncg0@_2bz^S4rQ= z2!>bVE8lUbo2Ob#p?#kxgl=KllztPhAzQIIMK(NA;U#|U)z@VVFbE61%K(Tqa0~NG zDpz$2v*^mh!w7Om*Ws%#2u!!4p0I=`vnhyj0`jZ{bNh}Fv^WMNT+Jtc6E$a z)TC88YI-P27Y-ug%7908{&5ieGcvdif|}?5b3DOy05yg9pZ+60!^E?YM7$NJ5IQVN zyqnQ@nJsA8Zejpg;}&FPfk9ba&wR2XHTot6-U)RK)JJvXQn?T$--8KI&Ws|WjTkS6 zL9hTdjAu`XaJ8zAd1`h(ZHn=0;6r7ATj>=tI8Qb(Omqe26u}j+un>zhJ|_iy7wtuW zNz>Ad5fu!CXs9rki=fR-&)Bv7@ZqC0Gdq)JWu%awYO;HePK5O-7#Kc1R3k69TsTCL zQU}Iby?}*7%RtWb)U-Q$@J;|8@P#LZcI<3!rIYGhm`a$?sB>YBg;scEcEqzB!nQ5J zUXh?Sq>j!?>TEBig@rlQ-{cc8arNSkWvlDwQd;bkU_l}9-(XuXLQTDbr9tKT>=#pC zcNTK;u^=UAk&I9d^UPE8D{0eHWTbK{O)qH6Z>FN$QR=;gS9kB)&^re)BD-_I30GDK zdofvX6V39kd0v#A5O!c)63bl_FWgO3e| z3Z(vQ9OEhTc_DfiibVJjPml&0Qj;ZxHtQXM{~4XeR`dXsTHz|_0l((;U*v|41TRt- z?0I1vJP`BnDB6IVI)Dp!Ofgkp`Jm%b8OUnCI2YnJS>Q#;H0l z){ESlejqw4Eryry#9An}^?RL<%Cf;mQ&=>sa?ICi6VqoSGD6OrKIsl zC)UkM&YimG&#HK}H1Oc~gvI$edsL{4U4`%x`50)z15BsmIh~R5?{CD^16S)BAVE{~ zv^_?Wfi3AIpRB9?lJnQ0ia zky@K&4S9fPkQ8*2ewgU6N{o(msg#ivZ)7r zXJb)C70biSy&G4g=~+Q+vKhyyv~K*=e>CCFs(A~8ckdwh#d5@Zvw zjOmaox=x)M@mE(7W4<|37vtMjPw&~%5n z11{xNr%wAl|EqXoUTr}+F`B}%h6;E?I8SC)%4l|GHa(o4ObaWEsoGhUF;Gjbvb;+Y z{vD-bL}k?LkMC`u(C|XQh@6Cp2ZXJdcx{5h(XlXh==n?m-=>lNQ13umUYzrHMOL?$ zghLedx|E1IAl8C3GWx4anJ@yNv)E5puU@fWK6t?A-#O|$_=hQudm8OB;ss3@$}HSt zo?Vum1)bd+@Ekj2;6^+W%Thc|e0i#-i{Gz0LbF9DomaYI`VFeurU9Iivw*%HVPwf5^Gsfe5Bqg8!n^^XN~VBctzp ziYy8W!<{Tv+`7l9jlj+CSSTHJA)ivJ`W;hCP>^Xvrh~wlI)AkpJTbjQM>aHg%!^b{ zXyi=ihrtCO9C+FUH*R_YN}B`!7!9+n@h3m|Y4Ezz;z{8cc0SZMBBKyqWN{=Ugb#7) zbM!x62n?O=?M-`PXa?}k$_RP1Fl7T{8(d?cGlii1(97$I^c({ijlKngkKtDf@lHP+ zf0#b`_@ngM=bxsf)mXcPf`vD$>X1(fHh`H`=en}GtWK1(JW~2k|KWd{UV7!Fbn()~ zw7Rlpucn%;EASN^O9b;Em)^q@0xEs?JKs&Oz5cq7p1*YAV(RJcNqybjmgU~Q9)I_Q z1-J|-paBNgkc^N|KKVF(^7-d!d~_`MKxIO+X6eqq`*;7Yx2p2hW4r(@GG@R#i{}Nh zbtXIo@3FNSo`C`+b9qrzB6&%dDd&@qz^*;rp$_in1i1r{{#*;t4Jyh0w( zRmgFaAL}~M-|!2k*X9MTq=mPU5q_gTpeMWpBd~>@4>dB)j(kC1PKpj55Dyk|jJ|*` z1+`&>r$ToC0o36NLxgw&JVaYmtb)pbr+C(Tc#u3f4Lrh2*Q+uJamI@J9<{TnZ+PG> zgbqcqzPj#4fMAGCIH;7XC?FaU@lOUS1&|m8AEqNuG>7+k(B-D76@I7RJm+`uUitOM zJGV^l4TFE{Dgt#NxUNf=)95|<>3ICZ?}QW^CXvr&Dv;g=6GSGB2}n9aCoXgDd>nW(tr*6 zN1|X-HPSew94H1tpmlVSbaZ=Zae3LtNVl{}87i$uU`07tzMK{besTMvJi0X+ z!kA&<7v3F&#I&S6BDzTqoFdt=zWy}7JSziaBW=m}Xf5xi-~OGiX@vM#3VXxAl}fCy zfhM6;Ic$n)nWd#g(+mUv58Gm?C)b7X&4{O3R+@&jbaJ>qEv-qZsC6|PCsGm`scZ{3 zi);0?xh2f2{5|FKH-W$g9X~vzG+v8AMG9b&4%&;j;BVq>slz8>gaU(=p_qoV0j{Wn zT-#Vnt81&KujNA*e9E0*oVu`hrY$YvL7!1m#N~XZqj(Zv=6@v%o&UQx14Y;1!f#-ug`)ctDuWBRva+p&e5VoW+PCgpnS_PzMH$VB#0)g=fow zz=x~yW#t;loUNfL+61q2=7Faj#XEU%APY-btjSasr(UAWDHr45?D=!)+SRM}c7O-y zv9!FDI5pG@@)S796;I!oI?{Xh?|Q0+js;|KSJVQ|)Ih12|_I4Nhd;DO6;=4u%SyI`26;-o}^OE?w;o1pLxg zpJ*#k*CcDAfRCfUd*_};=6n}q-=l6ia5|p4@Ph$$>*g)XcxVeK3vOj_P+4Y#B4E6| z_x8I!4fd`&dOGG2b!6S@5+`+#;>7YM45$JdA*{4<4X&FSbX_v*Nt(2*Ds@|H~ z{qB43roa5Fze=Coxb7nZIIxZrWtn0&?+6!LGQ5@-mt=e|NWS*dzxp5lWqMwQ5O`+W zsdv-~7eAGuXdY1cct$uwh4otl(h5_>7Eb|H+hZDsGny<5R7;+q)4C%^_SQHp93uYa zy)H_paPpypzz5TV4X3YN5HVGnj&djSaO(@Ew!%!ZsTnC*W&nBMUMK$gg0xFlB%~#l85{ zRgD6=QX}=iXvzm{(S66LaA>GsBiC4H%9@e9AkQ?V%z|;avv8_K)+`+c)-4K#&td0~ zVF3n4Pwa}>s@2o2JEOAd=hK2{!`7`0L0DJ$r02jem;hXOL|(LoJH`S5m?)DV$SlCQ z9t0rPw!~t1>xXyN2gK#hGsFnbee@jFtF&4`k#631q&vJ<9I4Tb)e@e zQq=kU1XhKkwE4gl#TuM1Hi9GjkH~DAPnQDyMkc?z9C9I#O)7t7y>gEOoJ%+QsEr9Q+@ z!Owa}JX4I+LqOpXpg(fs_7!Q_@=ATAIeFl1m`m_&HLl!uX=b!(N|AR6GK9tqo z32?cd|e<9uo z*=#LUte-g7<>u{M<}2X0To<~9-*J8r-7>rr9u8ovXMX_y@g$f%K_#+H9V3^QjR`& zOpM@R2t_M%ixA9+s#~3DoRX>nX@iSb`7Q;x)X|G@eA^`OsyB)=yt+f6+~&i(h^Bi@ z9W!9wAAgYM<`+|4F!JgC4Jm7vu6AP)QwG7)S%M*7m|J;0Mh?(84sIeYE`H(385ro3 zA<*en;v*-AQnwTf2e4rruydikLxzb)!Z0?lvl3dxseU@zQaUbt0TZrE@P{&C(PvwQ z@569TNq9VPnIf2s=oS~2B>Z)aB05qDWu%U0N55fe(s06RtJ;8a!_B)U1+l)l>UmkV z=;Cr_N{4xU1Z!z|(ez;69|0bf@`D9T6E0e-J63qKU-0XFpW3;$xRuscw){2Xh1IRJ zB?anL@fEi3#e99{Q$#5*OeJ203MV0m1fB_`%`~<$DGbJ_yU3$aA!|f1LQb7J?Rjyg zv%&={J`oPdlgo4muQ>n4kWxCzXQagzYrIw98M2%I3piP*#xzqtCDH4c6ySYEeROuH z8v^Cw21h1nGox^?mk}JiqZiBY5X^7-?RRP;Jmog(pS?{^3k^ci5#R|t18^XQvg?t& zfiEr=K}Na4A4M4l&T3o|sEInkAMId-3H_m)-TvwbPHU9TlnNeb3 zJb2~eB~R(FNcxjcKJh#12PV!!0mrNjV<*ys@$q#3UQA1Y>!+W2#-4?f%6szouyM@v zE#auA5P5~1K7Cq*#aKXxq4m^U(Bp8F)_nVlbvhV(nHTZEL3?-rLzwj%bgaM$KcEZH zM|`j7GY+VG_%L0PA#?M_Ex{6U#nA;mCq{#%x)+KE-*SN2?Cg|1LsL`JHq79|N{OA2 zqE$ev0ZfkuM%^A9Eh`WBqTH8XdDS@PnGe~)cXKk*rYEPp4uy3ybk@j63=o1!;K(PY z3JK)3z7g%w>F_9V;DvStUlekw3wmP!p=aSuWQTfL&yzjgz=R%Qc>o?OukBDe^Cg&8 z89X9;nO7;>ggFx8J+Go}f^W(neOw5vaey%me2SO#?R7&<<&L(uaJS>GA>>gnrBbLH zZ=fO&mqBo)Mc_H7%g-o0n1*NPJCdG|%g*sRO^!3XiVubD1iB!25ZzNbdL|K288^`v zf1%t+5M>;#i}JDpb6k#BfcbiRyCpzO#RxyRQgK7OK3yuGG$By_NXH(|t#LOz`~0?;BQ<@UgR3c!HKp|mKszSopKy?$LCqFSr67+r9pD2!<9 z6Ah)hcxTtY;-U;VLktIV9+EH&A-%_lFrM_&?rbsZ(9nPsYi}AJ9?-~~xk?GPj1Ru~ z(%aKzW28gI0&ZAV#(OSNW%=WAV&)5H&j~JIW?@u|1fe7YVN0Wl4#UKJCx`0bWzo;{ z=rMDzn}(AGbucQ^GaU3}wIlrW_w=RB)is|SD$dmVb<-U_1xJi9>2y)bo11GsBV=Dl zI(zQ44Qdn;c%gn=fb8w3-K>H)S_FrMrD;#2%kI?Ee>!anpYyAmfuK) zkP Paxc@Fm7V5iJ@XROmETR{A4NtBj17UU}KO4KR9eSNlt`@Uzl08srf=Pzw1_ zSjK~6igqZVkm)d?TeF_wtIW&bAH3v%(R%FIV6=q=#=?siIJ*+22*GSJ@4zUYR)xov zhH{yLq@7-YqGx!`XYeR)ad|0?-XBfxz5jlioR~;6^K;(0g#p5jEsQtTi?D#wi%;k0 zJ=MT@B6OzUh$(6eLSUiO!L!Eh8jr|T2gpT!tCIaj)}w(l@z1T>xBcmZ&YsS6``+!e z*Rq$^#GhV+M)L)`G(xKF??_(oRMsTVGIUzNEIbPC)mAmq>t9q4v|wv9^2{y^M)GuU zti$N*?oAIKj=R%SQqzYVY&I|t0;?{jb-fExx`}~7cD-8%=$;h>$(b%?D)XTX;W6QZ z-E#LvM{TI^{+n-p%TuYGe{uWHZOb}(6y9fT1RXp(QN~0A@Q+^p{QBpfK0{w%FqAa< zjzyNjo!}%doh0&MIn@ORSU+L3s|+r774fxj7L?jpkwFdLGU&k|gO}GGxLK8*h0 zmoQedM~pyu1lnfZ0k`Nqx{5L+g}zgF+yfp5my!9bup?A{ETq*VIDrRxhx*-jbKV0L zq1bIX2T!_C;*W+S)f|K`RDLAb_*MXb+^{D@M#__A80aE=S^1QA0GkvPX>$y3!y~_Mo_=?-8a*Y6puJc$k|IZb(FFB<7)AZ2KcU`EU`V=_n_@5*%&gz2PxaA?PqdVGX-;WIz!R(@<`L82K_d^5Db+8j5*+j zeBp%`Y_Q-#q214DbOvn^APfrXWaP%SOz^G7n_+YUFu=3G5>lO5wWVc=TJ#*@GI(y=D`teVGZeEVBrS9nxA1gm) z9K8ZJ;12^wceU*(UPz;EA-(ynZ>LiuCtS|x-FsfZ%_kNxgbCky^UYLlYPInO&6p;o zU6l3wv(NbhdQ8vojxQ5``uS&;A<8!vFnsh390cU}fJTLJPk@hzM-E93-N5_7)Qko+ zKEzSMdR7Cb=bn2mEiEj1-A_+nZ`#|I&d}(eI@q#H9b8Neqkm|F59t*A-JPAzi+77J z+%jFqv?g11S)bEC5Zkzc3s~?Hb9BOzj0%hef~S;JK5#L;SZ(V_|L7n80YtXUbF@4< zhi%B8e}3bjjcz*hm64L$3g7#gmkMA8v>7KdDq;$rdO`=V4TOiQw+ zhL411{J~MA1>Vg~aG8yofXRHKbf$}f+JfzJ$%4A`x39Pc%bKWAM zz+$Cr7$K%zLXrB=AqCQ72yt2{u6Pqf>4=B3^2%tyXQu%H3NYouI)lx%?KJjyN(`@# zQSY%!s2x`s@`fr48EebZAO7!|+)-cVSKXg0*aYcf2r(m9C~fi!IdD;@+E$@!RQ#o@I;Fx_?qcnx6J z*5V7A9(@X`#nlxH9~5T686Tj-rM=E7bof>9(*#b=4K@fbLFOSk1r#CMAsL;qUIZbf zjkw}L0E-pr@Og)#WpP|y0Z$p6k$}NRMU+dYM7y&RGA;!n?-;?^<3#2n@Sfkmo8uY5 z&@S%0M`)RjfTp-+F+vEVqxYRIrZB)r!0-jU={Ip)UJwhu6dnA;=trFlbW*&(q70t^ zJLyy>cj(1h6cEbp4e0KLc$KORwm3sbyxtDYST`c&uTddoqR9C!$Juk|W#~;?@v2z2 zh4Q_%8SoXyXc;)k7c>VyaT({x1-!3|w!qKE8Tpi!e2Q>!Iiri#9eHzmb!8e8_$?|P z{CPn&X*`OSVIU)YONPYE{A{{??~WIi-Wj`>Hdv3;tTt0o@Ia{@1* zkH@35$N?jzTeQA<<%-wWz;Cm&v-U0(3A}ElqCphp<6ST>DIJG=v2B=v!~gszf9$mz zOljS?e%qyfIy!JsRM}k>{*+1YQhEWR8o&-q;~yFQ+=`{=Po%h+#1<9Wq7=qj7Kq4>Dav zJ;*aUWodE2UKYN3%?JG8Pvnn!qJ7~h#}iT#PQaZPrb^e%&&{O;4X9`}Se&k;0ZOUmax7r9nT%AK9kX}@*L{A1{j>hwx ze?J)yPV*HifogOoS@E9VAS{QhM7}H>Ek6gxxv}xiZB)U)e^8c?_hoshH`3{y{=x9q zp1P_I0fk$W0kFdAEh!NxN-2U8ovHqqzCd|bJKDr}QUEH0{O-4kGVvx+Cl`pvHi)`n ze>(B}h6$+OL=;TKLjgKH1Z`?&KFu#K+hvV)Yjq^%W&xs=i1LxQE;_m+)O#x94}(%*bZ~4r+|mdHTJ#?q@S?K`jUJjy z&HkcnozV}t6P6+b{TP)3FUAKaU&iPx;+dz-od9ll+D}^6Jg^n^;RM@wYgTGZ*^S3B`E#0rP9?#E8yOE4rSmCx)@8AM`Lv8D zQuED`qL>IOgK}(WNxA{!pl+3!J7UscAfwdizU?tmNf?&!l3^)~R1_qO5a}$2hK{Gn z=}Eo9<(ksm%&fg1G6&M~(u$|yFeaIjV0{$jAkQ36jVt@9r>~})H*SYw!l01+v7^D& z$#BVhoq3Vz0MsNSI$#OUT)@u)d5#u$Ab;G1Gbo{7N&~(zm@p3bJ`80NP{4X={`0^} zW&3ni@J2b}Qw|G5Df7LVR?&hlCGP^W($r;q@HvFfZ{18EeEdHg@o$Hu*z$fXVk)TExqZ zt)i3u&{up9%LWSu2feHj7nhZ`9(@p(;ABdw++6ZmCM;?nACC_|vMWW2-G1}{oh!Rg zSa0Gve<+JyFd}prmBCl=5OP9&OkFU&H7etV5j(%3iBCUO-GA$Qf6JdfU~LUM&v5Y* zSTDok&*L)cpMUWM8w2pe*n@F9|DvC_@NUP8MYTjo@XHna{X$2c|p)L;eRjK!J~<^DB~*Tr=tU*Ohy^NOc^JJhaAt82^|3EGVmT;kOq8`hcZb|;113) zLYP9ba?o!^W#9hxx2M_!(P^{Zcb%^vgi!M zg3f@BgtEaSZFT3UfB^;Ez(XAGF^*7jY)53b0lz=`_+!-zO^rg=K^rrC%HWX=h7`}d zXZi$qyk|*`j>wh3mX6C@BVKTzn|{i zyJN$Xg>EQWM!mF)`s9yCb;CA-6gBLWwaj_^rpFZQz6Qilm;3A{}X%YJz9j zA;HcKa0@K$-7H*e@nig`yJ;2PTG{G*9piH@#q5d1SiHi z4f3t>jYM>So-^W`@!4UPq~X9dL5xonLJ{LcKU(ZpM1<7KXZz;jd_4b6eL z{M&^om{^L-zjGiSrKktrX?wni!WoNj@Ik?itvSJl@de@q08?M;f*pf zV*Tv2K|q+Eg*<1@obU)gKIX?NXuhh*yr9a_1vB<^bjiwOw5H#bFF~enr$!V#W#{_M z==>I8La69aLtWw*VWjFxT|g3(4P zFmI_W3=jf$E^wEhx#~*GMHw+#z^IdAkRZSReteFd(H%;X<7{@>U%7h42XJ-DfMneRFZ>-8 zDL+QO7;dBY@29EB8SzF;)!6l4@GR{M1=L_Uq43p}WlvG?@jVtIPH4mju7LwZOdh_m zG;-o(8ah6t&f|g%-HtTNsh`3-3d>VbDj!(@`{a!_9qJfx13!??{I4__PZ;3f58fjW zvIkEP*anJM02~mSD1=KYo~Q z-@BWx-@BPUzke&;cyL=Xyj?7;MJ@%K3>q7zxU@O^Mk3i<60h^zgexYM;GOdMtOGlcY>XCkK#Hzk zzb?88Tj)=4s`{vlKsqky$5b$I*kho$_O^C_1AU&-V#m`eJ>{uopaE&X1vUVY7F(I)O2GQQDe<1)U3 zE_g1{gTceW_YnsTF%AT#+l%3A88G@n{z#Ye5HK>a-8n-=RP_!aHcWtHKEfCI!a(&m zZH&jd%h}%(JjjCNkTkA~9Lle#gE|6$s1v@3`oWoGLXt80h$Oxkv9uL(~~C z`yKO)PozN!=aAnW+|}la6>K#WV~_Dd~O$`Xj_rd1uUF(!Hfs#SVMGR zB&rVD%|&O-V(?rB9l{%Lyx}~o*k_6e!;`?aPTCE=SJqaYzo`*#kJ_L#C|K5CfLny0 zwHfvRC?Hd$uQ$jLQpd$JA2Oq@96WX5{MmGWblmvE=wRU~9Xne+3G57D#7Ermkzu#P z#^QD?BDDvBbfOo=D0IZb(V+Ysv(0Z7a5CEB2x-1^!ZG69qF@2 zkvJRLMxF4ackr-zM)F8HcmcYZS1?Sdr@3z0FvS9`s5ibJA{Z%EUU6-w66X6XQo=ZGtU@>-$lB9?c#TtX%& z;S2eW1dzzZ+fW2W+!J@|$ms|$u3gZip$)`5QHN=#xTEp;8C6kjE2m2r&x?6GZLcsQ z;C)@A!=1Ve5h=iaF?O36%Zf{e2ObbcdXgbAz7geiGEyc03&Kqx9;1Uh7H|Gd?aZZu zb9Z<}UOJ#h)3a$>485ZcL_FlQd~<>pvR)w@AZ7;T!y*Ms@!4=OE^bDEBUZ0S8^$9s z;DUMF=G4>Eo%;LPmU}#PYorU4Gm^DIuaFfx+6>PJ2du5?{U)Om@dgm}4-VN2vMyl< z|FoMC^PJ#kr0wm-1z+Jc;nUa%V@)GFl!H%VrBfA7yk8St;v=6CbPN&dqw@qWv;lemI|M^Ldy%kyB9Jx+b!8ZhKlmOR1xY}l z=|(x+p_oSv`U6hj<{f!aSnx+KJFSN3Zh$9K@kZnV(1I zT67Wee106aANa+HWBm>5b@*&Oux@Uxo3`K_Pbr7rwUi|9WznZua)<%jw5!oDo$^lF z+1CiRS^S{5w(gSP5S%hN>ib(s<6Frv9fyp=Hr|m=urc+b3f9-wm7GPG`(E?HcXZrf z@eczWjH8~u9^qy$O+1_k__kSmC=f&|$b~Ty+BkU3hJb#WXLRufoHK%ryZBRl_4LzE zr=^7@uM2^v=)5>#6yBvAjLh42?$|@dHu7xn5HNCH|LRv|jI|tmU&=CdPZ{kTSy!=!Fw+ zQRmNkC-gupN{({i>s$u3V}Ql9E6+?LvA`dEkp`KzQLFOcF${Vm;z-D4VK_v-LnDQE zIiO=POssz#9|eKKXruUm;`N(!7-aq}_?o0d-r_~hK**JF4TQpFU;f2D6|*8A^`vW$`8ld;fpd1cjj5GB0EgZ5{-80SZVs2SJ-cLn}p zdd#nn;JDz&Bk@+W`e(0%3c&~g&vns)#bF319d*tWf*sHNPshPA)3{zI)hXjWahXa( zGDayL;Q}D^mhr~PNf-D+f#-D@E6Ypix4-)xjXe7`BHi*LWj?ZLW)m_nhNzp?VQ64vf)h{O=mA-y ztr!U4L8x;c>cY^#s9?$j+GD2io8UQo;ny;%JajrJdklNhuyws$QTejZef+wvrq*V` zy`PrXmIa>pSVl&rT?Ru>In^7aFB(`ZEMo^FWIqfT&JNj=p^|iA)Nl}v>4Of_h<>jI zzqLpQ)BwWuOGs*6VI zH+8d}^OaX#Re7;h`zJs7Nywhs56<}}6UToOM|wIPrV}~f4gJWWWzRkPtfz6HrvrS< zAM}BLfPiWR2fvAdq>%=iVR(jKq>dbNTJF|=Di3d5MQwM1vND;9>FeziULL0JeeZkz z1`xc*R43&z`llTj+~{zOS_0FF@Oepm%q|(z0eq-DQn3Drsb+YVv>ol8!cRTT%`J*2 zH@$OjMf!$yD72f61L(D9o_p5(gkc8U;FL2)9z1+>&^GSE#6g{0q=oM&g8-PZ0}y`0 zaO7PW@?7MBMtr*q9K!3!3u%B0{lgR*M>1fL2A`A@=O(SMu?9wXmtkeR3O~G$dWCCS z^Zw;}B2B!DXy_q$6<1HDnmW$q!P}}w7UWwn1k6Anl0-1O-PaBjL^l{| zo(vXL1?N-#{F{JalRK=VNOLqC{T;xm4BZMbaeg`wm+>Xnmj{TFpOjmQ!R?~7qQmEz z8YrZzy^^k7zN`+oJ+&f~P9vj2>6n9sc{{7^sZENNgOw;Qj!=UykiuD$S&+|sS`@+`t{psXN#|>HhZ)dR&OW_v4NGj zt$*MVW6(jsTy%m~l!C{wp_~m@2zQ2$d#mF4EItSPY)kpDSPta{o@|f|^h$sS{WZ@s zXV3Tq#8bnkB=lvE5Sp7y>C)v(>dcPWs9?W2bs?xIOF9y~T3cIs794yd2Jg$p%9@QO z_Sw^MKoh7Q_l7hyFyOYKBv5ALWtxX?kwkTH=k{%2bu3Hwl*0!aH zR6hW7^C_EZGrPEU^$y59+7LYmUd1lu1zwrYAH6r~d1B_L7iQ+t=~Jhz)G@%@WjHYU zjL|e&cIjOT$CQQh#5U7a^h;J%Esc zwR#gi_GKtwe1LO|0`vgu4p>#d+Qjl3nV%_Z?xOFdNwE2*)pGFsRHCj97+=@||w6S)P0U}}XA zWh|(!JsBrE@Pg#M1?xn7&436VD7RM3b9mPp)s9{b5ICaQVC80}&yauNM(MnxOT3%cu@RQ$77k8a<)l=M9!g)4O_u$L)LX=d8he;Bg>d%0=)B?FF_`G(nBG4mQnrY zPGFiGgO`s_Zf){`K*j_rQ5dC1l#;~_Qls7;+y_-^2{j>Km$L> zDu*w!E@YZPz)nnuuv3OpxWPSolrJ~GOnG`xcQl2?0RrL&%Y z;RW#~Mt0~6`c|fj7&w6!f)}MKKe|f{VctZ)Aiu~ivOzp@&JF&Iz98^N0CyPgOrtTK zNB>P5Jh)KV95FFJH}9U05OS)4gNoopU}WWh9>O=ZTW*xYbTJKr4mOO`MoP+_TJ*4W zXVKq9dWtW8JFn6RF4`Lguu2yJ-=cw0NC3c{Ka?wo)Emb?88Tqb$6ay!_DETG2i*kQ zUke86-+M(G@;-U_Wl?u7>x&`UX@T zT2pr?>l>s{EIcX;g4#NUq15q<*|7p4IEt0>8To=_;zMAR#za=9tIBan8%?|`;DdNu zQrz28467Ppj*m}KL)sCu`J4qQKP<>tf`~yQCQyDlJbqh1T@KBT-*v$pBVq->DDa^S zV!EIiurzBF2Yk3lQC0{Q^V*CSu((;?@B)|5W6*%$8fp-*7GX0?!-GLQK zFv=nWffSJvI9Ox|?NN|QruMTCRUMmt1g({t7vw0)F`X1e9jx!TaPgu?EG+1>et}S` z4u$5nl34eEfsRDVSUg}jq`Xj8=35rxiGG+?mPLg;%>bS~vQs{+7GUrJY$}t^wjf_mv86;@~OpOIn^$#I3v&{H!~w zH?V+FdSai>UIvMbA3A5w?_p|&DV9Cb$k4#ZXW9|mwaEBjDyC6)7Nc@tSwjou2<8?l z6(h+oHvoq~c)xG%vQRWe(@g(D_TZykf20p%NEy@po8uY~#HpctZ5!EDN-%6((_xuM z3CaRK_?VlwTWb_-FO=$`13~@|5-QmHs=N8mc!_eDa$tM=^yH-V1F0E!eCy3OJuS)k zGw>QyZJg5m>!|Rq291l}A;=N4diW9=0>%sX}MJ@ZyW< z#pho#|1pKjIUneHWbpCCgxbkBTv(4$vLOTvoCwQ+flixKQSqWOMba&}`LZ`>j*!mv z83*BIfPa`0$&1V_$4bUKaD~x<*OGYT1KPd(>MO-F@)B#*Fha)0$5eXAF^V7tS0PW9 zEAbk6fWxw`_vmvx89Zm0Y#gf`V{oGTGq!n+{qd_&kS2jI$xRVS6)w)Od*?eHR z%zUDNUU3|yGqQCl&_j3s)O+Qn-IPNc3A_&(it@k#ZSY{+Wsp5|8hC1IX)V2FhIgrl zAr>NDmhvD*CNkB5 z6Y^%533RS1E!t_|0v=%AR^3}FZ*h4wjgC%Gx(JF{rM!|x!=`eeg*!=B&$mYBPF*l* z#-AD2bH1p*pe#b$UZ9oL~}@}jtb*CSEEK&L^c&|YnGn^^mS zdmgVxo^k*u;0-}U2vAxGAK$samC8x4_wJ60Ms#>EyD|w47ADfGzATtlaxRr34~54I z__*_1I1Kv4Z*Y3hnd*wnD1v~+aV|VcW&s;d(GkZAc$8&#M`z-QXh!@fK{^(WxwZlU zAHb$ED26f_*|{Fkhu@UNdxAfVsNcZK+&X*^w2!>tl;3zKa=^2}qB|SKQXrHBjVxDE z92=tdxJGM_9z05S@7@zXusvF%eHji&2#0v#dD+tF-?AfE81X^VKD7shJtITLE^obG zUR;)#;QeB;>W+`{2Oj8%jFn3=^aUgB;EUcIA-^T%*xjX3e8HdKif;`GmF`qxMAjh1 zzUOrmwe6aWxl8_T2`9l$iHG4u=<1F@WrI)@C;Sx)|D?!OJ{=l#6PIXYyyN%AyJ(6> zEv6qCrQ_Wbj(251VPq>Wh8JEKylogmHW*t3WD_IkT52kb`&vW;UClB&@P=TBurnq) zRPhCRgxY0@uu#64kz#{772(PsG{bmQU5wt@rNn~nO&R)+M`tKV?Smg_4?0wOOz@-6 zFzjec<`v7KaL(a>Oc@|MXc%a~jyCc@NAlPku6#K!_^`J@hDUe`IR8UQbm0}dc<#K{ z6v0mzpR7YbhT*@=lU_Tc@{j`pI*ezG#5fvWI92&{{eC`@*8HKe(H-ovV^`Jj<0I+U zzx-9YcI}!!rpJP7re(J`cf>nA>A{0BuO}n#j`+j6N%XP4Q`ztYXL2x2Li_oWFR5)CJ`|$73J*G1$@T5C}9 zFi@BErY?B10Kj;&##0>x!)>18SKx%lfT!rU^xhyV&K^E~D>P};=rtkkEDGcX;r;06 z00cG#OA&W89Hhzd2!k#wbo~LKUK;S6=4krd5Q3jI^0Ovn1gLUy$ZqnSPxLojgk0Xy za)Fo>`6sJ@DVO(5!=f-)XnOYSNfC?H%OWn->Mzb{sHYx{_KhoqLW+rR?08B>9RhCL zyq(ZQE(9pvDd6 z&Yd_Okaaqq?aj2I4go=$n0V|3gLDM_gC~Tymc*e}lrC1jG+Ed`sFO|gwOoXT}ejj$aoU39oSvi4AI@T;plnpHy z4Z=v`3@Zx^4!(4H?)-Txmka7JE5ZkJ(u|TQ2~LbMfFs%xovGjzKVtCs18aIs9c<5K zdW%tDke7$wAWL^ehZ`B^aC zu0a5O7KZ|2z`*a!*Mo07A$0J&b*2Nh)7^Ww)u_ER*w-i8GVRxr`Ud;b<*S#{#q$@^ zQ%^mePM$vHj*2y3>r5ljUR}U|5n{svp7GR&q(nR<&hUCEJRGSZ7Eo{2WPq1hH`1Ke z1kb+WOH%e26f7_`TQRO6y=%e+9yoiB)h1+tj{b!g zUrL;yYaIq9RW{RA<1+3ujTqGVKuo1TPZCUb$t!8lRSXu;(Uu>T>rD|74(kNLYR`t^ z!7=j0AfQE^eS24jccw8w8y_2Ye)t}GvA`Lf5g*G$mw+9S1QwzZ!ZUppKl3|uATYsa z#MwBKK2$`|TKBA{q8F5=-jM1jf)`F=DQ zFfM+WvA&pAQN9!r_aadD7s|5|jXSaVA{^YQM@l&7igt{pb0!a9C`9^4QVKmTMr`1Iq z#)O4hIRFoX!Y~tK0_~-lty)@K+Dy~4^J!bmid&ucPD^=WGRpI)R|?AWkz%-LZ*(FD zbQ1mObbte)k5Nr95wS1`(@7WrxcKP6nbQ^+W@MBAYdH9R0;izj-m8d#%??tK+ncpC zq)|wtga(6T3!_DF!hB{x2^K~IdC1T74g$((1oQwK9svZMH4&_b08a=BACh8Zf;R@G z0zAO)@>M%b?$LnCK@bpjcJyEruqcg>Z-pmBxKKyJh@DOh!xjNR$@}mf;eq^E=1OV7 z#-(a(yN(bfm*cc3%`i6HM!|*7=Q;3F@La~b@-nZ?Z|Xu3@SPG4dqRmb5}BQwOWi$q z4oY@kvyhXLpet>pt(3{Uba?CHj?!Yp34GuU}$ktuf zYM>CkQ%Pmo8&uE}g^V|-Qfd>Agr^O@*i(n)uX`&F9^sg9yp`0;IugFqgW|Q9L+#+x z>}zW)UaQ130k~aS!}GG2mNc@RQMr6^nVnk5Zr?y}x_t4njKM)~yB{1J5RWw2O&^^t z9vO{Xc@l3h#V70u2jB#c22)Vr9HT^?6$Xeq1ie?U-ynI~+Fp}!zL}cKlGiqMAdTuA zOTsf{h$k87n4M%%wN#q@U8sh--ivN*$5)Rm`Y4TX#aGU$-*i;@oZ4Edv{*mPk1d%d z=pie8=UhNxakbtl^(fbfaXrmI!{4m!R3s$ z;Ys+F@5;c7)sFV`SHJ$XjZSdFLTh9PIS3h{fEa)6NQ>@?{>k5!QCaa$JxRGzKG+=-RZ|(y{P)F+zb}i=S18`hV1NBx zet+;=1R?Fw_@nQw)VUih;3>ZD=HcyH_=;41ZaXj#PzWVXGBxU%m(snBdM2oFto^i+VkgTZ$_s^)Y@I&!{x3cZ!pJY)Zm;DY}n58e;afnv-~;4$NmJCsJ~C?jYJ z20$b4;TJmLV*^zyeW5@sO2-}i76DT&3>^d(ey3wbiO)>W%J}WIVYjA{<5N#P;|?3Q zF&#Y%a8c%2;lewrI7$T;J%>R{+29s1-cYNzBxoe`%bELqkWruE=^Q*Fbb@$gwpZ1$ z+?#g?hQj2G3_4$!j&ni49Y4&IdY1iT-K7ZA8$K~Fh=G}srG6H;8h(sG#e*9L1mt7U zCyQaxd7R^dp++(AT9@cHa(vh_%GB7&6DQOB!n_PZ)<~#5=4I-``-G7tkOY=kiYJ4G z4yG*_G@f3Q-oS`P{(X^8Y1n!TZ0IR3$dxXoGd+3ol#J9>4PGW~tg?udw!(LK82RWT zfq}}$AAf2%Fh0Q#`i0N=Gp)qY?C>@NAUf^`V-M1Uaq-gXs_qZPt8?OC2BnRutE)c^ z4q%iFrenv3)c&e;(V}#nI(hL1(=kkMXPv`>($%%qG$x}R+|w>_j7|x9iA9v&xF?U` z06x4NmP6sg+sRE*$)fm0>}L=7zRKd$1ZXd0OIDZ-6oj`OoM4Tj^CyJw!hntHpttSniW141msf)O{Ey#{nzyg}K3U@;H$5En=e#Jtq5 z3aEm7W+vUK7ou{95po>FB_1P!=U^^6NC4z)j=GExDM~3uT$vjZd{4z#5s+TE74bdV z!9bE>;;fXd%g^AJKjI?d$U8a@c4SDQ2?w}3X%O3N)pT_Rofc*_Qx{4>55gJn!B><6 z%q&pFZA*vOC&9y8!m;mL8cD5lxSJRl1=cf2t84vZ5nY`TDH<}eB)vo?CbOy0h{YA%q?)Q#6fq#!El!c zg)>r;zxTiWo9Wr7o^lm>5R?V)Zx=R?34VL@L;o1N;2 zHuZaVXE*is^`u30^mGm^RK%mf2$}UFtQ9$P?yL`Ao)HTdkpu0O$3ukXAEXv?mSBJugk|VyA!3GUp z8Dx&BN51?_JE;SMg?7_{F}hq9Ow4C=c6F$OJD$qbCZF=UxVo6OcQq){$he^iK9PPC z&M*+dVCgtPYf=Wi6tE%4=+uELLPBHmjqPk+Q|^89Gz3E}gPJo@hR- ziGNsVE4@{gE)krnCG`$=ONL8nWqwUYNStYbCzpew$Zv`xv zG@kz_ol{ah`GpHFR;7ngfDe%~JR9B#ruWuIDi5CP?(PvEw56q$rF8wq4I3}WD!RgQ zp(@a0tyoqn9{M%OaH48{0|Wd?+JhkeL0=N^2<7+rZe6Xwg5hIB$29m^NZs8ESY(jEfQfZhz;8J<)$4AbH_y>oEoL zkrus2+m+bsgYcR$UE^;np$nh~cu_tKMy5gwWT%Idk5z{7rgHf15Ysl<03lz&GOmZ; zvE~Xqqi;l}0@uJ2#!SGT`zU)<%|D`~o~hsP2wCPYU6gHn<3XpL7Fb%hp@r~ypS6s*~2t82plNvi+K^m z=wLvg1QF&EQ*=mq4G#3A6T`z&{Oz{>E$>QCI!3Cj&;~i!mb;r zbuqd~M|;Bavztc8N4;{LQxhqhb}*MeFgRf4l0Ux=LsJ)^hBAR35>%A|>YDH)!)Y`@ zJY;Va2BYAJNaB@JzrBMBVbMQM7Bv>^NpUxj>Ec^+Ff{C*YFvygxs9U2rb?V+S zsz6y>A=tS~QJ^h*h4JimD4nu{Q#=ATzEmilJD-NCjA0PdvEcy`{nRcSipsOcr{mQY zD=eV~KD35{FBBJ^Af-{1;c%PrFm~C{>Xk8q66DY;JQf|*PSp?ZhAiL)XU?7x?|_WV z)isS;3;w{9#aSR6K39gest?cPrArsZ4_kV-=Iz6LWNcHN0G~wXluEY!G53yV1e(W{DoII$chEG&>z|%_l$<2HF#hx6rIrOI`FBpr;&n(H3ow+ zz)zn#k-Al1N2M+8Y;Jlq%2W)yXZA{4X}^r|!ReI3D{DX~Kwwl#!nuqKydtY-Drtu^ zNA#|F3;Zfwi)f*;T4fzEHGuAfe?)-PtGZ5{?oAE5GD7B7((LGp=)f8r6|b!DH|;!g z@{AXbLRVn6D%C{*4AV^I@<&~8K8(*m5*S1-mq*|p-WFIOWX*h{=kQ+O(QNhFCUC>T za<=axyL5E$CA@|HfJS&^57r4-X5i(}oA4xM^K2O5GkbNSV+KY5VS2|r3hk7RJi{X+ zXQV(vUy%~6;HArBJ zhOEm=OfkzSlm25{`}*3ZjUA@SfeCmywjJI>7ttoBsJ%t^!MJ&gwxeAzLZJa3C=cX> zH_FIuvrG$*;jw3NZmao?zKu04=xtzS>Y1rN*2#gXYNg`oD$1Fgno-*W-#!gkVP_l9 zckmX2T=Sm-My>HI{YEg2R7SiPO~hx>9`p-!2CUXUq(E;9udFY^3yBUSD|GV--_k!` z0@2>n;TkE-3RBMzgx~p|1B~cO6o}8yPX?48Ar{CTO$!;QA;-};;ts=MBO~xT$5{|` zcOO#(rdL><9!A6wcoc5~MuFACXwox7$J6l8Kx*q~OCu*wkVwk5mTDTkqmXf(ApjT) zW_DTnbt$}(;AF+O5Mo6^pf2!3x>h_Vx*t`>`5hO`PQ3489-wLzVF;j#W6zn3UX~GZ zV`4r{YgD+RQQ)>1&rC-LA>rkj0Ks$p+`)+z6hsF{eEfp75e{|+dLvbA+ z9`Y!HbX?@)!cyJy4uEEVQFUc;%||iwu`GmSc=$v*bKzo|onP{tJn3=KBQXAQX;BWJ z1ZS})!g3U5?w#V{c!3df}N7p}m?G zmuhK#VIz%=v*JCzML}Iw5ULN_|MqYHPWtrYze;D%wx+>hbv`RHa(3HNrLA9`Dm#oC z?JnkkwVHS{*Nc*)y|jxs!~6`neUe{hc6bg_eqqsa>ghj;ME*|FlsN{$jEWSzgb&Lt)+^0 z@!*Q49c}FTK;9)w?i4jTT;EvrGaU<4L#0YBBd=n z)D^egDf|z%roFX#T3gvk)k(?swrr3+>63axs>m4J(>-kn>oxI}c=Tj@e>#0!o$Zp8 z`)WhF`|CTYzTIvb5imSCaBgLp4l>r@^!M~1=pnXV({9#mu{9H%L3;v6r85w~eg1`@ zvgt4*1aFZq^BIBd+?;ev=Z0S6_syF(z4e>4@F4U(;7@o+uw}S;j~9~xmU&XfLl{mB z@CtsEJn@^fnWq)uJ7rfzk19Kdqz};Puf6<=^Y9Txb^*eNbh_xvKHWBq0mhfo(DxBMppYB_Cp&+3RTlb@ zqtW>SHiKQthaR4-kgo2Qu0Sd$`3;|b z8^MmxWx$0fc!z@Q%b=e&#YmKPtwI`4Hjo+nNDC;aNc_`;d2;Z2)rf)TaEnZr!?J=IQP4OYPk~ z>gd<)`Dny42Pp;I(sC+8PzayE4Uh2#IIR4^mjE|j3R*IsjPW=*G3|~aa2U)uWL-=OZvO4!W6`0uB$5 z<3W?^VfxM((>w6a5AcF9w=D%fIXRz3PM=FXJ;%~hPd}5cT)CWHd+jxiOm?iCI1fRL z<>{;Q8p+R0jin2h%c5yH-Mcd{qpL6VbPk9O*b%Xp9!NPcx`)2z6X6aXYmza>PLW+H zO<6921z!w z(J3*4mF`fCcvnt`VU~tk#E;<~J z)JAc_%XB`B=-KAEA!7kq$=gC11@_87z@3cINv9C5V9`n!>zeupWEAO-T{{@49Gr)2 zZ>ha3LS_vL`EgYb4E0F3B@-?C>DZ7ucs^jMw$^ImAsO*od+ao5m9m~qi!zSc7Q3^% zp|UnowWBPfabEnmF1W-y)l#Z8)zb3TLfU9v)g}J*_FT0`7rSCOQw9}Q=W0>MJisn7 z4T8YMD!heE*kPi9p=3yMror~E^zzde(+khPnx1?1>Gb)H z&m_N_slUHRhTw`u{@c=ht?E3hrl(M%&bI2Utz8{m)LlKVHP6tKSLh&nHDnmY^osc2 zgPJf@vau{M1r^evOkxr|M5@B|dr%_$03U08Fl;~l^wTu)SOX}g*QARH^cNgAkB+C4 z#h_;$7F)tOpJ;tO43z?8;Z4loT0}4L7Sm9m$O9L_=S8RtSfLZvvI6}USmJD?S6_Wa zIIcvetum3-#ihk`SNv|nPR*63u^d?4DNWXQQC74O8MGYh51mXqnZC>$0KC)yLsO~o zQp5MG(Sv8$K2Aj}f@jLcn=jNy;l=hgYtx%@qn&yBE1C_i^%lDLvC!Fi<8xhj2aJl~ z9(}gb3Acnpr$oHt;;bfUMp;eew(46k%>V!-rgMe36co=cAZ~}hRlt+MfEDju#+RfC zK%R`}cLx6OnW9L`-3qUOA>w%H1a=o6t&eCF7{9%uTM;bY=~t&&ZEur6wwtLT+^(1r zAtx=l!9@%0kT8nMX^;0Jyg1D1ncwCf#sH##RR>Xub`^rk9inBJ>~;e2Fq$Kz)Zsq; zzpgq~*0$4*By4(iF22X0_{#_K9TuGh3mR<*shk1}2Q)?y2?(hb zntt=l0YY?0Rzj;wX?1xuEzB=k5Mgn4NwDMR+_`gUcx1>6=@3*#ya*&XWVZtywd+zH z@ClwL8e!T?u7oL?px+HL5UT0vXP#H9o27t-CyfMIuW)Q=FrB|}Ewk8muw#QG{E5kjmEFF1M+V6;j|5p*%63W)lR^Ov4Ig*sz*OCe zXag)91TC1XTSRf2lf`vs%us%`CF?*)Ir&r0ri^L{}G_$NZ4gB8%R@E7IKA+nZdd~A%I zvCvs~^i+WYdCWUPMD#mqBD|_P*`4E2epGp&v*_dkCiq;Pt@M!Pk`7R1kOrC{R|E!r zi_%+^?StA>7}I{{HKt3X6Igi5IuX1sEEHc_Tvq=ukT^Nor~axuSq-#O@VCeyWZDuV zfx&^^DbT)>m=c~qKA3{3R)uG!@xpz6~TNbNkLs{S=>z+0+E( zqaOmtf!nO-D9?BR2fCwM?V->!FhxHIE|p%FKVT`{z%Il%s12`d=#iP}N#mF^XqXPg zXk?KqZ3%kvr2o}^(_X>w5$C_qDFo;MjFhKl7*5^+f6Q`3Kpvqb1Jqbd58O=W3ZL|0 z?cH6{KcU3k(4z4|tqU+<^zy}X2JC1cp1fTDzL3-9`~SaSI6!g{z_af;Z{ezE)RgP@ z?;;_;IS+*GQ_nngISt4NVMKrn7sCTrv!_`UP)aZt0%ep7psXD#`Mc~KGX<477Eb` zke-o5KF}vDcZ?n>K6SWwJD>?Jcj}{aAsyim-x|@PplzEYL^3iq)?viljWYT z_`RPhrIys&(=P$oPanMhkqr#^68ut*8T?2&`O4)}4(*I|yApPGF14c7{holbf*zEI za%YU^v!R*A>6TeSM6&pr=R}pXU1KVM&8k8R?Ro2%jb@z zwdG|SX@p~az52bB8p>^He0n9#FK)OaY*2eM&VeQ42NMHcg|DF%u6f|YP^6uiPdNvN zc;Ka+>>AFvAPq_#cj^KD^_%;^Kwmn0>a_E-E1^xD8his@h~o?p(s2|x>yh{zJikw$ zJL~7(fqw6dVielO^q~0K+h8Skvr?W*3-gAjr>DmUr5T@hZ<}Y`X3@E-(LJ==u5G3J zqStdTJn#0iF6F0x{xg@~*VhwX7?llOIoY(*9@92kbzIZpIq^}wCS54QfTat&4cnsY zri`gJNfDpu7yp!nA6<_gPPq=Bv(To2LQiv=t4*bcrPZ_z`-xQqcDnY}_EfG*?y4mj zW2H1Yv65=i=jFbJG`p?3DvhZ&&(ZZM9Z%I%|71&sk7PsS*k_7HMsKS`xV&HSI+~7p zN2;oVAOG8rQnPJD@v{t+wn|GH7#vCSGm;+}N-Yw|3k{`orlTh{NwrkB_R^+w=eXef z^{qQ#IkkvJSFc`6@4okuamlr>js&_N{1(3VgWO*T?*EE+JZHW@PZ1B_ke@iGFYeAm zVHhMuq4^zwXXn?QG8Ez87^03>9JvlW9Qut{ly~d`;?9LZ{EcsX!~B0=e8zN(^XeVm zB6ym2))~^NOee7bmiNRzef2`>>F=>Vy5y%SSaSXL)H&X?vy{^+Q|L;P)AK z)ZeJKP!7M@dTZEhoCN+!Ygmb6JiH$b22dAee%X7%m&FILQA;i((nXyxDZdZW=~Yg{^vhYk5zxjCgmzi` zC~+xOGlSYqTH2^M@;8c}oC$)fj)kn84brT5h~cB!i+YR~g-|dYA6yn<)i#%>OGbf> z3=s;ek`9jxtB^8qN5O0e`pn9b6ro@jvBw`zsFRS;3m(d%7V1;q;e2&5CFc>?sKEl& zorP4uhcL7K3wN$zlkr6w8VQ`)JH!Z%udWhFQkYecx-47Gy5k0C*9+D=Ox4}pR)zNSicQ7w>2=CBd>J)u&J2PUwH#%mQAv-?k zggu=jVM8!!D`oI(*!7&t#k~kk*`WabXs0;A?{j@LTKYUzl?$={rj0tTe7fVK$B%84 zV0@rN=&;&5JN#u%4q;+F5u*t5FoLt;Qm$Bm5clxmL$7xL9=^%K)B$TGD06Lj!E|U> z2mQ*cud1z5EYMc%o|G}Sq>(jV2@D0`MdEZ**tPqd(FcYC2xmUKskM?eWWX%1YzU80 zRw&fM=um(TYOdQ3FH)|9o-y?J&7EK)R(ZuZs)Om9_*{9$JAtVv*b1|gQ4q4ii0j1{ zU-p7j=9w80G7k?8$93l;giJS}d@ULp z&{l2p?gyq=+JtX*X|NN5!_D|AGaf6>2m$6T;fBTC!^ej;nAr9r(y_5oAE?IkoDE6A zHa9nGLuCB^sOa`UeBCD;mt;6~sYBPu+S_J#Bunb(nm5EVTdIR8qiuEELQ^XSnv9sX z=5+GxVA^k6OS`fZHh0(4VoNRUE1PwMuD*WBrub#upXJ|9$4@00MJb(?PCDPwnoh}h zSSv}#sK4qxrV;G?cH+E3sU6iTwiP*dh4(!fKJCMui7%hdkFTVu`)e9hRZWxa-I{P( z5r1{aXcBFekIj%@YwJnPGLH9mq(77fKUJ&f^q{_@!ISiocoKTRAHChZo<>_(n6o?+ zppow(>3%*4dIyieH({I#PU#Ss5#1bl4nyW6g7X#YR;YvD9`s6yO6pxE@myK%(F05) z+6!9f8R9LEMH(@3l!Xzmj+n*97$|3D@Q!HUz?9ULYgf~A&pv0FM+Y(ePUp;Ejq^!Z z5KJ7K5cuFGaSe^?-0_kt6${4M=8C6`9aG&seW`~-?SwOqwI>a_`h}NX5-;&N588`F zH%eZY)15mq0Ey#I5A3YrH!@6cyG1*6Vs00*icC3)euH1+jWsX~{wM&9=XHbhIp}oo z%XvH#6OZ)V=yp;cvIDwkvpp&L=S8N9p*ZVR#i5ft13-t716~X%S?hSk;|VeD)kc?D zz>8r6O)2^DKhVr zP%&Jr|DaZmC~r_2rV4OnL2O)#xR9tHq8h;>46b2D@4$^gq67uyamXHpZ3xQIySqoRX;ePJ#_ADg$u(dGB6)YWvlAU=VmxmCptu?qHM6~C75w? z#hZq1Q;gUM&;=!Bua5A8)EBd9FM?dj`v9dwM# zKFu__LmcQIOs~E6s*MXy5%oF^U3?Clg=g&Y0LITh|J>6tlamwatFM1GJ$^JPIAz2L z*ZUfwRx0gjX?ZnWzj@Qj+bGPq63if#gW={D7t^9ThLzPd(+I(zoSI9^YujGL+@K!H z%3p!D62K2QvFF26HxYR69RqsLGyG{qBhUp{*`muNIZNu#JVs4#}>FslP;LKc_9DR^VO|7Y|)a#QnTiV2ztuj&v>uI$* zo>I?h8e1II5OXu_mTPHye>*L;0Vhfptjc&F(I{1M+k*K&8E!~_t0co-`s178$CpxT zdSS0w?UjMnno_%rp9}5k%tVIMCyuB2=`|O^x}smtKA;z4z`rHssNP<^h19F+7PD47ebI`OUB5*>nP4;U8WJ9Tvf973e%;8aZf6 zJQvd=&^606@ASgIUAFWRT{(kCp#3&uy0m$c||-rJ6t|HOyGB>z0n)M0jeHPJoMQ#tmEJuBKQ--wkEtxOa7*&=hL(<3?pyuP#HFg zWjt_J$TQDAZ*LvC8az-g3${O#UT|BXn(F5QryRZq?*lK}uGx@o--K$Jx7v= zi-agFl~I73(-U&}|7*jSr6q=V*PY>2U35=}FshLSG8nxN5BH_cj%uoEbbwpfD}%|a zv>=8#Lr!PR%9hppdm0@u_eTeXFo6sdHiBqF!j~&^$IEm9mNXaXP^4DU3fxhG2n*9O ze$wVCg!RGkKo#2hCXDh&aAGNlwW4~v@h9{@|~#OJaj zZKUJe2VlrJa=RqVbO z6SOydq!9RsUl;2`)IlECC<%oyGBo54k{tx_59bSTC>Joj_x=Y`_To7yzoUi(#!-vv zW=euKnYX2kXfMii2Xy1E74A8YL})77MhO{bK?9QVn*jW@-6OyPcX(Mc?J+*dCT?<` zT#gM6J;TrNprCQd(*f-!c7?g=|&xjfsp;Mr|O&L&lOPHUAR^2ij=mfwuijg`V z^NDl4y!sU!8LdKymG zbl*=^0o^Wbwv|e0Y;`*g$vD07`F2{HUrVxugj4i_clD|+=^b^tYjX{0^-(N7^&m<0 zVSI5`2j>p4rmbAtOQ+jfQ*D{)TeaV8u6BrzR$EGmWxoPca#{7+8qlJ2nd&~;Xt_Yt5%**uAER%MV~2;>8N}d#fNthI5A-vCS*lT9O)?o1H@Zjb!Vz! zL!CGQ;bt`K&)b8?B2Wf_{J;%Nxaz4dm@|x(pdqx3(F4yJw=`Rsb;rUkrEAx&NHCsG zFTV6lIzHSl%Nh?(ctkSnbc{Uz|LFR!V9l27PVCF7bLx0g-uvra_ickVFat9f3}y(! zVTcS)h>|!Ah9ampz3Qo7q<85-Pl_NZI&p%7A!0&sjcEXb2D*XnzTJKA?d$z5@BOKB zP8t1wE5EENAVzs=*WTaWX;v{M_;8E|L+nhWY}ZkqfW`E_yvKX%>BYjI-iUXvyz)wII0O%VgBgsqo<4Xl@7aV7-oN~X zG+aA(r0%^wHiSy*NBO(==N>2~bszOdKgJdujNcu%42)b}Be);)j|6e0 zK?Ae>|NOgu**-q?S)XT=Jb5Wd(T!`@YVxUNy9GngtXHYd%C*^@JmhN+n5Un8HZr?Z zhPyLos3(SU84f5GMW}(&n@%Fq)RtD4+5tO>iV^&|z`&#RR1An6J9j0{N*P{%_1*8a zPd@vs@Uq|#v_l8m!Tkq{&hs(SQ_0$S@?v_9?|$%6rGi~G2 zws!Sg%DS1J?_HZmg@;3rZQ+M|Q+aPlCfD-vo_1{ei*3E_jKGJ^?La%x{x|J#`;Xc} z`zLw(#kSh!$}6&C*`ath+n$4S(O;>2kXX~3(vPuX*O@bSVy<-F2M+_JcYNx1FftWB zhR=vv5(iJ=9rVLTV!+RwO$$R$y>@bE<=aayz0|(&g)dYOyZ9mT$Qt<=@`PrB{KXm9 z2=zPVh>K^*#|V{QKDq`Rg1j`E8aXOj9?BcMCR7=|C!Px*xklOIj*gdVdyfb3ECb=p zsncbM@J@d3Z@yn1k=wU#)^I7AuM=qTSklwUhCG#47?|*?xICTjzyD$JPlvzb38Bmv zsru&e=hj`4+!>hsI!&k7of+4JTJ;Hf4z4({^J?Tz74hv<1ti zp{4Gv7vCimPo!-ShoK;iFzW2?TX{exWf+ojVl?^n9({c){7){S>O^mZR^T1!)k9i3 zdCTnLN`ISB5gtCyU?jlc0tOikz=$J^!bf}!`Up?P`}YON5@%c^uYX@ChRZiqDh4EE ztg^hRG)W7A2lwnv?{#l|*ZZ_AQ+%*PeD67}ReohPVv?j)zX$1=+er_>Ftb%PecapZ z;>XGt)0FX&-;Vzt%;B9n_+G@6-nTL>JAO2Dcl2V?bNktQAGK|}trECZC)HXiTS21( zH_0bCRGsCG;gs2pu2H6Yhf$gC%D=lAB_(YbOl9i~g(%UL<@wqq%ldKoFe(V19aJmd zD7fjZi|uk6joY`bS1<7M&rhd8oR8Ao(thzD{8}j(O0o{w3#^7oE$>rDjTamYT7t*C z4)Eu(J^l2_g3~u}QhsPd==4}WN)LhOWu#SBXS=SjyqEXDxgehk3P=#AzGL#>$#-cA zM>W}z(DgWYz?}g&U>fP&^-R9@jjz`#nwvMT*LQOsz&sjT4JHNl_B-#jEI>G_E zkeP}*F-&G>=8Hzv(|m&G>Wq`b-MO;8JRYBY@^MmiII3(t+kEHAu#A@>TJjtCdB}F| z+}_qFZgFssEj+q;+1*habH+X*jmF9lLiX@1^DhUaC`IUtLoeZQT)d$nDCkj85@2IS1cc5C)Q+gwbUFHW_Yq<^s3+Mh0c zseO6sUj;LJL!kMzx1vfS##G3czrH`u zx7yl;`|Zk)=i3M0zSb7DF1L;Qyg04x-M=pe?{d3yakFi%-)(bOZ?~6&$DJGLWIVXr zLe-+{)~$2x!ht>QbYQ&~9$#EoZa?_J55v=U+p{qOfAZ5e9_cfD#Y8CYYH%5VJ0As? zJ#@a4ulM5AcVC`(9{1XOEP)Kko4lChIC2v-{o$%d`AIQkQcxb=>7nbt!8h_1PZW2a zNb@%sB0`6QjA+EWycx3RmAiQEeDQ@)u!sKI0J_(Zw0G5RB(Zlj^c6YPVH`Tn`#?}e z&cFB<|Dq<`^Nf%wae0}3?|=Q*Rfa&vfJq(XKXiL)UUinAZ{Y0p>bQ3IjiKQ_-qA&1 zu^R2U7hfoEV63XDzw&uv#)-OBPEcC=yhf1AO3}nwoKTj0_V1;2MBU?mj*SONOq=w@FY?%}1y#RHk2#<734B z?a6olzIgI@GCZ#I<5D%2b)PU{PtxBVK6D@m>juGcdOqepXoST3GV&sQB0ViE2qvBkPFVi2znfLMYSBM@)9q17UWubaAz-FXrG_G z+?Jz^FJ8P_%6{NnxsN6R!R_QZ*h(!if}A=NsJCH|QNGQ@YAt(ymkTsetQ9vtOHhDg zXr+#2*N~`tfaSSR{C!jQw`@dkS0j}3ZU2D-btc27AD^z1LLFMi9m%r2yKer)bS=f} zP`mQ~6S#2Iei`}Zm~F?9kAQFLtd!t`!FMAeo=X9@a-?gKCmCrY^+#GB=AUtF{!=z1C-`E-7hrs|#aRad;8cZ46$=q(c+_$ebJhK)LkJAyRu zVBZitufGbO@m9Eb2NB~0>njoEt&vtRNT-P}q zJYyzI>t$NjC|{S;oZ(FVymj7Dq+opa-FI`p*A`>YIJ$j#`$}6hzMo*c{p^{uHGIeu zW!Of!Raf~Xj&JABoomm(_s-XMT^L6IYkt2s|39*U7mJQcAF|h#5k1!c_`|j}Y zHn^T`H`mP9xYsUSx)XyZbzZ+6gC{b$t;_0qjL6Nf|F-4OXj`k*G5_b8f8KVq-wYJ_ zP`Zr#1o!Rt+F#%Mto=8q@3!NSwe{)nRt&yd7fn7b5Zc_%yKO$k%>Ed!>!+@?%b!Na zM2^rBmYIkRJ--3?C7kJ>gf zTU&`6cIGX3a`Ipvs_u*jLm+g?L+tkWg?M8=QLp<*+l|IDM7C~wJSRk` z0&=f$qD0J>4p5%xp8n1M9Z12wKPHa|oQnMW!iYaA=z8+`ad@2f2qW%Lmg6(#5fDn_ z#J#wG-|i@~sp`4fJZXALx*#`#CjAC4NPf!-f=sq^6gbVK_Ih26lnUTWTm@F+msfgN zW+Mxb2o|XF-I7N=z8a?s4C-Q|7>(`yXb=<2xS_6w39O>HefL3|U)_-g>vm0cD)&?X zqr57}JZZ;x_86YAEiZWCMY)BEe8T`WRx4hx6nk~({ z;c&Gpm#@T{U8?%dFQgYSKc5D7wG_pH2&?jJP$mx>K!L+ti$N!$lvNnbJ9s*F>`0&Y z5qQ+Yb^hGh5(tgZuHCz9lOyvnG&uGfU`&~mNKvS#jdn_r1sBB$$$Nx)g1bC07L`3# z3JJg}exu2I?1>AI$-Lf&pxRo<6G0?fXmuo zDEvu}7L37L2R%GHCr)c;&tFb`yR6oy37qcg#>ncySN~JgDOOGYDNp5a9H8>8@JlU%NOeuUI)&- z`Sx3pDf@WbY^TqjY462&WJDT{xp?Vfc$vYnm^}9fru(5$dYY-0Ex*mJ*tutCDeU_X zqr{Wn!s1-;#h^`{LjQBuFSN}V9-FDd+(H`lnIyI!$n3p>`>rGUx5ns+?%9Z{*fKxW zW|tzL7Uw12|7G@f+suQn2M@uU8GgmhGwsIx|E`^xzTSSkc(;9ib*+7H_F6j^Lvv;= z_>TTUf}J}bwByelYHJs+v}YolUwG!%+As4?rVe*w0D(tyfA-XccJublG`#a|<-t_j zxMO}%8i~mJz3|J8nS1TJ&DByTJZB}xN1uEWd<3i%#93kPhCrssYdEE7SiDkSdRzg| zjWGD|$xu8Q!c$$PvhYxT7&`yOU;3qX^2G5vCAT_y(&jR!sAMv6HJX0kx^=Vk0%P^? zp~JO5jeTD1&!Z0Xm_mxDp*83=Zv$Vt7{FGI;1jD^95rCaXB~!5KKdAIs%-M0yLuM> z14Hlp<(~ZQO{0^fQ6Kt@j%Lgjjv{;8YSq}6YekjJ@GU%8N%yn2-YOjVO-_d%*M3M2 ze))li_s-YqlqoB}hxfy8L(tW!25`kQ(LA{&{mRmE<>TAE;L=4F-N96WZskTRc+7j5 z?XMX<`KDY3hJ0KM51nz|^@1^R7-=7N=87C9>kUDlc5kyYyO@5d%^YXiF>i9=LkNCMRJQ2TUP2g=ajulyQi`BBa$HhPi8vc%CU|y>rN< zlriS0qZ>kZcXF?*d@)8bRD=OxZIspP(b`6Ep<&`R_|cEwZ=avJ*rryNqTrU>sWa#5 zJdO>S!6Uh66+SJ(zLeN~rRq=)8w77V4T6GLwb^z62IC z_-!H2Fc2&u_1!)Tv-9TI%(nvvkCY;I#*OW=`w&1NO})W3>Kh7`K?DvoaK!fBJ$=1A zSkXfx4!=%LWu$;#9%q7&zy9mLt_G%D?FsNo=>Eh1@IS1&{P~~%d99eRNt!a$7W^F- z1BQgqZQw&$=5@#i?UXfe=Uu^{=MvBa);ruwD~}P+Z(B?&&wGYI#o>fa9K4|{JoWWo z`1vURt?jkH{Xsi?_&^kvz^_ZUx21kaucr?dy@> zpQM39b7c=)qbZoww`fBK!Jltj*^CIkQ>~sRW!MYG-Z|lH%pHR)z2n_G+lw#0T*EV; z$LQcyf=h7Y6?h56z5Z}9p(A;G5JSW8thh&yhqu!qIC$h>c~K1q@p6cJFLyXQf9YJ? zv3I%MUcV7K+-iG|>`3QfyqCdIDhL}yEFTstta`dm6Wx8rY#*#Z*)1j zYO%H7pZP}n`ojM;b$BILH{e=3)BdFWAKD+cx7+{gTI6#&jmJie;P*G$&JXUE9#{@b z?SE>a-TLfK`{LA6d;Z|Qwlwuhd+*KJcIDEA_Wp;TR09$FtG)NO4Z~*Jk^Kj&)3lAP z6M5Cp-;IvFcRTX;&S!1UzWvQXl&dQ{+F$+E_dEXurrZkmaAufr@D3W4F-+pZJJlhY zgc1Ky+#*qbHv(MNq{Ia8858#L*tN1f#%SNa$ev8#xDfd;Z|ajzKPwtCa>tIl=ymd` z6ZI^O<;Y1DsL0lh;x%$?12!H@JZ@5|m3{cm1X8+?w}QU&+c2La2rSuF{^G)1J9+$2 z^xwha8@k9oHijh0j?LL_-MSq^`C55D<*QsL?D9S?FRix!{J;Fq3%5E7zTxaC1qOF%!8oE(_gU@+ac;eE)R=KI~ zVtGQIWD>y`t%`G}?f z#vkD}^|opz&)rbz4QddQbZ8!!{hOqbul$E0)HjIhd80pkrikK4mtM3o3-1#Hz~*Q6 zK-rldoB1xcVtm+;?!rYIV?B%_eY%}Kccy*vSsIPtvFJ{jhQ>R0?rQf8U8EOCkvQ++ z)8ihjUSo}s+;4X^iJqIg|t?+aZ|9zRh>eREkK*t4(w z(m(j6G8`#oO8kpo{9=11IJY{;N)&ZP%U<(fFcuLwxSpK~eC5*B(EM(@A3k?T9J;`3 zA3DU+fdyRP21^NN=NH#dz`S`$5n6N?xA;6F;4V+d#Dn)iaBKOp%x~Sk6ZzJd2E&Of z?{P9Q#YwNBYv%Pj9pz{Pnps zt{2i^Uu;*dU5`##4USTmjR&DcU&&@iVkaX%j1H>RO@Z4Ss4JHpez&zI=dP@7Zy(1{ z^c#J|gJZ_!gv1rpt}1o$1H%T=f8lR^Apx72geIP}m?xDIlp(|J00Fc_R? z&z&#%!^`Fo)ykFR&2zEcGVIV6Z8p1_uFfMv#koTaQEi!%yA3hnC!UoXhS|~{*p~(j z>oLxFIL#fA&M-AulHsiw6lVeh!y?R5dcBVEFMhQZ#9SFK2hvfq3$r{d(e=&VJzx3i z*V5sc?{$sz(KC*^c>C?QDnIq=`Y@&CKPc4s@EZ={$9v(?@04vw%zIbw3{{jzI4#{3 zd9w;}EZ5~lvSTc|3G}5P-)akU9~VC8vHBbs`7^LanWanfe!$W7XOAnrcZH+mBlO`I z?NQ(hBNuj4*DceFd(!b!sUFwZB1G{<$OI9%ODj%#43qr+PN5e zAkcF)77!OCI;+7lJ&HIOY>Q^NQ-o0_Tu%&??q-h>5aNPIPf$>fL$JUAx%Dpbs!sWR zH~Bf{{QSim?QeeaPMeyWZm*^n{L`Pj(I-qrp^hPqQBNx|MqWbqdi0e9Ec1aI_3BL5 z2{hh|Z{n)mG)-miFHUFNZlym+T%iuJ3jEsw118^>UdX}2hwJb! z%Fg*H!G=N#9_3TUEajqGn67XioSOWK$>n3ELZ_h>0JqBcG0gGy+izFTx0fBJmvumJ zuT>BU%6TT~zwwQ4)bqdkSO2OQ-t+JL&hONiv0*MW(0D?}pZxJ3m+|!6bI;WQaW(HC zbr4wZC2)!8B+PJo8Y{ftaeFVc@9t3wwbS@9ZXZ8~0>N#p|L`Q3?eyuJ1|Mvc+Asg| zFV`vA zPRY4H3_9j-2h;$R>a{IF*|Ebr+QOZsw(ZuocK*!O7ERaQf8%W1yd64knQIU4hL6zp zUh)s0?OI9if4Vow%d^WxUwFQH;ac$epgsN6bL~I+XaB6d_P0N&j?((wjrJ#h@~4nk zp*(K%WZ{=g!`YLvFp+~1Cj>wj!S7BZne;H4H(@$3F*2TsG0s3htMg~hR+{2tG!Gf( zqFe1gypjZ~^u^-0scj#Jfn z0FR+YXU8zc7;<=Dp3+t)CM48xf%5@F3M{zfSHC9#j@S(3sX7UzFPRm2HGyyZu5TzF zL8K9tr_EFsVQOk-xi%~7Oq44LJtdC<%@)i~#zC0C`7pc|-0hSbC2HA}V7`an5J0h& zTahIfB)gPX-X8fwQY4=@q?es54N}1$&{xc zdh=GhaOGxOwQ46l6mu}ZQlN;RF!0168-bFo@%z9;~f)cdoy0*_A5gQ5t2(mihFkyTyPCZ{3v$b#;u_`%% zyXumcwaHH25e5ROXAMUqm~15;#aI5;6`n${LOEEv&zLIXU?6i@(4Hq9(b(1F~VQGJ3|n~d6FjM z5+8pKJ{@&80a$(2NqJ zwerN{1t{9*$aLoj!PzyaYX2rLGy&smg zrS0F__b_3Y*uUTlUwoy8;0_%;R5US$t+zMwh9C6w%}K2I6kq7M8UwZ)@vRuDwTv*0 z4@s7m;bOQzT)1VFsw=n!bhkm%C@24iZ=(ctBEpyBz2I4>I>BGnIj|`&xIh;k6SU}b ze9-4|6;8OD^DW=|oR;7xu$BRmma+P4V-PSe);8^w-c5KzPFV@ z={yy5(%`DRiJ)j#2HgZ7_^WHJ;J(P=zb+&2Sd>bf@;JGNK9#2KL!LS>UcGXq8cVz; zC7CHb8`|@Bi;E|D;HJadH^N{0Zb$z|&hAH-t@q}RFTlN5OLAKjNuNiI5P$QA>QH&wk%?w21=3b+|8-7x4piVy7* zLRKE_Y#sg-_sutd)^Rhzg&*W<@a|*$bbTZ3&{f0OQ8wPz)^!}C({#~DI&G8Am`;$O zBUAWITqRu9CUQEKK3AzkKo%5pcb7-8y=Fe;mXaY4X1^ z>fVv=H!Hpx`_PdAqK7?~-pA3Shth+Z&2xGvX?VEDY}zA~l~xFk(jh#_Un5Z~VG?`; zN8dTKy8(SSoFcA?hE``Y`!y-A zuO#VAr_qx}J(N8Jg+T1wxucdm+Dil3y;lZ-7{O4502dE?Vgsh=(80LOC{LyBgzS&{>HT9lBCPLrK&` zuRL>8TZRiRZufKNF13H~Yro!(ojBf3#dxFm1Rgx_N~><%@Fhc0BDRjUMRqN>O`gWW zZGEn+S6}^7yLIz=ZIN!j817^9I2`h&J`4~mo5+bVdMl~mm)x$c-EKE;+^o&h)J=H~ z&sw{AJG4xUT%DJ;)Vw3~(MuEHM*vUnQT2KYMei7O8bL=c*xDVUBEi)FiZ^I7@LP1s zpMPaY=9@8g@p(K-F2pmOk+?}%_hE?C>DGaP82N^tdfxPoJz2I!zxc=~xw~nDzq@Tq zWU0%08l#QdNpr8=+nW3rI={}$+Vkc?JFug*-8&ZB(Zln>{Z#wGnQLv=>QuY7H;viS zuJ-#Mq!EsRapr>U$YVIfz*x@}`=reU)CXxFwS9Z$549zGjXYdvTkgh?xEVfMyVn*T z%(gvyceSI(_qTofV}RY8YIj1XEe^)JAN>*23O_SOtOjDdYNU+mFV5P$Z8Ht=V!OAY zVcXW;d+)u-?2*F1-HeYOJyv|cTefYwAN*D;I>P&1-vruwCd>o?nSYDK;r#%IqAGNgpfxovIR?&UTg zo<9`6#S3V3>eT7Zb5Fpf9Q;V9IHtqu8Qw#>amZ)EkUN8zeA%|(%B3qczk;Vgo{#Dp zSjk@TWg6kHef{gDKa~kO@9CvC-gxto9_s13-j+}A+;m)wOP;>NNf{Z1^PX0lMF+sq z&`-*FWH_ZxU@%P8PtEQ0P$;PBJnsTzrR{YoNeXTHyCQ4dCTa7l)17P&*yYvhm^b+y z`gj@G157^lZ}@A3Ei+4p<(NkaJa&cIGpV;hdU{+b+H$V~x{%YuSdabh3-Vwr=;6^u zBObJkXXxq^R}gVhc0LUl7#%J8^VR-gTryvUuB9Ca2_vAfa+? zkR-n%Sjs74Au_}yIFxKAiq;ASu1oPKZA%pAxwz7kuxg>K&A{S9XB$LO#D+|?6}F}2 zwjC>DOqfh2y-^9yZl<01!4H4h&R)Hdp3vTQ@Ys=Rgg^TD<1lexi*m!JRYsmMPS#N*#XMa(>0k|H*$~*Hbzw#>;myjAVdHUJs)2q2zIQYR2epE^ZU)mpI zd8Ho@@9-yifEj$o*adjS&+7Ul9>kT0cVL4L2A#X$y?n!&^}=b2uC~*s`$?IM^h;;Yw_o|iU#hy?xOp|%=@s9MOot~Ob{Bx; zar~*LipG|s+C&VFDJ~ZspzNofdAdALP`~2O32x*+bcy#>ZtjJT;MX(b2X4jfeA4v~%Uwy%U+`ajGyA{)`&kY@s*Y=lz!Gn#yCEeNTQNYU z1J?9ryhJ!q#-or|%*yY`^C;XJCDyKU#* z?QP$#!)+->MtQy-y5?%g?CzzzNp~*>-b$N#(Dlx5{gdBnuYB=q?f9{i?Kl6?KWTe+ z?|G!>-hJo8I`r@8p`&HY!_9&HhXQ9B5J$jHffrl1<6^#Q2U5#%HFTl&(+(LB9<-j{r>A<^b zi`xWz`d|E)|Hty+z@r9T+43|Tqf^Ep0Snmx(r5>ZhZEpI+1`7`^Fql++8Q0gos#onivvu*iAATAE*lf4cOWbqdK#kp9G0vX`89@{3m&@BQlqTf{o@>_}%|BIV<~#_FmB|o+XM9y& z)P#a5K|Q5Ycl0WpMX9U%FfInK4BFE_>Mcm?eUIBcNAS~?ThHGGkJ8E?4ERT9V8rVa z@8C4gU=%-pkXZT)G7}%|l*5=J^Wb@L-#psXJeKUsq#)C8@x6HF@?fUiTqZlC%83n1l4tY(wHBMOr#^0dRtO$ z5~fu}3`R>|ucRR^66Xp=f(y0pvQR1?5`wF`CcIEy=jikhY`jXKe>=|6c+egUbqoNy zhpy}CD6IqsWXVwXG-EPItIg=buTzWjLEdzDcW%<**;-!bnn+(nNeSAAJP&^2^}&Y@ zHZ-|Z{hfWZw6t7uayxpeyw*uW_ZR{;Bx6`t9@-aqjCgdx&wcHyk*&U4@)%NP5ZRN3 zM{($l;Vs0A_H8M2rRM65XL<5@=Cx7s1t(7?PrQvZwxe@UFM(9Y?+mymg%Qdxezk*##H9iI=vwjdClQn*8SJ z>B$1~^xV#G^~54-@Or`lfPx{Rze`YZJ@o?na~DF@_fw1>eCv7is|)<2tHqMr>PnAy zYB2!KN3fi05(_pK{#1;S>AYi*a zM3k#>JAe6FyL$abt%}*TcVCEY28fYMdxC4VOGE#l3v_YNuPiaqX7jo1i`#!|EX= zJ+Bp)sd*rN+n3|R4?m26_OVbaGZ+WLJMX;Ho`3Ge!iz~{PEx%WC4}ZBbh(~;=GjuB z+|L@$r=ET)#_e+G?)%m{dS3m|!BGIuKKD%VnK=NwD3-t-NrP-+?WnV?O-AdK@Vg5i zLm{8~m3Ml8!^bQZ8LCId;sXMtZMKx)Zuq_3q{zK06^tiyy z0}!nmC&)|iUEINU$t=HJ9>ZWUhtO7YMHGuFs@%&Yjazd+pW;{{>FoL1MP2TvzYJXQO-*e~N2Pg@!|-{?ksPy|!+qDwvG zKh#N_$-9!llrcPBk91tFPPZ-x-iyQAS8|iz^z+PG5R@eJIBuYC4U=z;5waOQXY2e= zKDDP$Umk9r3pfT3mLWQMrk@PSFpS9%{&3w+y~qQ@0Sr1UR_WLk)PZntQ(Tv_?OkJD zNUan}kgrMK40`-(m`&iB`QZtO>InuL1Pap9GqozSaM0h?x8wyjdX^z|EIMdk z^ns%scEvC{us?>=!a{9ZLHFWa8@^pnhrymGT^_J(;KAx8S9y_t+WQ{RkwKWJ@TkA~ zmw2U{@?jWN$*nx{bQQS~yz8qFd)gU`J!Fv3hnu=ioSK^55hFxIKy*MfJiYP3EL$n-dWf5w&h$gKxP-iNJ+PKwmnQ9TcfB7j@${np_>?c?U|DrFNy=?D@xpDR6z2|M9 zoVv#+ARC5B8U_#}<5~px^y!Q3;;mT7A>PrGPo=kbwU&;V)C6edJ;*lo?P& zpJ|_;Ivb(=EDcf^Ht?C~x467o$Jt}nE7xu&zpli=e>Q?6Kx^q~ zgKwIGK*Q;+f1`RmPTl;X37h-&SJ{92{qILX%+w)lmJ8aYQ(ZM$|KeZ#7wze% zpQ&TR8AydA_{w*JswWc;%RL$Z?E?z~R=`u@mVk!0JD(BuG@5}SPz8srmc3rc_1R~i zmuKnpsna$2)ppE=icWoYs@=MNy={--bp6KFG+H-mhI}ngPdN+AmN#xmLvp7)Hjc;N zvAR99UP}JcG2q^cVX?hnFT8k~_C-^bh=CW5$dUdE5V zzwwQH3Ok1hi% z(d%%JlyfI_O}}AwaW1?v-8Od2wDsU`eJu@eI#l>?Zaw_H5qVD<^B$(|MAO!D%Dk0w z*1{KeV<08Y?dXw>$kg>K=@8uBjIp`dZeF_;L-BC?(GP#xu3x>@E?&6S=B5|g>T(*^ z=-_mjD*xH7yW5t{l~i^nhU!8Y+zZQ#Wkf#r{Il)(mru5n&+luWok_zTxtWg9wEp03 zXnQC4x!sbtE**z?cB4wHZ=1csdbbX zTzCUqedsW-B#)|M0=VA&1w? zsDX@qpLjwj`fj}l6wrv!gDiRheU>=S1;a(7(2WlaP~M9eAa>fE+RCl9975T#lo1lc zWSben&PnKLs?ko)$*}Gk->P#eMbI%vF|#;gRAnN_Nxo}`iYGGVo%hp0B&MLyI%gC}{7CAt)zdV`^qpS%;NH(r5xlJ5xWE@;r# zA{6xt`I1*{YQvR`xl@PQlO*Z&I@JlT?dL!+1pLGJV#N~3xrN1YNp4Gn=(JHM{X*st z!U~XEjM#q)@MIz+yei8ukOrk1uEawdy=*R4W3hYp?XAhF;6ZPVaZPrEe~nM7I~y3j z_Vuq7jvR^xeno498Czg_{<-HWzKzhhN=?Rvs}Dc=uoOmqblyujJSHa0%17ChkGT=N zE8rxo)jK?Yp~`&u<(JBMvIFDarYFO|Jbv_8;q~43-m5s@_~tij6^Pyr!^b=I7QkUh zrayPwp)0!k<{4RCWUM#B!+qS5TNC&q8+&%`Ddj~*&|&mo@C^ELm2056WbfR*UK0{8 zr@^>-?NWK6cv5(P4jw*Os|MiCWe%0$F1P{HEQ2ygzIyF?;+h2B$Kh{AnazdYOjNW& zhL^4Am48uKLgLWxj(OAD9HJtQx{eojpqf9_hqqg!YMn=5Da1#3#DATS$P-!acz!&Q6+ZEh_YY%SR0k$t*5qQwP7DjOpf^e0ecO_r(55B- z`E8yA`J$b11wV9nu!@)ao`A)9jZ!LWPAn?Xe zp1vPlrbW%a2X|7={qTAW5*@&8Q#^yoFQA%~x_O6ZZoPf{(Pvff8XhPwM;g3bI~G^N zv-g{A(dk(DpkH~07Up=Jqs#MKV>7j7Hhgj=`P^+gb}zK~r5H_j``m=0#bm`zDNlT> z)^OLKipCwElZJUhqfV^ge)8xUO?dAt0XKgL-yT14terT1qJ8nzFV^nN_`|Y#1}6P> zHT-59eR^%z&fQg?^_0E2Ew~{Ys|)RcU1OuWHRv}YhqcUh0uTKrj5|Ja>3rC)h-brH zxp-8)Q$%&bFJHM*N$h82a=Fz)a5Ch(h~@vnapwgdTl*T}As@g%5B=mPKdHD~hf0S( zLzn!&n0kt9s0EHZ<1XzGk1%zpT{BP9d>AXM_UztS_%Y{?F>jSj!$Das_s z@A)LQ%yTY6?r+V10f>B=5L5dy zR7F!q6%Dc}k#beSLSU$}{hGQTgtxYLr-q+_5%_{Q+gNi4QSdA=wUox#m>~_`4+;*M z)zq%2rJN$Hi8w)e2$4L<7DTz^-wB!D@RZ;^<7E6cnbY$yCb$Fzy>D|JG>~hX>$zWR z8_CP=gsFI)z>1Kz=SC>76u|>WB9zd<*%07@DRlwM_)S2BAqbe;XG)=zXzt!at9?7b zT@$_Xo)C`hvLS^Mk=Qr+Ibjw)Y^-(Q&|%nbSFYcvS@mYn4}+-I@dsv@E#+6QC$J_^ z>KM?Bd@fDnvkWksOlj~fU6TmBdf|oydOhT;kx-8a$s{P3f4otnE~vgO&cr-I)o6O&mZOccb6FTPacy7J&TdG7h= zN-*C3*<0;G=*E~hmh>MaFAC|)Up53Z)hz5o7uWkfnJg&UVa^6S6;>vaVE8*jee z{^U>oxC}ntC-{IPO(7gjNLY33_zp~y=loZ6FS=En5HJ78j`KYnx&F+FlO_BoQ-5=H z^wcOjb*0RCh|Kht(bvEH<@U@oC#xPQJvdp8(Yf9(Tyk#9g|>OuJ~-Rz07LHkl^xsL zN_wC?Mz+=002Ge*?`yYWNa9iD*@R8+$=NJ+bF~TuY}Hc@kAR`qjUtT!8HMflxCHg@ zyxTnwWgG<0-oXM{q|ERh51?U9JRx3JU=*948vT^=;5PA13NN0VlqJ5h7z*Ox-I6)F zC%m8&<9i*kkU|;4AQWF2R_QRls7EU?%(WW%*SRuP!(yxOeR`>hcsItu!+X3BEzcV< z0v@#c>#0-3?Z)R<+l>!zw)>aY+Wm94+LjyX6kJQU@>$94$DdHvU~c|&)b=^XWOSAe;V0~3|_p_ z=F?$J`xPF(-RAOaGeUdiY{s}*UOEuoKNv&zaC+27BLCsBeLLIky?fiXc^#J+Nwai! z@6^!~{g6R?j2;wDv2Fh^&uCbS8gkJU=<1JG`S6iLwS~IP7wLh|Pkmln7ku*B$90wu z?;Cm50l0PTMx~!kgS)bScY35t?ZJ-4Hn(HB-CLS%_afgvi)>zwVeO*#=@V({U*M$( ziXTF!!HbM(JF4nD(Ag%>4+DrSGFIso4L#oDQI;=b*7syo6~${++vHC_+qQjaX(gX^ z7_>!wc+yV9gFlP+l0O;g!y0HA+D0Y}KO26s{2fnNK;h)wT{~CXN_67Eg9qBtBm3L_ zy}LuV;7@y0^#nzXvwIsg409zix_8%3RWC2d(tJ9AF}A+&(u?gIU;nud=d#nnKZ(Jm zLlVM8?>fuJoktci%X^XMu^l_3qtCMme!K&3#iP%Xq)47OX_v{lz}O{!mDjep-QOw^ zui=%vD2|EH8HVvL&%``=1i9O)!B;Wn0fVFW+zIgDwB(j_3hvw|cLoM_i$Dc1Aj;2) zoW@B-25@=u!k8s=iOp?ao)>>G8U~+E`~$le+tQrPi^SW~7Qz%NNhugVLnz9HtDIu< zY@A!K5ERuTDaHvwS-Q!WyK81!ggeBgWbehotd$tx4YI;NRXt24KZXs3qX%ILUn$Le z*A!zkOoq9P-OIyfQm2ilMp18H-4W*9EC$!>EbBA*z(f_pXai$j$X9t)|Af)o9gI7^ z!Kr%WM>YQPnwBOOQ5{~F-!}VUDBZpdrioFRatXa4ANdxWRNnU^{C6vj;S&2)T#EuT z?slja4^P)iC|zqd*)o??Q91Q?n}?#&XymymDS~TIC0*4 z_nmel29WykjO>lT+HU#fmtLvf48zCu)YDHF?SA-!AJ*Y&bMtex0su|TXxGro^x=97^RFBv1-Vu&4&foFc1iHXLsYsFjezP53<3>}`QuD{TW7liEL zYuYZQPTsHGUQhhZDCN+y)FWBdb2N0DdXev3WlY^m`Bph35A8I0cBY-7nTO_wY(#gi z$MB*X^3`09*>nQ8#?Dk`0TiQy-kgfzl3xV_d`~~@b6k`)osOa5x6K$umU>^lbS->; ztr~bnG(ZnEo=j%`+(*Q z8J)L1(Hjc8e&bpUqLq>*q4Quu(kntfLxyWOCj2z`0#A!?I3}Nq@A9G2&QHy>#qiwH z0&j!PE>DZ$|8(eO9DHq?H3@t!*Fto`N}Ep|&DSzVMH}6{7Cm3~1N{kJ^L7l;XctSj z1Y!~d1CW76d-v@L9ZpocU}shBv$nPPJ9q7pAtH1vCqZb*5bJs>8K_F0{V`BW?owxU zhks?^L5$IVSf=yCd87 zT6vCqCin3ycozIFgiLEFSzmD zL@X8`W*p_Z`{o*9lsE43poGOi0Oe4k)MHua(nj+PTnaTAF6r+voF*`hFy0B6AQ9_X zoJa8{@S~r6zzKF%Gl8pP^~2y`aEU8k!5BK_bA|3krtE`@37$MF-)|lTj3)H7^;mhS zSOmp-wa)S`0+>OnzRMG3y!0jD(=9E)h_ZBcs0tamGr}_)8W5g28sOP zNtrw$wMr*oh@)}YNMpjqzH8TZc5MaTAUGQ38M?v;3?vf|^}vd5$-^aoH1n(n1=f|x z%zb(I?GnTRllPBd{kzZN7QapE?EYlD5j-pV-j@4qyK{K-+@oal&bW}lsD3p&KFX=^ zo_v+>88zfx&&hw#S3P+dpe;Ps+uJ@A6GPU>x~9nXf#h z9f9YIch1B^!%>#O>Yd8RGInn0K3uJe9rxf!rcu_6>^z6r%(J4@OCRRF-mOr*&?;94 zAkT@T4&tbu8bs{Ev%WpXQ#Epv{27zs(7aPXlzQNKPzl2GMd8kKjF;Yp>_Pfqwc{*> zcV6M4xmz-I=G5up0fBBpd++L?%^2{$*+XwJe$I(3JiInho$b-ca!@(XP~z3{pD zuHTBkrUOIbsZ+3@M!mA`cuDy~CgI}`|L_mnzxg-+rv1fV{6#I*_a2N7RcE5dB)h$K zr`=75PUDXsl`~-Wtn9&0t{Q63T}NXnGAxewg1qHfL`i@f7lX~czV}ZR@QIEHqn&39 z4D`$3%Ml9pTq6|h6I!b4csJq<`n#TdC;w3o^%Cp=PKTR6r5f~@p-j-@aq|Fmn!(!P zB1c`G2}^?KP1auW z9d#h&l(+`?hi`q@wni9^9y!_G|KP)ByKBQT>k(`-?OB@CVc|-hqu`X0NOhN=JLVer zDj1?19~q2^Q}8AIYK)F0o`8b~+uWjEUpvf+QVkWw11Ia7cD=k=-!W4e0q`A#vXEZR zkyyqnJ9gH%zx_e(*1B}4GlVkJ4T|8A8*Zcpld?Sf?z!re-)Kcy9zSukJRnZ4ymQx% zet*|M5$Y7$lu3iZuRz(?yqDmZ68O#E_>F2PYNyoaUnqm&um1Wkt1L6qHK>33$A4VE zH87j$oyrTYe*T-kT!Qn%AHLR}dFH9Medmr+__l+G8}$C?|NNgrbbI5CpS4$C{X*gD z57JY+cI`&Nsqz1fU;jt#z@dZf(XkMVzSzHON87O@MpR(Aa`8gt z$>5q_-qAk$_;kB)>0&!@^l;L4UQ=&*yKaU@O-QyXfj6(0JJ-JOg)g)}{nI~9Z0=eU zu=~C-yatv!+gJ=tRkxH^jcC=m*Gt}LrmQkt(pbw|J;{sr3@bxR0=^hH70^Ze?iPN$ zsZ`-Fy!eo4hiClcUGbeUDji&FWIXf2Jd8#*9K-v$ymO_k#yGuk>t@@vXLorqXly)F z6I5ryH-Y!VkKU>GbCLZ`Yig6DG7O<|UxWYFy*q6xs$ps_9ilDkZFXt8-MxP|c|;E` zMvYHJ56ORSTf2Mhb`9H@!=NfvpY_OK^_-K(y)?KRF>LOq5!#$>UwZ1RZSlcuJ9XxC zyXGY2RFo%RX4_Knn|U7J__=Sidy&g^=Ds+TrnYU}*&Z>9FZu7AA|4hQ8Kq9HwbD-;3%ST4Ub z#CcyUV0yw74&naL6)>q-p1tXl01-ujI5~OuTYa}uLYn?N>5KXGI=$*hMW8KV`q^z} z1+TZo2seQhNBdi@?}W-;R{2m!@FICbwnp6&I~H_r;Cnr}m- zv!SekOF546Omxj-4G+#Re9|DpvAnOF7Z8I^LrABY-?YBA7UO8W&ZasRy4&n)-=2N7 z!|Vh4F?7Nw)o>>tMh>1d1mnbCGVstO%KQ~Ra*>-pq)h+iiiS4{HCRfysn2Gf9z^*B zaI5D2(F?!P_N?w{PaS)@z5Mix?djZ~KKgXhY>m;muf6*6muf$V&p$gI`Lfz$SD%Mv zcr`exA#+}w)Tw)F@ft3NkH=mmTnI*hfzL37x<3eyY}|=l=KJlGvl(OO>Sf!m--%4$ zP6z95@JaV1ee{Hb829bpQ>(?6R+fUhl`>e8W%7>!Mc*MxaDx~o#+&mp6+F_{((l~0 zvn|Ik*|g%ZXki{v*8zTm8LfFW@#*#GShBURwtCmedk}n_s<}ntO4lV+8GG@=!7JfRzA+Yq9^g? zwzPGGkTIi1^Ku*%75w*7neMrOCQ*`zaM zI~Sc+Lty4qhDXf}n~CAk+wb5g^w^$G%+Ey!{?%W7x7v-=E&!*~5&DF=JAZhUHqP)E zW+H2N3yr*oCv6eB!Z1A+B;_A@UD*4rVn|5H=R%&}sjA9^HS)3}NP@z*R}qZxRw2o) zBgpThRDnb+q6GkH`m@jeIs=T*$C4n|pS-2%Wh!p+x%alW-fPPXX%Oba4uOkhX@)nV z_B@j&6@J8=N#j{w_ndJePp~CFa47(?db#-@!a_U^jGv|KQqe+mg7I==lP0hskTT#* z@J#8{bFNgOEIopehc-RyWZ(2060gQ()627hfgsnoah^7lH?ZJy;81Q=PCi7;^4yI! z-^&}pvP_*d233~yfyvmQlY-ZyOeuQ3V^~%v^KM}GUSOaAHN+Ty|AF0gnO9+X==Rl} zwV%Y)w%K;ogs>_zd9aj&quQJbjCoJc(V{w6d1sD__%%^DxGF5?USpMsvbvmJ+uBB* z8KHjgUIwWAl8JFhk7x^-qX+b4k6L}r@3E8TmCKjgrOTJnFyBl=+P5&?zu!h>{gg{? zMiW?X2lpBYJ+$K|o+`y`;^{NbJY6{S{;hZ3DI79A@7CD49;bu! zPNa7+>JO%mKl-HIS>qy3EmK$ZF=vKA){?u#gPUzLHd<4c@J(6SF_@ssWsJY}?cLYTo%*bO{`n`pH(K~NNp!yNBNH48X75vU z;IxvXdy2x3dSJ<2+%R9#i(@rXKeUTS%49||eDT7$z%X4C`^My0zfrzc@Sts~iGS6> zb4^-K{k#*%)llr>Q`+i@XElK8NWoFo^oX|OUU-o42v4pd`wV%wsSXZY1xf1VCwx`M zDbI#l%lORm^6Fw+Hc>f$^Wk~+CeuuIU0H1h5A2WOyRYUlI16d_uHB(ejLtN2bOL@ro8bXKEA;?J zdGXSC?n*lRkjTNW(D6$zF>o}{=4wfA*cL6%$ABico*#u&z+crm?~5NNpGF8Mb>XGr zok@E4zmwM_)H`u=|H3P;l($r>%0osXwOX)X)z$wF{w*)zGKH`co_^-pn(PlobA#?a@>0@ST`y_3srpb7@1LA5|5!4@19heJ>7WjjiR`9-T)z#N4}R3jzC#VzK!$) zkt56o8M8B+?b!aAwm5w!f~3-VRjGJDM}WClbQBgfSc=a9s&iujEBJitD&y-VW~#W2`AQShf}Lr7PFV zC3ofO&2}>lmL2vU20wSwL$9)v9v&*hC>-UEG6|CJu2RsGxR`R_@y_j=)zI0`0Hc|h zN(l>$AFD$2&aYm(QWHU)8FKQ(!6*l3%S@-Idpknk+OFOEYp2C?=Py>;y?YL}i&rjJ zIq+!sWR%a93wFxGL`ecP<{E%g-KJ)?L{aW8L*Tu4KPchg4z9TjfxGHe4MguT%d6G+ zcgjm3Fap|*JjGoI?X8hNcyNDu<>yKXU5x@Xi`{oUGG$tdH(>mgU;c+NINolCrhetu zeywQvqaXdKCVKK>;Tt=m{?>2(Rv967UH$gAzg->#y&K!T>m?h{u2X!2e?77P@&EHb zR2huYm6h$?;}E(lvv^}dU-v;hsT1Ug!|KndkM}zw^(M@4dp06>4BLiyvP;MEHd6 zLJdFR+kgW$-#ae2$Xn6ZJ6nL0m-FEh@PZBfn4F$#S!a}wciqT&tT2_+?Q+riz^gf!XMDIs*byN9=uki8O&Cq+xVtKu`>L`R2?2BYc?t_h9=aY*^+Hbt_yLEEx zeLeF4Uxse<>1G-nxc~Ei`<)v4V|;6DoD-x$UYcDfyrS3HvuE4;?|)c^wv`~tSD&Ia zen7k2$qd}!IhS{jXc~IfW^IA1_*UzgAkNS=gBI%G*)`LfbN~Gyy!tS_>R`R~_S{oXS7%H+;X4|2 zeGr_7M>fNAI-G`idF>2^chAzD@=Vn*T{<1#`HO$oe)iTosWiB@hVK;Iai*vtr)0H;CZ1$iu0(Gyg%;!0Ad|`R(;gvgy;K);&`bbb9 zCUuHLd(CLklT>z~D*>(xvXWF><7-??AexHRDP38?fvN8DPADeHrCx~2Yf?CoAA>}7 zCxU5ixL<7Dw6lCXKM?krs2w-?Gbv z<)yZ^86izwDJ5fs#-caVqciq>D~;BJdtux(3e!;vR!G#)%9J(d+f%P??RG3_OHCc5 zH@~#pHk=+ACL3qjjPmd1UF{DNyxFnOtnO_y=t3d&#y~^J5~mo>M-lQ`*hXG@^%B5J zu^|ZBpmpaB-}5^tRVK@O@RVLOPt~0mOnMp>FX`+CIeNo-RF?c%?{D?Pd+&eH&YZhY z%Pdbm{Z#SN4}SE+>M>THfeBrWdG{@vZ@2e9`k-c~|Hg0pV0;2y76#74FWtB$jV4_@dBIC*x_t1`#CGQ{#s zjrFp_N4X0O6KQyq@SWbb;TUweb1Qt5eC)xX7!8WyRSmOYLQ8lZ?_SG=BeNfz`m8w+ zFENv@>y*6iFvk#w56~LY3>UX8k--NWJWhe_VRX;kdufbKM2!4wooV;(#?YQwYupLt<-Z}{R@T8Ky&(7Db9{T;s{OVis za5sO-Tr}U|RvF-YBYLA&e_*mT(M>Yq3 zh2DWry@G#uCa-(;?5cf(7#!k*uRLIN^`T)apQ?kS?Mz{{IxO$Y6K7AH!1m13C)?|< z|1@$LJcTdvujHjFlQeW#@dljby+7}(6As6@o((hEZSDeP2^!wIqX|^@heS%-r?4OF+7&TROVk44m9XJi|XxB!r*gQ--(mQ z!hD!$y-sK)=)5T(eDYbn(^H_Dw=ND}^ytV!OH@;cl^dc!1^#AYwhySUJ0Ppd^fBYZ+$F=jQgQ6G) z@~}NbmFJ#*rVOIx?W=7)#^9;5XKE=f zT(~CKG`dqsdgG`U=$dP01$f3hkE?B_D zMH>JL&xWb+tu3&5KbDu0Z*+sWJV_>o>&^D%*8O}LbjA~%W?PER>W6l|yZjc0LKR2Z zh8xt~vwVDaCoAwHu6*G|KEi-ox^elISC8bRzwbO+tKOp617hNBX?u+{Ae`+pH`N;* z^Fmm`<_>=@>7?OFfRll9_|~A;UMGn+Xf){PopQYIH0g3!X}u5c(iP&-VZO5o=p^5r zVqJet^TKazj_um5$7+&(|041vTKXFhEPuV<~sn#cstkiaAF-O|cJ zbimF>I3?%m#;Y{yQ2C~gc9h1WXm;}Wi86@fVaN*2loJ4wF7-|PLr0HQ+S@U@!8!8L zk(9T#+VKGMxza_dUAF)4|BwG?bY=KA*Ln@lc3kOnM^Tpjz^lSD?v+syLpAc7nx5PD ztwMBun1~3tLZyZ|k^5xQFqp_(?EuaPy%YCGhFPt2>R@Db0NkS=_P#m4o?MVE@RN% zvjy#TM_`wiR|9htO9b-z_4JM-l;NY`SYIy6C;794`&lob2)ub70tv79iPB&cpr2lp zNxg7cZtQ~I9wxoUyyQVW^qKrd#hTzQXLc4h3N=@L!hkN$!je&8k#(GbE{&~6T z8Ft`PJuu)M4YEnNCi^X~ECu$5wMD#!S%W7{AASoB!Ym!%ofit{k)tuRGH6Gsbq`~| zKf*!oWD%~aBScXq{h)uzZ{eoX`Y}x(hf$6|4yCE*C}n{$VuK|cI-$${gZqnK_Gd7U zfpY&WxYl@pb1913J{9-w^9*jZgNF{*d>K7dD+ln0hVSE3pQR(0Mph>a{A!c*s>iEP zlT8B(rOcXmct8BGmWKEKLc707HX}POmPRfIdO9~|CT7Wr-N)OGrCnvfFj&;D%lek~ z!3XcvL~`^n_OAngmgb_>yo1QkavF5HqvNj6yZD1Y_=EP-pZ+u$+1hr-z^%#pB@2%Y z1;*my{8D@Vx##QrB*T=383#_~Rh1{ zE?>`f=O%-e=+>eGd{y-Cyl>tZgOr~2Tlx0CqQ_07hMUo829I`)*Ch;D&)Njr_}e$- z=Jl&JnH9fQ8h#)gId2cRXyBWMr)ib3};4z{wgi)I5Sj&Zj1a50^49goV8pE-H z5E|{%rou8$rCkZtp`U)%u^`5PV!b=&w7$$awa~id{b`y4uoYirkiId%49>0 zK%!MVuz;Zi8@#E9+2F;y@(xV3NnLuaT;vdmrqa|B>hOj7OK%wK{DpJDmkF?T8=Vag zJZPWBPrco%+0#*sdsEa3IHhLl~hK{+l)7GQ9ZO8*c_Cjnz^!3C#|v zh9O>l>E&v48HJ3`v!_m{t`|CN!L=R@1%pq>;L7SsXii^rczI)L=rcf+4Ch^^W2&4u z_^f0Fsq&wAXe8dCC&ZNj9$C`Bnm?A$dDhD!1No64er@EGj})w+j*s+`M0L-9;0X}E z)sTW$6K_P(UOHE0I`RA7`evJF6smLnBkOvn@|)f|Tj#)RdlvFs zDUHj6>2`e2(Y9lCSGXs#op(Z5uf6`WH{0S;dS?lCNqshQ#p|&WW2C%w!JGLNCWFdV z?)Z={x^n4CH5@iDv+1A1EDs%ueu&I#JZu%Nyy}o5WC*{wuvCZGJ@fQ4?dhkUZVQWZ zZRd_%?dp}QWy~_V;bnBZ=oq@A^FpUXzdC(9>u{AZniup7+SJf$c%bxYWRM=jUrxBS znHe6seC2ZFxyLD8m@>;_7NbO2WOT@wxm=8O$3^&thl&~Dv(VXj4h$~3--<}IsITD6 zyLvwXe$;318$6A8FTea^TL_Qf85_FU{Edvf^WHnr*A=~}fn)828iik8X+$j}Av%vi zTSGLH;TXfFKsIDjFhC06bUsw^*?A~M*?((XNbW0*eI4u@y{=5KzGa&>+UWA>2%f!C$%fLx{PLKEd} zncMZ1;Hp;y5k*wXLU|%!R^KX4GDKh*2n4|J#*<;heH0R>3Yt{#?=T>T-6XF2_%?!f zdQBtv#Qiukb+4V=r-JM086>YQ8ztbw#X1`}OW8{h%vE^|izxh29^{uBD&G;ALif$k zgixy&f+R4CE35hqCWK3UxR?DNLje^p3f8+~>=0)o`EyCta7?~y_>7@nO0VS_Fb}Y8uf?n@vSoPrGx*n@s7a<$?ES!hV?tYKpdnxh2!?WQx7@aZT%n*u+ z67;SM`@M3}`Awl48%%}D4Zo4znO=rG#)_XYgdqNaLr{PCOjuSOkQ4x8fo^bV}w|~3ngcc?r$_p;h$oJyA;E{1o4(8_~=vy{R zxhbo|eXo7<8$X|3^C#`<^_yk*&CVN&?8^l8YB&zF%ksvy(g0c7NKywErxjNFV5=j)wEa!DI-^Fs6=;^P~j) zhd;oHmhyASYazeQn^Q-_k34;MZuZIE@+_RD+)%CRm8w+G;l;BfFLC7eyTALp<&jaI z;AC&Rr87W%|@pDQXUS8kG zu!ceM&7@TAo^Mvjjks1Ob=+?$qlK5RdyNc@(s5l+Do>kG_x$!&yS8<@dsqB6fAEj~ z@Y}_6_wMN^MF*x4bEH5>++ohMjVg8UrluDTeyeAg5Qb;LCE8?VOtdE`(MqKYayGHM-0vxGDe$H;)jIcA37zKf&;`F>c zmggeR(hEEY9_5Vy?tMb5vA5osm$H*K_%LKp3M~pp`H$Smd6u>i9_b!LELi13;uFj% zs66uw7a(H{=xLhVcr(ghZ+mm&LAzsSd|*jQW&O)HQLBv3^y)IfUEr&Uei1-*enh(o zTwv(2;eg=!1P5S9oTOb{-CpbM^>CI}R_YX304kG9-V#>5+`Uo0nA0!->wN@@m@!!R z&HpBmF*v}2!SSoQd#3>-1enbdTIHM1fCil>I!)kDkF2m2aNmCC?Rv*s^IR-!W$BrF z_tmd_wT5`EL^(LOMgI5J(`(|=3=DeZLmA0ikZ#6Uhf85@R&xClG_Xd zyO8zXyT%X=C?D@D-{7Kp=-wqS7w;PWGTXiH!_end$aD0v{tg_Iy8z??Z^0AXiA(WN z5QbaGr(UiG;1_=37i#vs1Nh*a!TtPm&$fRSXq{L%fR?-J_EH z1A_M&IQe`%jjYL<&zyX^R#{!Uel5nu`S#YEKdWW+yVKLxqyOlm4{C|1gT@$N@SqO% z;(*KL7;-C%%WZLCt`0an80Eifb-9+zQbc#mJHamjpz0qUTE7#RG}sh2*|j1ppqFdk zP_5^L=T^dJ>UO`q@#dR-Q@O~sqYs{Y_Su>*04qapIDk<-;c&M-E6~^X zz@o8nV56ZX=a2+7)fw@g5u#q^0XcKXvRe5Vy0L;xxEs8@|KSHU6p5Z_#%SPm!B3V{ zgM@cjd4~@jZpTj?uT^SbE1ID}3IuvDn4}I&kwqZi|5qz^; z{o{{6DjdKs?}#vrU4|_fMp@>NsIzx;m1V6*j~%JigSI{|<0nzojg0qUhY76UnBYK= z&oBPs&sW_5W`)V0edjyfIGfZFAA-GfM`BsYWT-{nHAGY8!ArktqV`0W6#wQMxrYyi zXapcI(J#5eSN`ZB%b886mtKCp7s!FNPqXmc`9<9sB7tg>7hN^+&L(ch)uhcSdM8fg zm+qa3ar5%auM}^dK7BTAUT{>hT_7f|@{KY~CdO`?Klm***VvTd0jN}>){ECeuOwhP zBGThX@r1vB?-Z|ZlMAxTI6;TxxxBQN>RN0DWu8^BJ*xAOXV4&aNCy^nYiWUNxgalGATcayU=w^( z!hj7o1cFE3I*y{$Jq1q)$SrB52By`ZYP{{Sa69ksCktK>^DjEiLyP2HiZXxb;=Mc- zr#B{RaePx|he>fAR~qFGWAan^Je%xiPYCWvOb$*S5yqHCjw`xeCK%tdYUD0BCtix8 zP37J3=h@~ug;z>JVUwVTL2xVI^pGv31EMk7fy)w_y!1>dsN|(f7u#o_e^$MfXP$kg z&Cm8;r=BLG@93eUZEk*{T|9rWedWtvss`Laa=-Quf3=JjXR0s)?d?#80l4wS1V_q3 z^G>JWI3PRlj8KmNhw6)VHJK1^nOqpY82pL`1g($F`kjU`e1g$R!K7}rGAHlBftT-< z^62sLS@DzJe9FOpE@0F!N*)NSMom0C?&Mn=lTno^P&_r(85donunGn#R%CHaHUJ>eX}Y%-K_cWg~dt;WR9{ zTBo`?*l+#r?RMePh2rPi;W_(;oH}!+efIIkNpEw#*_uaE1j)Ch7%6)h%2Bvh#c7B& z=H^qaO^mo%hkokD-b=cB(Ko3-d_UO42%$TJKj@g*njUa2h7gZO-Ug=++naB_Rfgd5 z>Pk&$f9k}E!UG(aeooqp7cO<(llKfrGKj$Fq(L+{2rrNyw7PozYPs6$l-l5zky3k8 z?Ax1qPPKR6eYev6(|`I;%N2h;#usnW%JOQ-7QFx9dwuS4teSIHS@mT^`dLCWYPU5`6bS7S%`s8W~Zl>uPvkN$#j3l6TC!%I{oE$zgsKX zJcCFm-UMoZqsuG|nTbvz18@PKf=#5V@$N}^3++mH!|8GKSo>A-+8W8p*$ppc><4))>ps!mG;Mf@+bMO zeEI-By0?>(8+4l5x^VDYQICn3mx(6S?_}6Z&jru`ER*7BG0GT`Nq;435jq0n7FC~$ z<%&RxR|Za^_DzARX(&Ty7%|eSjFlPQdF}}9Whv8`809S8maX%t=)Lp^Y_>HWp?3J0 zSZOREbYhTTK!laQhO=O+h#`33qin_Zu8^7Elq1$)xQq{;KPyyH!{T@2_Du-jqsb({Aj)`Kv_S`ob~Nw}ks`PT}M$!i!-@>B*z zFoY34>s~^qT=6FO%Ow}zDUlr!9*X8>giU?)4D|4PCwMobSSbg)neN!VBlx?Y24^F6 zl(*~x&%{%vJZ@rcwW59KQ9J#Wf&1Hh8Ds8t zQGNQEr`z5%y2_C+Ly0HA60>_Tjt(6@Sm{i>o1a^#UYvb8%wMoUkR^%g#uI^_-~8q` z+tFs$?bx1lp^XAmd__-8T$4AL$_(8cE1``b( z>PP{@2i_6Kw-Fd7<(i+!S;x&|8IT`?w&S-O&*PhXsF*GvQQ&#cASr`9`R+>tqmjRI z@k%@M`I)vWjn3xnwRS6VVbd)VZ65~{fo&FrAMNCM^vH=c9CPjF^~@YQOJ z{@EzvyJ^&HN@nT$bc~bBm#2*$$Bv!l{o(Q z@T8ONxi7@%`%rbgkf>?j3?KGRDqat7gok|bTfA|CahJQwwDhCj@`5Mj`CV~>E4b+p zB%k~ZnTdqtRo(}t!lO5dAIM8LDL@|DI9i&Xg@>i(r5JIyA`>$;N9aM-Sw6kq1;64F zrlw+q^pBlD3ZjG+*K05mK@Pr#FqI1!d9Dk?i(Upu(wE^O%bvb~eAC0=sUIF+{~d9(bKZrDN`d6<;L8suTB`2>lmw@~BCQQBj- zIkdTF)lBjOci&E2t_ev5?$Lu3s`iC9_uiO!_Fk%DjNnfe)vHXMeDF;p(iu96Jq;&i zjjlDmoiYp+nVgmsrN~xeKp1OPio5uoR-G^5%zaB>ho@4$iEBtkJc`alDCurozY(Kr zy{vM-^)k$3;0bZ`eJRaA$xw4(mI_$b=7eN*S6BD>z)vyM>6w9h;NY;;AsY0&r%Y;{ zdtfjQOqu-Zul{N&2Q*n+TxuuN5T81Ay4h2M`x*>q&tE9TT=Xs+2djaP>47)Yn{b-r z0oLAA5XaSpQRwj)9N`NBE0g^0hFj;o;>TPJ7OibGfGi|fWIF+*qr2PFN?*txV%!ZuBV~2(!-YH zj^N+AExpyy(ik|}X+XNM)$3p^n!6u<^%{kmc`vWWhg3T0f`BRqp5?1t&x1bdg!4r$93~E!N50DOuHY}4kmHn_-{5icUl~R9 zYD-O4v~SHQ$ArQptciN+xe(sLXL#_MM(JjZ`2esH+*`(Lz7@Ry_h_mtjqql^JM~!^ zHto8Vx?E%Mr*pG+_u(k+?QLawdt1A?mhve2nHVIqVTu^Z6nx|_1s5OjemryX+1dg1 zC$GQWjvYT%GP8YUdu<&rFn)O^VW{?UNF4%B4K;)VW0c-1p4S2M%qZ9JI<)RbKm1`e zCdZE-FIf?+48s#-$k{LS7#Z`<9FKEWi3P5OG|IhWx3!k3%f~7je9w~!jsxi|00xZ= zmAv=fdo>Z(vHM_%cLr=}bDNI^8L=_%nI9({Ueu@L}-xc{ipfdHO!e5$F&t zAGkI0=17T)=LRkWx|1PRZ9?&H^i0|-@pO9dGMVqy!rN0N6WS$tTDd1b?O5smz$twY8;p- z#d;43i0%fbX(Mmkyir~dJ-eDHng)w|)-m@C1Hl^b{f7=Ey|K@y>abYofo5#GH1YS@8Y&pvRvNj+26i-yIkeJ`m4WE zZs0e0UtarBTU}YM6&**89InItuHU$ovLCcBy!vWwA#d1>A#KthL0#RkT69&H;+@2W z`HF#D4wtSGz^507r&e!r;%SJCHvdm(wesi4sdr=7-p@jsamli6w)d zeDq20@!eUk@=iQ;B8J^e>M~dJN5EA^M(B>SoUMY7Y!+oysfO-+PmL0_E__Hyt3S^O z9xo%JbVT=X@gf+m@E#9Wy@O9_1o+pWm3)z_35^uMbuC}~(G5Mist+&1ccstYFi_Cc zMo_);Ko4|!c>mJ#&(-Q7=c6EiG4z_-kj6&G!fFi1#9Mj_m&K>2&b6D@ZbX^VGSM4r z8?_;xt?lvnsqpaUpPY%T-Olg3mCwoNUud75KHnDSSJJ58Zg+$49m_l0+?M(F+{u^P z(Sygsr`zj{C7aS+I)ABnTW1Wf?Av>weRk@zb|}WTxfyV7IOReN=?^~nxV`bl8|{s^ z->MZe8bQ2IuJDVYV8`S$ave;_1LnvAtJDzdORs*ZJZ_9mObKValZ*~V2t)m9@<1fL zeVg>{+`GH|7#>I(Wqs`4~R0JzY`&U1sQ}-X~xD%sW9Z zm1i=S_LIj>EK3xOvyzxV?@Q7s(UJSb2Fe634d56eQPv zxH^QJ&iB5W0pqx;r)Nh*s7U}hJ|A@-?}VyD!jp9$xDv*@!c)@v<`U{zQMvRqNB-aw zDt^9Me{U}hfg-ly+Hl*Aa_bqQf)lHPl6qo{O!7&*&iEZZ3Id)5zria{u1oJNlQTSG z=DJC6;9p<6U2_XG{tGb_w$8?AEw9v;I^x@Q>aV4j#%MA#-@V{Xe(Gk(Co&Kv8Tz7K zsN_2Z!FbsSv-r(r3Lc8=Vj9p7-hHoKxp*l(+bcCsN6=`B16Q7ffpc`OJN)KSfAkmR zFAe@cb4pg6feZKnt2o|);mMG!>U$F9d*?Y56KjcF%CBz;#eW@)L`{AZ*;2k! z`E%{cwX5yj_djm0zwv&1=er^XmHd`AO(rk6wpmoc2+z50(bTr-()^3?I5JgNAN1ZajS66owKIJ#!S#4w}6pZG8?b~~(UA=rG__Abny&c%M zza6YIj^J`O_!952(F8}}SB83#Jxj%(ilMM~|GqwkADo;yceXZELx-u*hjFoL2UlBt z&&{-rt@q2*fhTX@UTg2a|9-(qZowvRlSR>Ugzf=!N^W1$uTJEZ{PG4#qy9QojLQ*6 z@J*e-?rsQ8c|z&gyf5lSuQ1rb&A@Sq&)CrEq7&rV>)vHkKKSAF*MCx%VIJRW2qOVL z7%t|?sE=>pfKT*wJ^8L0{=8F8;e7Jm_b2i3WT0b&k(U6sa4B@wjLy(Dkl|e73gSOX zm-pqhN&;!TS2o@;R64@I9hhA3z`&`tDVUVM^hsKA>nn?TeLJj=VS&dHcfcf` zFu4W3{=Tm0q5-E>cs${K*gC(HZ?&hvKYh!XTU}jfzw}Gr3~wE*_D064+!5z1^gDO^7&r5lp)Xws8m+5-=3^~P8;gEE)zg-; z8d-W8M6h72QhbS9b3>xEz3*^$xQh8wUo)UFqhpSjR#q#2y`UR6(t}Ez*G9GDw8tUM-{0FM0V&-v?kte*)4E3}OzVgC%hX+kco zcmAK7ov-(fF|WdcTfJ!65|&i&5e>?{9mMwSnWI2rbUDmUPR6Ns?%q*65iYGVC@Ahg zlg}tSZdIW?P$_dGJ@St~=`P$aefdl6V3hNL{fF9-gNM_L>nF5QRKs#VbLw>UHVhxU z_14=_z?a*JlP5#>KG_%z%wOQ%^;@qB{-1yD#o`l|{~K@qtd^O+`s$b4=jYDUmi2W| z7F-7=NMNXQ(U;Jr9^jxfz+rM|34eG3y1)fL6zJgX;;WI4^`CspI%}8$fBlpOZ|6BB7SH#5doK#?8ds}IcrDDCIeYGWTf1wWe~g91SKk+2 ze6dz780NBs8~=C@ZnV=#Q|#)ir)n~=ax~UFgEvEqN)x$LH{lUJCUAq_HE>k%Qr+1Y zG3FnE*;4EBhycd1O$9=I0p%DKZ6X*{hoR8lD-Vv6X~EJ*KENwBT`H1Qr0I}svjQi03vj)?iEPCvK7IOf4I$&V zu5*GD^`F`Gb0`tQP>x}^LeQj9a*u>Qw9l|Oh>>?g=sRNF3$6JtfK_f_&4ZdG)Mhsm z>&ZJ!D^!AH5U1i#!dTNhdN~m2_x<~X8!V{Ga?d@?Jd-@1d+KIeOuT#R_8jO$Wz`zD zleUZzjHk?$&GPl0RYwuln(U-ApTTcEOXYjUfDTi#qQ@+0xuc=<1V$ZLlZbogwsT;D z;Co3EX5O1#<@)VA?Q|NzzL!IAlm_I%wqE|7>4)uVlm-{>re0y{LV!B%dOhSXKnFZ0 zi%P35#Y~Ai8p46k3i3!C#Mf#MG_wftN6YXNv0NGbd~8)tI&Q^0U*kb-oP2 zkbm##gtdY*0?e8%V=+u?NvrS1q+fX9xq`2Lr^iiEV&Ea%0%fFJ^JEOsm~}6_^3n_K zdw=sc)gb-aul-u}Ak~XlSoHx;_|_P5(Nem4?z|(gC3o=`QV)&Kv(G-?_UzhGWnaH` zv)1ZA_u>nEdErMNmhv<#_u5Z>T=B18zgjz}f)DQ0Gst}GIfKXCc?CRANR;~bacqiA z`IZjzlzASP$+rwz$F&!KdCxF3cO%b5lLWZ-y@urS&aBv`_NuKcVAN&XZK^crgK`$;Yx4Gbzmx+AY1H&o`LjgQVM~)sU8lF3SrjG5me+Irs z18!%BBFayBjK+h<-0$*M@%WB$c?zlpm6c2L-DGIIOJXkU(2iNTRKFX zDBBnp_}0D|4&dXp0ZVFK-vzXgj+ZIeEbkewj(UFe>tC%#9c~K8k*T|>liud+T#VT8 zCs+rb>ztX;kf#X$Ii|o+hsKamvbt-zJ^$>}(GjUntFeL?GZw5cJJ6-`JgGh-n@A|hUe}w;vGj{MxOG6o6;e{PuH8BwgYGK zcBtj|zW3L){QLOv6NR65BHQo-*H#*BUtXz+njgggsX$i0a08<)?{&m1FE%`Q{`}c8 zd>IxOE?f$~?W(ye;yyDmc5dHVtGG7ndg|$CtL{8B>x}Er-EUlWx5!5Xxh==Im|L7{vuR5fVuaXa z(RVy7sH44Kc&!{BM{dYS=cCAA;=pCKuhE!eUdTv~zSXwCSpeS*RmcV&bw|oCT$Q@)@0sQnMo^n?(wRS1k8g$ zl3AWRQMsZ`;+vG8yu=2%YkBc*o0|&qG-{QFvO@d`;R~5hl--vR4#p{yNRsLWi$kDn z70lveL{*u|3;Y@dJ+;(4`L<@aLoP{UaeW(MN-sUAz`J1Q#3--pH?F6wz!T=G+9au6 z`0mYYZEMo3=SmrB3@$LJojM4N4;C^Q3m=m>;I80#yq^R;2H)Y*xAIg?()F=Du|^K5 zXW~2Tu4c2xh@ilH1p#+EqYpe3RrLUa3%$kO+l*n7G7=+<9ohviCa`Ht>~=}vVR-pr z`oewHZIu78eg65W>d{aI3C z!`e5fLetJP!B`>;#R7l*WtRy!Dte$>u1B>De-d`BPp21}b{hNL6(dECZj(kRKdQl& zPo5vWPpFQ8dw%zK@_Wn&`Q^WYsnSj0rJ&TuuDHf<%_pf7bz@MFAV#6Fb}JHU=fqOF zH`4>ZP`kh0h#@DR%fYQB(evhu1TQs~o~zdb=-ue?&egfey~8SWzUYk?7~xp2O~Zv( z1pMW@dOYdvAk(DRd=apFYH`B;jPVE)gQ zt5@1*pMKijdGFoYl@~A2fy1+-d>5T*7z2gz*Wp8lYBB#r3Tcs1OUc1_M z?c5Qb+bn}$qv+fs`QZ!lM;Fi=@J)V|L6&W}r4wT5`kfdHH)1SFM{kvPA#&N}1@7!u zvQ~x&7zSUu;|uq~Z}{}c(Zl6^!D}_KICaoru#Lmg{A@M$%d1Px-Y+&2Tiw3BRs`|9 z^M2v2&R^j*d>=R%!?mr1b~K@ea>ECHDZlR8%Ko*|!7ZFr9K=}u9Y;L)!`^`2tp^+ zxH`}UYC^97VWC*Zd+`RLJtOc?fRgtHk?=soV}&{p^7y%5l}=Y7*_S$Z&wsVKP-T?g zDQ?UC^hBZyAj2|2NbQD9&o?#6qlPm`L*P)Z8qxf5d6sd3(B6m0V5r^+rpRT|5T(Ys zq-P`zLfD|m{13l3(<{AwXD#{0FbU%4FleknD?`L1@baci?ooWHho!kU)}jmpW|>b_ zw+{2<7GiIS72NSxzjH||418fRf7oDr>k;LX?==awEAaZ1cj7&w56d|3_U_wP-UCdm z5vZK7Ii0T=To~Ou4M+nkNxDUyou|Z}Bzu!zI|^#s`U(--bpA(2gCe zb?BR=%#^oNUb*>d=67%?0l{(Nrme~0+E(-ds?rPl7$dnDFnV}buUu|Bb2nMaGCTEE zM>F<~uNy0W`|Y>Vs9H_acal{XhQ`=+)7e9h)Ws9{bBBj$((0Z3%CG%uEn~z8Ca#sh zhQN4CnH4uzy-z+}UOF8aC2*s@g)`aeX(V)hPDs9CX)rm8Ip~rD~Y zQH&aK^PI?(W%h$sMX%rmZlpI6v3i8j3GgHy<5xq+i2d>FKP|)6W`5{qiL+r1@fg2* z_wH^7)6kC22R^qCf}sPw`OS?!muPmzyrg^8Q1LV|WIj1}y3M39TaA&YQQsBbJRCz| zTmDX*c&e5FUkMygNnh9^dul75(8R+nx#6OxvuHOAL5?PkMPL-)iNo@F>t}D*ULQA7 zFU#JoEU|t2zx<#6W#Lo&K3qE2?xxY!_#5tFxS+9#+~})gVo9w@r{;_|Q+A1hN?`AtU175VJ}9N?i&^DYn7 z;jXf~zRHih*WJwT@LTChp2dj{GKrqI4g9qTBkiKL)sXA9@R}8gfBn7J$_rA%%5!_Z zURC7*32N%vIu%8($EM*5Kme^}w+fsHfdHkw!eFgz^0FHtr9ksd7~iVmd57Q?CI}Uj zF#<$M(}_Xnb_F56;fy?lz+KQP-In`pDMc+WU1>X47ixtJqCiTC=LD-51Ty7ou1Bq} z7I#v%+0fj=VC=>8Iw_X1IzUF~Z&7?H z_eKQd*3G`6ptB>T)8}!3wO~)EvXd_tpHOg2ls%jecXh?bG{Gx|l$QpI`*66t#X(E% zYM~}!yWU*=j39fi6;-92XTh78FyumyENkaJ%)rl zs~2~!Q1SAyrw`B7`3;tg+cG;;#w&q;`}UQ#KaGHGnKh<{qtG2JfAB|tSjWBVJuasA zkH1IR>WYTSed(2#OLp$9ZPo#OHBk|sbBRly28_jrfvSokemEW(*8Z1 zQ}UR!6!!L=tJO&0T}x)&EC0|;j}+|{VT|9fK9X)!y@b~8HKP#_uH}>d<)( zNt|`UbA9pB`F1FB%d@h&yb_*YFBmrldbH#4Rk_@4Iy`k~GEt;wj)LEsb5 z1*h&-f|41==oIfy($J<`9whJZ>%-`jnQaU0ndhEvfBfz5x9|MBzY6Wn#kgNQTuXGz zMV!pAcK3>m=M<8E0Ic3rETDR&Z13}1dfybyEBZE_UVPOv@NFdhtuzb{v2&=A_oJuk zvI)+>mq4t*30`#pUtu6|>w9pBk>F;$qb$qaU%$Lg9m`VhagPhe+1V&FL;mFM36T(W4T#V1}txees)xZ zPI+U1$#4HnJjE=@o@V{JmJ(TBNhfaca`8VoO07auz460`je3AkVaqg6N#DHdN!>u!RLcdo>gOsQGP!d< z>z$WE&a*o5d{tfHAob_b&;a8DJZ3+d5qQ$dOQ*c#7oo3b3crF^d0+TRSXf>tk0%YT z>{_m!@5)ogdSq6^$(R5$xOfK8+T56n7cV9LmYPRlj?Bu^V(}4vz{`eccp5)Ff2Q3H zFPThjCrjU}rciOcCc05f_x3CccmTZW@%DM zJPlvC=^Zrz zcgOa^w;nHg6fFY-Jl#zr&VxpV@B?E64!b_?4W7E_?ZXp;Qm3Tqng|Pv^R*1t27M;s zq9bDo?$N_iX76M+_{_6q>W0Jp9@{UdwX#-hb3O+*e&%fC9ZNceYGm^$ z?GUsP^gb$W2~3{3a44TiIbzgN^m#Y8aJ?Pglb&bx3**?>1f@d8Ax; z)O!S%I3^zozLoHX6KqXB>f}y=QnFwu;gL3VB5dY+?A>>uEv$CeZuJ&Iq^b0LQt`GC zW8lVS8qx@`34M1A$AB?J9=)PI#1*_J44mnG%iM3}RL?d6R=gYDfPy)JVyH$T-&yfa z;+%$*grcRpI_0||DvI+9uYR!OG;_LUuJudY z@$G1!87_F-JTH6z$MhyVlb5_q+|c`B^ns1s0_%_)M#!LtGNlJLoh#n!ufJK7a^a_Y zfP%*)#ruZO@D{zhbLUFc#~diT+o~U2(l6>G9NfRJ>b@3wntMYJDU(-RN8S(^Bb=<; zU#aBp0s8cI5D#>ppeoV#{h1t%(T0J4JY1*a20!&K-;4@)AIjwKVHsxIgWr`^9$?V-8LImS-=&xBG%&nM&*Tq^$wVtl@BV3F1av1yq|lX{)w| zKl)*<>eJhwUFpymp0Opxo$y+SR-N!Ke(4{i6TZ>@=YRRXCclNFH8GW+p1`NrYG7q* z(xJ_$ig_mub0XFixSps)V&}IC)=vlw!17Un5j2Q?dDoGkQt}D5yqnxdo&v_~A?4?r z_!L@F=eftNT}soyY96+1nF|p@sAN%RH9%ON3s&)yk~gHUib*dbK-FJmjHi>g$1wPq zoWo7|q{8%WY;;6G3SYS}Y~>OpFQ<_v7>p3iRxpH+2&6}MEsgxWJ84uyEhlD5*y|0( z;3BY%;5}tqGvf2U*Q58Yis1Q;DfMD1i%IB~tJ-O_h)ahUD3Db_ifC-%1ucckM_bQXV`c21Qo%gio*~FTGX03Jne#*v*lVX8f3!r<87Zix&uE zlvgTn)Z{zLB{edZD_@OU~Yy?0-2!(Ef- ziep-gjUTtm7cN!L<$9FMp1phOz4#6#v*YEi?P++!Ks;a!R?Aq`75v3x)JW>c@I-t0 zyZ^&~_z&At$B(r=yY{qw=~bP~^TYI}KK}gE_JENayz;I=D$E9hh+F(tR42sAvlJ|v z>ivkEFy`zGdQ(FIpy2BA+LbTf>-p&M^)zZ;9e#^BQrKH!#2r1WidiV}Fym;|)9q_g#Mw+o-llTP7T08t;gvT!DVDxMv zpZef~qBq*79=-8Q9eUKNoBEF)GXqBNvc|7dM`d*HW#^4PIWBmZ;Kkk(j4Qm1M>jS2 z!EMD29lVELPOo{<&ZH?9$~Bg}7v?Knjlm#a z{IQ(Id1rdslr;Qo4gB+~D{U$b@u#OxCA|r?jv!#vrXCb@E^-M+CYh2Gu&a`JXW$k> z;kw_C{J`O8(8dH_dJZ3S8uZSg_eB>{n|iyGExeAWdvA843(!P-Gzfxg4nks8`sB$| zVPd9v5)9z^$R2z-&`(eJ$3OXTZ4{<4rax=rt{AzzD7Qls#+XLVPN}?@c-X)AH>r zF`)+oG#4mtgn=bCSsvP(9Ccb+Tq8HhT7s$Q}>aG=h;Zn1t7>p|hkN#512 z%Nc)Z?~>$Zc>BQNgSDmm)YkdKWrUPLkcc(=I?pOh>DF)yfF@xusqhGa3FRuy-;>{k zf$S3K{EYySef-_Kq=R%-oRl@vfxnP0b?&{qysub29>r30PlJb1XJ$6r?2HC2gcULQ zbOWJ7;1$8Gl2bo~REE5Y*kDN=I%IH~cX0BuLj`3|#{7wlINxHVj8xyW#ln z5LsOUn|x!=w5vBF6c{%+AQ#C6nE9Uip~a-E!a?CP7nl-9@5d`l@3lC!qh`f@45xH@ z@L=;?b&|moL0vmeTg~#{w~rBWuid(1v#!pt@FSh_#JA%pYQfIA3+KwCV~pMkf*O{~ zClhog7zF^YG)db^w$7kShp^3RiC@|o5QD|r_Ed@|GPZ>3&7icb| zVU@oz*^3v>*Vr+IRwXJR3Z8xsp zMxF?@VU-(2^O~Cgu9V4`0E-{+Lm3~6;ql(vKWm@9`+mE0;X+$qTW=?yI?-lVmuic6 zyg8Jk^y&(q8XUrYKfOG|S{emBfmDQB-Ni+h>Mi9%Fje^Xt<$>m40yaR#N;a6`I&c= zQjd#2TJ4*1`oifn)N6HM;JNeX+UKWEl?T8fUC!b$NtH2bOg*V;h|B?Y=xQ0N-Y28> zR`|U5L-{gS;^Yo?b#{kGaSC?{aHr7;YU){>qH)sFDXJnLd^i++)k*b))QJL?N8zH1 zC+{Yxhxd|4uXAuXkw3rb5_x&%opPGAgZ=bgc*5XBgEpU@rXeYAc*dBOHw*(_Lxu-W z2!pKL;DOsDOEd+bL(TR^4{U7Oz$zVtyq`%KJff##IL|FC*1jy;x9wFk?D2CkwlUXe5UzbFETE#GE62skQx_Xc}9N4hH*km84xJn|c5_UfnM zt>KSYmZ`uNIu4WRL`Waw{E50IppkFtbm++8YJAq#yMT8k-M`BN!+SoM zIMqU@q$^i#G?Y9e^s6gNZEF-Wyw$a4HAz5-#cty<9*fV-LV`Qe|tQIx0xVyugA zsc%+mp+eiMcWiu&pOI~a?n z$6g8R>8Z`mZ)-baWIeQ^VI#bmyswvO}w|-GlzDy zhtqn_da|3L|NXYOZ(o$sZ2QYU`|}v79cC~*+Cv~T7JB|e=q zpr_=0(p!J`h_@+;)V=UF=@ko=XQB`O&@(DLrVP)%a~~Tr8wP^+@Lek*!iRV6m*k7ha&dY_et@+iT?DLKd;-3rE}$LE3up1jIm zS{GwYuzM+ZeUnx%dBD_vr?vsF>$UD(TnQf^I(noUK@%f=6VGs+ycubC%KOnLOW#`S zN5R9pRZ)if@bL93&LWB-9=vQ@m}$o?lij=9SVnv8tvA}->TqfP^z7?Ded7_H;Hcv_B?V8)gclppxliT2J6WVNc&6+gY>0y_Ym~I7hAz4z|BsZy`g&}Son8_m`K#h0Eho;dy4 z=e5rW4?!Xq{iQ9r3Yu_s{hD~z3{m=i`SR7&b;7F;v=yDtlt+Jgk9Hk5kAv^v(Aiy# zk=l7l{$0-)lJTxQrV~Cz->JM{lx<(056{K$367VR9PruaM|o%1)}+*ufyk2KnNffG zrT7Wy^X$^@kMHD>aYg6NZ0Yk0&GlducYJgTkLMA(Zt8Md?MpPuObqUY864jqqlr*@3J4s;c9kbWEDc2RW3lyKW{*RdDIUzsTJMGuoNZ07 zXuaJub{qv=XGG+;rH%}XdWc1@gyS;WRaKVcLIt;Gb3nalI zUQ-lS(s7>#RgYYk_rpAf#!NgV007{^=FUHT`b>m8LX^zp=RduQhpB*utcDOGBzid- zBd~#)KyiOo$a?}!+sUImT1iVtxrO14qJWZ>4uN9fM?f`$}7gp=}7EuRyt9n^u_&dnxhd-*Iy*d8Ixnw>D1O zc+jq2z1+4$2_Jp>>Gsj5pS79kxuW8n3Db$UEj?(vP2NskHpsHkoqa3det3a9KJhPn zX;_1=@GV`S5yR{F7>ya=c)?^@1y=%C zdmA%(24gNb)fhXg=gO7KZ8L`T%dfl=J#gK*CM8$XTW8w7z58SAqE)aP{ixD=cJHfB zj(KkCTSMHY2BePo(I2T4x?EJ@kA9CkIJ8y$8#?O>bUg!y=$WsD+xjhT9@N1~q?zz` z_X_cj%+{PMc`-T|8GY}Q?s1h{6^(8(gCTHv@-BMVdny>@bc*=o2VNOKl`OFMD?W_u zSJR#MWT5CQO0E`M=~nWD)s%vi<+zg;ICt;b86#x*__rXzgc_Eg%BgTCl4>X9Ii#56 z7(^DLR2XpmHwLTkbyG+uaIY1DNZOSmrdOdJd6FnVDy6$mF_;n|j8f~rg_^_RId@5= zaR-ZBDkT2H2QfNgF3-;fNik3mf!nx1l4tHFpx$Fp$Ok-xB*_UV#w^Pfyq>2`7Grcs zAImi9C}?#ztl;puwKQ;>`F-Hf(I~+C?b78d5rXSc+ELa~-iS}pQRIEQ>|Vz*q@W`N zdc+hd11$cpdZxamfC^T%R)>xU`JxqOCVhWqQitw}e+;KG^Hg+EZyWR2??wI;u3nFL=CYJ4JfVibCV9co-3_OQ2D6c@ZdfM& zT({DQ-b-G!6Ka0jKnIcYtDSu6>7ua>rtJK6U+ZkosnWs%q9q8j|r3yem~z5tLI#4C;}iTqx-e{mY}7JA9E5 zb=80vA7^YWMY(8Xx{_ifh4&PmFm%L#qvUi_fAs)r7eM7Fj^UvD*@4nyGVt5}sa;WXwf1vgiVO(m2lo5bxBP(+tY{o_XJ`BIBGo#gf zkQ=wx+NY;KZ&#xmy2qx^e<*joMlXC@Fh@t2n}8Rj_pWqO(8Vb8o@b{FZwyf6=`zGX zgCDratBU7Pw4_M1$?#R`Sw?-)7=NXKU)|nj7w6iZ@cZxn_HU(rt9546@BQBI6>10V z5UcJ2mdbO{G_VxS^A|{}?4e8hyRJv@YTfZjZ;6o*b{Fs=$u)5Ojq|<1A z*jIna$Cq$sfM-M6b93C(xy+1_^USZTX6i(Wk&9gH!LP}%lAf;Q~amuJJHZ~0FSiE9oVgC|*5 zzWKjRNA_ySNMcuvC-cy@%cc);wkr7%ajjLOoBR@eeNq&T0S?VCTi{t&Mqu<8N!*8_#*>Z$sR~mB) z>3kH|NLxMpA~bX}jDm|~QQm^T6DS4D7YjDEt#ZPgBPdT3RB)xsKkDAySCbG1pny#@ zExdp)I33TuVe1U8vF1+H$Qq8ZD&|faPH>Ck;^7!BORl!P`*z2$=q__EUwMls|IM1D zc(;b3^kU?*|G?hr?O2K{p3U9{t_APvt_ck(%5|6?qqKwn%<#5Z7Z7pl&) z-su&xv`0L+CH0<=A+uO#bj9*EN{Ay7%wd-;SN^n{}CxC_b3wIno;YKDcjh za@(4GZnu@GwYC&RRv~#9Pd<14Vw+w$l(MJW>p%H%&9xcz)o5?$`aircy|Bf0@?{O6k`j%yhHN=vqoG#>L!lE*>;ELZ%29iU3{6m+@;autvmwT2!mGM zyNWK8Z{~5)1w)_kHVB4B?(onhPx%YIoUVHwl>t^+q|;G#fFr*P{Kwu;3P4`cnFH|b z^Uv38{u{S$mUjjHC~x?|yXGS-E<2g|R{NXpeZOR-R(vE}zIdT{f}AYJAhUTCFU~7p ze75aawK?96cH{b$7;RDB!L^ObZZLi|ENZT+9XJ?!yc34M@W7#ihigl6^;9=-kGe9r zi>~UDI(yISF^2dCUIp=}ouN%Ph>zwHKDJBQaaJ z8@@Sl^jP3MS#-j)g0iJ~_uUUu<_}6&(^2N`c;CHsormgoa8D=W>-`7!mFML6fkW-) z`dYhrD| zzrYhdQdjp8s!kI+_2+s_o>nz(ZL6!xG02=8?m$K!+rUVFregpveVBi1o%kFeQ%=Xx zZ0doJl4aubj+~&V(yL%fTAdokIrzNSX4ZGKjI0ja+iG4I`HTQ>@M2Y1UwS>?9>(6- zjI6x$@~dgH7mt2R#YBRka@AqBB<_}6X995mfyp|8`goEc4(1Qr)bB#D#x?JI1(HVy zQ4?4oAnzXa;uI8QvZ5@XD`P6`&KgA2{*#+gUdbnMN-5?;35Wbsjxt$^mg3yl0L+#xXGui$yln454}UZDRrv+5;UrTvc|*J0UgjxmO1t{lb&B_pWkz!`drdoXZ2Qr|lFyeuIV2slMzSYqGqJ=J3n zcz@#Hi#J?!UYr zg3A5sJBIOI9nb^p{e4p8y^H6{fl2ZKFJ9A2V4#*~MEca*9uOKm`C~=M#h>^>FPHnU zzD;_^*kLh>kXPfz)oZo2^{P2QF|s!|qvRg;@pcWb3EsxE?^qQvvsAFwQRXRA{@oMU z+S1BGl*dvHby%KSLlgOAr3U;Of^dcpm>s)sa&5^+WK&)Aq|04jxzXQvBX|ufm)m^8 z)9B1yU*0pn)k}R7Kexbz9!V3}3`IFlMGuRIKo8ByrhOuD(&zUuI2@t2p!wA8Aa;2AU0ct#~Q8CY}M*s>$^5lN|A& zdQ*_VuBP{lkF44tZwvs3(>ZhwE_pMTmsi@tveTX))>2+F;ZBxs-iWNH zN2sCWmFbfKx1{HMuzICddGVau+hYIW18vWNgYA<~KP&jQY)wa@a-|CBg2WHc&^^~8 zOQRzMRR(wFxw7acQb$fLk;;Mq{-v(G&jye^jkTV;ke z@=^cJ4|z_ydZz2VPvyWMKAP#=sIZ}ubLTI#H-7rl@+>nR4#aqGi~3#13V!=9|PRD>ZI4)(>@V; zgH#L?0K^e$E<~P(k`o3(M`eT>=T8L-(IFTH!&>4fHxN$JCGQC$ijl*#;vqm!(}VAR zcjcKYpTKZG!s>?xU~}_k5MzU|wRT{qW2aa0UZa>RNb8=KiO^TsL2Ak`t1rl*uu7pM z-%)pQ?LJB9DM3-+zJQL70su<_jicQ8LVs6ij-wdQp=wzQd{KQ8!`G)w@A6hKU}4$#>5^_d?bEa~o953W0%9g(zA|HcjUy$_TdK~wU0mh zwDM%g;vtPZ!^oKKk;4az=kSgE%s-L;X3G5Nlk1E$a<*=#?}s!EfoE1nphnm^zAFPEGYyA-s^hAUYs7qm;v?kKh}5 zD7(%;2^e68>QVM6Pu}niR==x$;2_V^Rz3n<(CgOg)yrU%xT{<7<|JRw(%0{lJ(F^Z z7xLa@*h-W8ul(AtRbCo1!!+P|m?}uii@_+dAsugsyRV^hrcPR3CgVzw&HbS(t0*FPsj)Y_!GIox%5F`|$HmbA8@kc;)5JOM%1u zB^!esI(V#IkN)s1$k+ZWXwmE6@w>6{n9nsXV5k*1(W#oK+P5O~&_HO|Azr;S9yRxc zL2lEs9Z5gGC4Bvrwy|TXz5ZYSsD1eEsWx>#e32X+_@@C^u6Zcn8FU_a215sSa!>Hi z5Q=?s9DZk#KC_U4WfdLV@gjWx``<79LQj19(Z_Z64xDK8l{@G>WKnrIvFcrAcU?w5 z%Fph^U;p~oAJIcFH{^#u{9$<}OyZRn_=Pf7^UOG9RN_yb44z&v8qTq*yW!-QJN!tE^ZD9akN0;StUzVer1RRX6hDcZzaXPM`4EgSN5^ zf_&RHvo&(K(&p#-IWar8FZozY@JrUkPo5=b6C+4H;a8oKMbT6M2k(%bRfXxvQe*G! z&(vXz5fNCFrOoip#BeLLXTN+iGT z6;M9L?}!$}@vf}NJj*jL>Kp5QyV=>0E*QJphlhI@x0%0JfmCsC?B-#)w}Eu}v~;iG8t zSh;Gr+`I6msC>h#^HJ=5KZKsv`!XW&;RLRp4qW&Ioud0N4#H)BGPEwvI*Vo^%x*0Qs!*?;g4TS z=9CwjGMvdo$_?CBPuNxQa(cdK#)IK(5)IGw7<4yp-Hj2_doP8<#HoAo(Q5)a!;W(B zUQfK_6MYhl6W3Dh&=hY6BdKSu$UxChnPe^Hj-YWi-$#!q*jHe10)8|ilaz398DpuG zb*?T?Juh<6>6jEzYJJs?dIJ|-$i;}$=?-@Ac&@mq54v@)YWLQNQwlq2^azy+J`>|h z15T95;DJF;x(yESnYwvb-|~kiZFmN5UX$7<<#yyCkS?UbR2M^X!hp?O1RkPV%Iign zV5rm_jlgWV>D}9FwHM8un}%L2&s_|>)3qOl#@z(a^A|5xqYQqNp7G>?0|#qca(nhz zQ3g+izm%a#w!hBfIa|5ZxdKD9I4t$_t|5 z!q+Zo{8mqL`QgVO)o>6a?}Zm$YA2pLQQj2qKK=C5(i@{3@N^oJ@6JCOToZp%Am(F!L#u{>AggF(W89&`k!Jk4_;GrS?Zk~JZiF%;RXUjL+?hA^tk{Mq}(#(2Ci zcoUs!XnKMpTg?|OQbyq~g^l)07%+${RNaC>ap;HKI!`BvTkTYSich!VPnk1@>L~w| zfwor1pnJthx<|AMT)blPCRgg`J=pLqSr9*k~}2{#>aU-Ju}B`(^hxwjlNnu`mN-f0tm6#Zh)@G9;C}VS8-xq4B$JG zJ?C4}P^y$!A-E8jE8iu3%Pav>bpt6sVLPC&fVtDwLTTaO9R zAlX=Kjmanf<<;%&;+4zQ!0N>VPdOn|;8Q2~OUmG;m<=wyQ5CJO97o;6D;)IS(%bN; z=657d6DEanoh7az2Z*f31VZ5~e}g&dJ_#ewZ4qn=PaRF-Tbl@7K1NY&Yy0-?E!^Kq zqf5v&#wL)#3!E6&wk}>>c*yT>I>9t~r$P)d^`hL(2T{6Sn4U!8Hs$aHXxyX+A3=lP z;xjlYeoF{_15)l$T(b+c@liK?6Vy4lo1SuBLhyyo^{xxE#%F0q`aF<_Lh=t^cf*@}@Gxq)qPX(ACYN9XVFQu~^*!tX%^5W&MWEkAZyA0IHJG@&)RNkv-jdjoPfEb>k1o4B; zMTg&23VFaBm?*=PUinv?Nm*6@95w%Z)GVChb_v?7j-j9)LoAX+wv3b9pJ%2If*pw^ANMvjG-kp)T zg?8)Ctr#VJ7hp8Q^X8sB_uR83TUK!~G{~EY?WKF*GIjJGUyp{Ocr`Tldp3=FZ=~u| zZxsFIUi2#~B=}7I?$1oMW52P{Hg?@?Q_me}H-C1qUHi9p+Lrs_>86wOgi(__ud@)sq+LgAYE4ygl7sd+oJ)w%^E-WvPMdop;`8`(tz%zS5|o zORcC#dc4eIVwYc&gnO-8d*QjhtkzX!CN4VQ`Gbi|_Y}?Zo-Q-z3Vz4}JdYZX2{0;W z=k^_CJo+xKe4!c~a9t;@C#{aQL5>l@K|#IpzxycLw@YQ-Q)n*&d@JpM&9 zd&V$m$jIZ4Rp;*-Dh?&kuHNPy4v>fMCBt|-`OGg`qOMaPTt#oo!)9iHAxGqFWBqRF zGPp48OP5C76ki+Cg%eQsU1d{tzEi)-9c2|CJ)jGEhew*yGwK;6m0^;2GU`J~$tN(? zJ6D3XcRFbvr2Se>hjq*RzJCz;AC*;D01JcnfYB$JCJZH3mB{m$lr!GB5E7Dlf&dwm z`wn^B-u;;Sj>wUZ=aB}{TZ{>T(ohe~ARYxQjIw;^ruH06<}&}n@xiwuLire}v6VZ* zSU4<4)X+hB z7!pVQ(8F)fL--g{_zRuI|MJg$wZ2`tY>WB6?K|b;Agx1(4iwC%KRew8DbLDBd-wVs z-k~hvY+ICvZ{9m|M1Ax=-hBOys>7%!muJxwG7^dgse_)8c(zU!7g_x-JXW=Pqg(ix z;6YiGxW=VV{tb@uxsR!Kz7|JA;j9@#V=jZqt~?u7Ho(u9zVd2%Y@e6g-2_t}jO)S4 z=DqcH?(BuaBbpjMVRVA+7ryb;{-hbF-rDzio*qX+t>KIwv6`cWx@KCf0e-;e;4%AY9JZjl-)hBs$-1H&hstes3 zeyRlk0opsv>AN%nbwXdscXmhkfA?J5^}<5C^zi+5{;eDB!fR`7_S#ZgOozgTivyR! zxGPt^a(k4o--6%hB5plT;*Q_oRzDq2FpT^S57f%E6antp=xObS)n|?t;O19<^I_D- zQ13?{eUg%T{$Ks-*Xq3k8U^v_BJ)rfI1Iwy{LOzFysih&yHX~cOqFNF1Y;c!aDmB$ zdk1G)YVG|98rJG8rykWXYijZiS{M%CP%gbMj*eBWHcbTaa3g+%0|()W^JEZD5LcZ3 zV}i%%Fk!scY4u!km3q(4^-1K*OY?1MVJ01{*&3Q5D`hB$zvZKj(rpc2;6WWW%fj)F z6Q_ACz)^7~vcu?Pa8&#V5*$_i5=VN!$u@6c!5&_&HX!kNo#17pDfqQJ;m@tX-`0hd zwq;?p?KpBMhS>7)Z>8JN3(eInxE|nURNgm0R-6O{6f!0V6vp>@A0$c2Vyiq7jl%88 zALk{XPAE|jsJ`aEyi0tHsaK;XSqzY`f{o88|0+KOyZ;~!Z+T&Y>@DK*<%6Rp{3LGgVMa&_uEZ?@B~FlNNuv6=z$z`*J8Nm*{{79N zM|Hwy;@4`17&7W9UTQqazvtI+7yRg%sB^wo{qtYPRi}w~7!HhrccXmq>_%!vK99n! zTYYmh@inHa+gH-?9;u#{iH zQ_Y=u`Q?||$)}%g&m|9@qM6|1Q!5xYZ>JagRNJ$2du{2>@QMT!p6!7E4&{_qcEIVk{JLeF`b0Ks`Fr7K)HSeHho-|h;C_tP9?vtp)Rnl&s_^wBzx6mBPwIB$ z__6Xjp_!dI3yfTLkS&9Cb+sKhbg(`3>@(HC@q$`PTFY`74w0?e&O3blsX0}tud9a2 zQmuRT>0k^cQ*M8!Y}fEMxPmi7H&?D*t;xN;S9-7LZ|{q@-hL}JLAy@dUe~TO3x7z8 z>GRyH9UTbg$#>vb-~pbB?pxit&~_f&-FEI?O#`ytKKbj5?cz`Gw57X?F^G6lmfNut z$Lo9`Y0*YmhBOSD=;#=VvZR=fvvFq>3%J%5K*+~b$ z5&R3$*ZbPLF>q)P@Nc(UatuimSx4Q4f$Pz@8dm6a>N;9EI#pzv;YwzZWZ=R@hxKQ9 zO$_AZ>GC{eQ(4sk4Pp#yfVHQsB1;GQ;hVTUztnmCZXFhCuN8Ckx}Fa0^4H<*f%m*T z=h{sAGVhellPDhn>|pgS5xvPpPP<1~yGA#F8$K&;e(U6U2XDEFS#b2xjqsrN;rYC2 zOlQXKgS?xXT{-@(8luq9Oe!SESgi*l-N$>+o zz(pruKn2rgaw|9kZ-~WpHt_2zd?bL&HQs@5$Ldln;Ds0(%axzBeFHV{ZbnI7t9c5x zEatAWu6{KQn-ggn7mO6AUQ)DH(iq>Ce=&E;Jap)ADIf1NbQ+)9nJ;(qMbt|JM`3ij zC7zx^t{^`OJ2Z*q?-a{RQ62;cKgeIN4ZMQ<(Lf%94xX#7p7Tect3LrM8YGW_lZsoZ z!UhC4f7lG1$`iU^^v1{pG%$UB>eF`Y_{r2MiY4`si6xo@b^rc@rC>EoRS|6}XFf;C&RJFzdz9dD{md4KEPx^?|+hwerL4Pb!5 za0G%z;EXt=#9&BzQIJwdiqM1fz##iY&*G!rB!warA&jITLz)?J3>xS`18B7G>s{UQ z-k+*Er@a2Zm0zAJK$E9-?fvbYX1QFsa;0nQ_wJT#JLlte;yJ&@93gm>;Xy8gDK%hJCh|TR7Vf3kbFbc9B97nU z;0L-XUmY964f3x^aCxqbLr14nbD38aOz3rDvfoSMjK9|aq(1urcBCn@X@_whMvfG`MH+_1ZL^XM=IG& zTv@mey`bEgxtX@IXLmJLTVhnYEY(%FDR3))YAii*^#;+({DL>$cq4|^{^aqvUA~r{ zvm*&2D~9RHSPou~LeFU2QoiN>jxMR)VU-RSUdJxDlW8IPmSJb!((L?nTUeeikMH!B>Gs8+ecq;?&9;XrcgwRa z?T`NOzh4deZ-4ipI+3e|hZhC-?A{w_RDBD|WO-W+3OI$81rcfCT_(#LryIVn(bmwR6*L5%lm(d?25ISJ`6M36eY$CDn@T&h zY2m={1}1{r4FW;rM4x2X1*bC3y@%(@zY}a-kzde-fs(h^_+YEmbk_E&DA@%#X6jJrdIf3&i*`q~r-?H&D3{Bna429KJ3UJSx-S)Vwoft*tX2NxPO(-9e2*TcHx~t= zGHIj*jpMzPuUGQXM<2B-6IZ1RPDP)6n()t+~rkn%C|QOH^1NN%a-7{ zw0*u#WW99bTGBlVuEA;2HV;_<8ya>wN-uX?m!U+chOWzLH-6OtJ56c`z$V~}pj?4x zi>z|R3L5s}$%M`V4hhAN1Zk}pE4)lTP2S3QFfnF57ffU}3Ypx2*X6t3sJzM(mpm9U zR^OlpdcliKF#-zL$cM(duef_IJIV!%@;W_IWFo?JQJG0Ay?DG8%4FQs^7`<8WzVj* zyt1Rx+YNRsy>;1x0e-waFCTrW>MD4^H%^!4`7A}9d>OXBc|VME&+xTE%iR@-DzEZV zC0*x7-ahGaTi0ahT6*|Z9%vpM!IalY8{SmUl*do!r+dDN4#}f<9{NwEzE`JX=TbGk zh9j<>zt$ezwY0qRPW}3OzxQ{mzMDIsEL*;}%{HdH)-GJQTb_tZm#-JkJR2@zwE2y{ zB&6WX-EVm?@NV%Mg};nVo{NFwz>U2ooj?x=ev6}lelZMBkTB>$e#wPBJUSom)C5;~ z^wXU?t^XJQ*?(U6%eQEgyHzv4_}MSp;}|R^n(y7cr+Cu6DEA}x%Ixs$-fcCQy5?}y zV5tpB9{3@kq386yq9?8voDZW1>f1yQ`9A89-y3)*uHVAI9d3*Z@DH2~VU1^Tv|&@J z|JsY~>6q@_wGvWs3bwrkI)Kv`Z7 z-|<5lGACcS6fGl%_~7@*dp_-y-{LaNtNh^hByHp47>3hJyLm!hu6;uCDJ4xR39o(QGKqGLB}f9qmml4 zAE&nfPm?1laU?`rLJMMe+6>DfT(-yEyLVR_+CyzFy&=lQxWChFO)|2iOsIR(Q>frv zOV9pFdJ7C1l@grt1=Hwx@uHP5r}3+A=o2{24N!^AZ7>8gt&>)x zU`ISeDr!=$O3!cZLYQ(}CrNL3JXAnOX~{x87VUUa^q7Z54t^I;m!Sm)r0e9#FLHfZ zF86-g?0S3uz4zNo$Bq`RU^0vTao(?|7vy}R?v;2}OIM$yhd@gAq(QrM=~7kdnjD#T zhG10a9ckcpt!z(Y+|S!F$<4OffWEVOw>cHLyhl6~Nm;alN8nZdsCRm%y=MZW)UU{L zdH2fOhZnuGAil?%uN)VWD{Lh(Dl&7&$=_cDzgnJ~Oq ztKQVhB$0njh$L6}&3jN@m85d&s6BNoONE!7-R!*M;TLOiuiv&c57v=!=U_x`Qzl~$ zytM;kzJg)!Qh6xWy+?`VedGp=MYDWYk9m#A_=CXUph=A*ew&~w4>(>rf3Y1tbhzBy z({nRrbVb+JxlfDBOI7Y_3>V5+ukU&c$4<-M82MghId9w+Sy`QE@cdPQEo7vDBQ{|+||A6n5jz3%rtJFr`m`vbRn~Kx-1$ce(^ZK*J-oSU51S4um{P*>AbbC zPwE3Yi!Z#0Q|CV>^e}#l!#g(OYQ#Mcy7gxXcpf72se23Qh^oKp4n1SUft-V1eJ$N5 zZs-R``8cW{zu|!i^tf-Mjp{PipZhS8LZ8D>aO5+(yXY%%9C+EkC$4rWS7L=rF+!5X z)a*i^m^voWQ~{H_;CUch_aq|UU9j`Riv)^mq+=uu#DvWuP6@Lay8*sjr`}Hx;^NfD zk*1#x)O$+4_K9=75icVpml^3*F=Ko%LaL|4_?UPyD!z&pg%~o2F!JbdI`1R})a0Eb zM|O8&B@c}_7?q;|0h(tFqwFxRcFD`T-n;BQG!2A(Kx{B{_{dA`=0uU%g|p%YF8P{k zZnK{WMGA&t71squjCw{HX=I=G`ijm8?((X5sjptU&qm<%fVjoLZ8pEo5d%Yf!zL={ z-hI1k-h&=I_v8B7vr3O$;KsW?0tyd3sjxtDj>Zr&)GRE3{-rFcH9Ah5eA zUf>;}<;q4U-jnV%SWoZiTzVHVLZX0Rr9s3)`AO?8$anO$=z>b zukONc|K@MB&p-Yo%I#J=Vv?1*5jj6|K za?9pVc=)6nN^m#1jBNWZjdM%TwcyNebVg61%AMd3cHhvm>U0z=dO7=~E95T!jOGoz zlWx!(&(yoYdwGpJFNFC9JQDV(xqR_b!C2)hCo;lQb@;%+im!oV9Q$t4U+v(VGNw~6 zoFt1T(r+A+r(+R#s?5m7!{E*+t$8nbk6yxnJ1t?sUHBxPbn1}134LFA=iQn>$=G(- z;eYer{5PF0@(8RZ+@eRp5ZWpOOoh*cjsgWf9exZiiux=L7~IjX%MD%CY304UJuSrZ zogwTQ%*x>*5?}gi|w`8hmLCb$$^;-#k5@y25vYYtbiR z+{xJpG`cWoH-Z`|Z4X^nG7RYH@m79lX!7^J|L^}%!BTBY^0$KTum0jM+Qap}*O9nn zt9N{qhmL)Cx+b3{S9qcixug5K*QIyn)Gu^-^svJM=N|qh+(OA(!i%{7jSxAk&Q0Py z$Faw!7c9V-j)-g9b}Y@*L{%FOmaIG62kJtJ{ zX|k~`ydCpGe1|)49XT8NpITPO#yi){Y&UA3C135cN!m{~MdyY-+ZJNTPcI$&o<=JF zs*x#+CC`u>Ojclpyki-4U^N63OK-q2T@FQ*2|*Fg_>u{H?!G69Se2$+i78Ca2%%WM z=u0WqzVnm(c(}ou$ zG;)BO_i!f66#~}~kirEo7&5u36xEB3(MCX=X`>OXUR^2>>s$U5`R&`QxpOJ@iK3LY z9vha;#0uRS%RU^g^IrPSuEx>(o*^b}s-Lw|Bx&Yi;LJ?TrefZyo)Bfry-nySWR-Co zf87;rcfH!(uw)Ec!AstyAVLcCC8%gS=FF5#`Ad33TK0?RMn}KorB_Za;i<>J(J&?- z!6YdjfqG87nhTL~OP3Wtx?F20yc7S$IV$LGLYF*|zRJY9iEQwKP;N(#9<4J%u3ov` zZrr@xZd^~Jc>7-4f8cOi-m$&lI(_y`cpLl+-;RUIycjy`S!=w|(uA_v(DJT*K_X|< zGjnxlmZg8?77q*>cKAF1!&F@?-11w4VKq(37?m0t zODmr~JP;Zso_xetP9hgAa1;H|6>bsDMDBG7nZ!jOFm5AbLA#{9_|_YIFb!Jsy!kdC z!U>}=X*KT(B@FeLqNah26%e#p@?fmE=+N33H*|G@iC*q?@VT4|ZUZ;*s{9Gg zFaGWU?7jTbpwdM)+$*=-8AIB#q%0%SoE7Qe!$V|VROOre@1!k2zv_gAKJ+)dIzJG1BU8mM_Bsr z0@T~YQE9p|fsjeFg_uzJ_lBelue=tIQhoT?xjIRktQdG2aG|_AdGAPU6WFS>3RlbEhY>_7ysT~ROI{g$iIu37RCoDSs{Cfp-76_@(<7PrY36^#UkgA7>bFj3~!~&7wR9)MCwDDdBm8>osud-a7PRb<&W>ic>Zzw7|O2Sml{<0~g*lt4qvJ&}iPfyViE@PEUQ`zFO_DE4(p` z0v6@i)8o|>Cu(@$VdTAdn!L&j7kRyYSIKlI%-{7e7cwFf>-%7qUOp|(({5uUhT+$Z*yg|!Q*>|+mff>g4 z;=+7QKqoJsefmXvnx1(9Bd^r6k_CTtmp-r&-pZLiWd!wJZa1!4^{@-({26W?Myoo} zVeFHYb;@`Hcg9FO5T2ME`p#Q#wb{AZ!2USK%awNK%sB|;ck(VgIzE*@m~!E_yTV8Q z9o`OQh!&U`#5x`7uJ_&0gJTHOy+rip-*yHU^lbHeCXGhX$x+a_W|C3;h&bS0_YIywT&I z1dSl_Mf`KYy64Imk(TnKAf6Z(pUltDs1+eA4uU2RjclG%THY}T7#m?UsmQa6hXK73 zAhJs4uL~ilYRDpa0HJ$KV$~&jKYM0i+5?D%!ZCRnUz0zN0ZTC-N@#r_1S=A7GfI<7 zxdgK|G0NRs5gQS$hcO!G{Ra+JkIN)bm9gd>Ou*<67sV!JXo#K*VTB3I{Ws;Ei_0Ua z2&VES(cloc5UsxPwrE_pFE90;IYAFRDvqlrsD}F*Uly-3Wi-wd=+yLFosj8h>TBs4 zb+3V;h8QJ9Uy3fqM0!A6^6=6dWtf%wJ8>zEYUhjZy%A(gdN5<&#z2;~>LHZC7t}pI zoV;TlD-ULsnoX}}zFod_y?y!R z$tXAm;Y@qwmE&#i-hJ)Jv7_ycx87{8z5aTg$)P8X2Enw-8uTq3Cog3Fb8?c7e5u>&f>8A#v^DgBTBXD$e}rB%9u2Xj(SuipxcjLv*EC{7?rfP2o*H_ovea|)E@(J(r>Af)WvdV13^3}f zO}gl>U;XTtZTRzj7xEAiGI-cL$xo6NiH(Z5C(N@uzv zvqMMbMPhePsJVvPd-aX%%QsA~vU(euGyzSgPrFn4mpl|AWTR^sJPQZgdgLV178q;C z)aOV&CraMQadP!{`L-EDW$IryGBz53REnogu!Tha08z;*ARtAEAps@Vlf-4gsZc!+ z_g=ACn&Oqf6*Ur)FIM#L-B?M2`d#ITllu@>1n$v$9C#F=JSWV}PPggVsR-d*^;G-B z8A&>0s>dyU^6GO4B0$BISkcMzPAZbv%PaPExEuVR)l#hug;W6m{Z{!*Xf1S_)IMn=b!7neVO+_Jpcuck)v!4%*5ErnT)V`x!N{QC zU90!j+FE)sQ`0lmn^B4CL|(<_|FMzJC`V(X3~4DEyBrcwy&MV(FU9NM15;%~mO75l z>GG_+O`hQ*jmvP1#&FO}xfEf4HvEu0>51gmaiw5KMc0e#v$}g3DJ9uRC&+)}eJ-+7 z#&mElrRaUiqfpF67;y*g$|-nK7B83v&yJ3R7aF~KPMW^0eR_Z~iu9BT4!&}&uc{Xw?l`IRDQ~GM@NmV33llE(Z?SLhE7|*!3Dknr{G=w6^&UCq zueY|BB0FDvcCv{^5W4AGTMH9B6U;gv(D=-8f7*-i6 zbL6SqO6Y6yF5XVQ)t)t#s>|TGbfDbDFW8fJ{}vn)x5!p>dsaKQz7b=7HgZb#$vs2R z`AyfZ*#-F?E+lH=S6i6+PCQq%XE8=xkfpW=}B9mU1hMU zTkdpU&D)GjI$X5ZUpc^29$kh#GrqvgJ3Q*3VaDqFfU^wml$vKN{vITc@`Ow;9qn^M zauvk>N30Y!p2zRp>bV$E_)QoSwnDN`NMjA90;hmZv|bTigAgMyIBM7<-&AT9gV9lW zRJ0*jmAam74pj#=ReJeRx_Shi5up0p!I#doEL9_o(L81jcG861s4OHu;YdF5D7W#D-&RkIan?2dgktJd?v#qx{D> zu)BFA#xxl%Pm^|S{oclRX~3%>4Q)04DPtiGqjl=%&YdZtAC*PW_!3(14OokJxd^^@ z;&Y1*8QdD0*ZvZLqYRF`7Z*SEvUc@&o_K4smNic1Bpd-4_EeOv;Z$aUvMudL|tICK`!@5Q?wmbf+co;tL8 z?`}2V_G=07fdg&yN>Wzj%4TvZHE+ztOBX8-yoTq1qiD;r4GtYHba>p39y-*1|M&iG zJ9P9&d*#*Ro5Bg?<&&d<5YsoME!Z~+!TtEZ3l+sOn zihLqT35+E)m`=QI?1Kn|YyXG;`oAu3DPyPeRCy4}Kg60`;c&rR zIDg(JFa&R+}_FL0EMCJ#vR zFbRVWU1spR%cJ=j_JWz(nszdJ4c&RGd4}mZ@$)Y{>M~}yToYa*3&zAJJAN0x$s9uF zh1Gvx1e3O~c$@MJTX$HsPtWV%nB$cn^RCcG0Hyr8S3$-QbEgocGpB;}t;Uq{Ny5rw5^CsV5~LU8TbU+({)<9LV^%P9 zrPRBit;3au1Gx*Z#FMnj>KFXwA#`H&yy|z#z`v)@LUa`{_?2=9+)LXR+kt(1 zBVgSnY8|^^cn3VZH>CiQSM}C_p69FA(o0)=*p_x|Z`-%8v`d#SM-bCXOa8nPg=^pu zw=0m)ENM$1Jl@A?V`N@n2EnOo0+nII7~*7x3hD=_R6QB#@aA)Td$Yxnhr zwzPYzcM@Z|aH|mBP0vl$QQ`;Eut{V3fYlf(r-}g{cP>ER$pZE4S)Q(%gqlhVHx~uc zW$0NynR%yx>9i7>3)m zYfr5}TEB1Tp|$_?Klx8;Njms`@Pi*T`-$AX-4Cu@pRD@h1vwNQf`|BX_SBg&Ub`XG zJx5!USs9uMb1_(K!>*pIqbBeQLBH@TBR6=HY4ubY_&x9}T=Rb5PE(3gdE{Ny(Mr?X zrjbUF#*^6Yk0*LdkVby!;0ziQ!@vFQZ+63Jl7~4hfA*)}Pwc0qcP(+Y7n4mJA3VI< z+l&YmIWU3T?#B04@24}jRx68mMxH(GG{Nf%bV$n0%X%(cg8#rzAS-?g@&`-FLwHy1 z9hV9MCWIQfOl05O-g+$^immxgZzm1D%+HTyvRxnAP>)} z#TD4-u<7Ul4D;R!LG1}|3xm)$3Eq=qva&gRmeh(n8$pUQZDX?Ft0Vt-)3$auwE4vc zJh*d*zSmijJaJyFEu>K_0HQ+xO&uyOKA!|fKyojlM5rfe%P2tIq=8sjS4ro&ySE`X z5rWDm7oz5=TYQ};(g>6`MOxrg&@hOb3Nc|=rO7jb_R8ENcj9(DM&5RQl=mpZxa!Vb zD}@sQC>Ipi15xiy_sxIIix^5vJX^KvZM^=*8|}u;TjlDkv1H%DD}Mw_D3~SF;mTy6+nr;~VvLkN(^HalyRG0UE&+e{1!n=#ojb=U44WdPVT$SJcXA?o?a zZ}XO?c{iPR8tN@==kC4b9#wH3r9p;AxlJd%5p!O;rvbmar=SD?EO{Jw7Ct3}y(0My zE{czKJbmVLz01c*gWki2!cBlbS0J>j?0l0(&za!Ye2#nsD|}?flCQrPXjFN@A#tlW zrH+=kG5(&*)#LE7dYp+;J=lq0@rn#@k!1L;Fr*)@O1#taQND6CbORT8mxW(AP>g<) zAD*Ay3n>K?Sc;#MAU(W=J~6g`w~FA+H{U9LJ$lfGMHtf^aBw`WWsi3vdk*U=ye5r; zUI@SozuaAY)j~JeEJ=5W*yHp5meC795Q}$sPQ3bB47k;aY3*fhrB@t5B8<& z-J83)T|9R_Ifs`M9_qBwfx_@4i2zqP`c`l&ygr2nP)=OWf^U_!+Mx-a1K-KrMGp;K zHc;&Hs&%F}=Y1^}BSK5TR*pa?GS!n5}((LiOPv@qc6 zwOb+TbbITqciOMsd#~M3PeQ)7VXqJvMkSKobHSw|LMM3_Zj+!_;axZWkdeab=_`B?XstvntU7&4#!KE&$pYmR@<&UFSq%H<#J`; zx^q7QZ8mc#le{loxm@pE;F+6UNTc+ydV633%le~-EmfctF1j#E1la65u(y<;we~;# z`OiA9BNxLvRE9-pRFx90`3COdSNP#R6f6ddQ$5F0lz8~8*@3WDVaU69nNLaA>8GNF z?F^Nasp1#UgB#_#o*uyT=HQ!3Y-lefcB3l!l>wc^HQcvhyd>{DgSU8@tiVKemln6T zx8HrI%0-I<`}P+;c<{&nkN;=qGql7{oVo%BS;cSsCrfBJ46v=~!Fv9+uh};GdNppx zj=fUnv231rw50#pFMm}-APkY&C`LV-A#=)o6nH2SdBLyv8Ca%6OO43i`K|B909|ia z(hD}n#~DI<59}}aZarFSAAIzFE8v`b1Gz-Y?wcQwC)M zBa;G^r}<8$V^TI_a!fv*clJgaRqkx_P7dtZTftD=`o%APQS*te-?-ZO0mq^t8>U>Imb)skDX|rsrmhw^uLq%?9PE$GUxKvAiP>%{>YnpDkfuFp1h2R1XbCP&W=@xmLYcqy?jpxC0*GMyh-=i)L{VZ>nO}eCcce1Y_a}eyFWSBES>M(I%jQ zfM&&yv=EN#r*loH*TJ2Rd~J&EeTIPBX4~BdPuho{#R%TAaPWJ@?EE1bSxD8*^K*s{ zVQ?4RRs5$>Vu(O)N`a?R`zCeI&4rxFCj}d?Q~(9u8`$pNOi9VK3)BeUfeIn-aO57$ zOPE4VdFO*41xt-x)mv6&o+%#iR@$Do^xedmD5+e9@8+j%ao+Z_U1?R``M)Nomg3_5 zN$OZ`wTvv^?%z)@F};4h6ul+8^c6UXN7yNFZhcGbz(`4!!30l?9577i$^}?+Q}S1P z?#CeVO&mf12WaSp>yg;8@9yf|Di`i+tM}V&b5hnH)gB6W@7-_Cdw7&eXW}hG#0=cx{#NtR4jPmHF)d<>#yLN;MV0}QyS(d zu)MdF^yZD5g)4sZCKRvg4__fOY1k8ofe~U&;F2a!`G(%&=v|a`pHRF=o}E74^n4f) z)gXD5{Hq6!8F^!`f~p!(I%p`8IVQ${%cYyRB^$}Jj<>I}dVY?51a1K(VQ$Om8NYPo zNd4YAo!&;|L8C=6UAua#_DzsSllkH1ol zB6+sY1H5;|D0%nYx5MAZQGR!-;h0VD`)*_dUo=Q1{^~(!SVmxh|FpbSWOg}?>h6`5 zcI4>czH|>Q(pcQOccd2V9Z4sx-&X_8{f$}L%8%s z@{wnGd;CtYdTAx{Z^+?c>VT!c4yn^b$P^c zjbW7h*J2%Ia~RYS{Tb?VDg z?HgbFM$*bD^^y*Zv0)=JG@z$e@7`}~_Z@&4U3+&e`ZD@Hh5!{|sjhPA=?XD0;Ac3n z>PEfO&?kPuA2>lBz6QgJQ?jd`6pt7iI&pL$xN`w&U>aT(fAfC*emcmP?OC+iu3fip z(XCkTj1jm+55)LL(Hh@hcY^QqzM7bdXw@?bIT&9>6bbXKgkBbP&o^^8 zVo_D^JnwrCvd7PqWuevY}VH$T~q?e`|m-?wekk=%SNZy#x zIpU0TeOd9O%)~du@I3dPzTyTR?kel5DKC*~B5CrKX9+}zE6B+>8zHa*sW=*3MO3_v z%Fe=70lHyR<)=tYAn1cmg1F=Acyz0@8!~-2&+hUf94V;evNyyU9lW#6x0&iD$KJSM z7s=Ij_s&{b;uOgA)Lg#ZkAdNzAG?!GghjS z<}!T4ICD6brG~eI=e8&l4XR#k(IOS-%TuQcrV-Fs`umJ@5D7+!Uev6 z&%Ww$^ai_6VwI1h`86nCe)(nIJ#4@I+rM3>pYGkiuf2TiSh?EIoOa}Slw#7j)CUU2 z>9&R&E}TBy&YwNk&Yn42GGb_QerchdJa?uH3UWsupjYwCU&_{bP)WetjQ}*y`=qHA zWT9Ufi3$%7pF}RI(cYxD-n}Y?LwLgImBx3IV@-NByi^Jhig6O6 z;X=`fj;#Ol?i*kKdg8B_5&EOQ`cbVMF*%bb&3+&}9prXe&pP;O7#(z1OO8WN-lBrH z_|*^NyMFyzm820Klb;!C>Ss4xBma~7JOQcKi#&s@_*-&DB-DRDJJjeg-fz^=deh_d z-#vZND)Q3XiJq=3JR@Wub>g7o5Fg8aTtRQ9tPy z#tLJu>Up35tIouWvT4PL-@eJWXaJYubBDj<*mZ=w@~X;|zP_V(e#5I|I=>(A=7zox zgRe@VPU&FS#^OQhvZ0a3j~_(tyFNDT-f5zo?v>SXA&2PJb!p(uGkTJ@Jaqac47gnC zSpSjd@Vtg$-~-3})zESbm1offH*VbtY_og5SDS-9=_ksi@+59v1SWl~T|)uXZj)7IHkq?OS&7S-w(X{#m|4<9z0xc zn^W=81Qg?9W)$zqXUYnLs&bN#GF0;H`?+&x+m$Pq+Qsu1Ld(zEne%7c| zMhu;mm8Ha=YqPW4+BW0ek(E1%o9Nwx?8u@{fe1*{_^wm>6es3Q;K5)iN zZB=dZw?|>t*tmYTMIcOP!Nv(NH;50b3^YQ1529Qww3QG8R8w;o`L5fa2S;r zi8Ta;Vok;Ytbd#^UmghLKa|S*h8kt~P~In^ z-uO%jzGbuz3Qzp%mAQ&2g>L^7`*zIEErt%$5xn`fklvl)mYac{ggH&Oph!Xn$Abrt zBZ#v_6H1lx({MY)Ew|p7gCvEZvw&AqF#rq!nNeOR2~Xt5Qcc`bhPfJ@UwHSV1Q*)6bCqnhyU;!y@YDu>tD}D8s$_T;X&>6hvvx#JSUF7 z-rjukjdtkJq4E+?7V>9|FhDdSeUfH+$2%68&x!k_Q|e0pA`5)_jy--#IcEX*>ZM{!l{h z#*^VlxgkUMuq2<*n~|yUtluS9;;08gZ**(-$K1EYAS7?-UofStTJjor7;|K+>Uu8E zB+wt4_xVZWBqilu^OVSH;x0KjFZIQolc&Lh2Z@ogvSX#)TfJ93{(JYVIGC%U4*9L@ z+*!k9hW5l|2y29SRjyyZTJ>{{ArjfSb?bJy=@;i0+k@1#xzxvUt%nxm@zSNskxiXJ zLfrthKuW*sSLv~;4-7mLkhevaq9Fn=nlswaH<;E^SREuD2px~RF)GstlGH8JW-{;Mx} zH$r){LYx2SAN|L59uB?DTTIS|!8Dal8ddSm8*j8PZ7x`Kt_Ph?BuNu;EW6nIaMbhv9@fT5wYZ*+&wZmb?sr`Tz;)%mH-Hu0Hl8B zj_@xA1gHNZvWq*HNx?}Y3PK`CWkkSJD)oo4dh&Y6AVGW^JM(o~+g z57%xln*kzTzF|b-2vtS^=)Zfsil1ljc;E5zt;4esV%2p#Z5_SXUOILp-|ItQ(l~%E z`Gt5ISi7Tsl;|?eBG*ARzFd@k-ZHLYUl`2Dd;?=$&dG2)RbP??6x45#s?c2M*ZRXV|VDM`k`)+ni!nhkCI+@v+iMm+g3vV`Y+ zNYSD1pj9;t;fbU4tZ@W&sT9x=u{`C97x%Vi9 zsvF6tjLu29^3x;HU)M{J&fJc#z5BIxckONs1?<_mtKgNUdauc+wkMC`|2Vx!c%aDmO8Za$=|8PWb(G=pmtSr>mzN5rL;H8PJ82XJ zJ=&#}9ToqRDC3n~JKMqi2ij+!eAI46=F!v8oMm>FI8($Qee_YMWei!v15U(+Q}Hy^ z@C|(GHN3qit7t;zDSzKhN~GwD&Uw~2i6j2Aq$4M4@}R?z8P9pBG`@A?!^F|>BJc{} zsWi#MbJ3i~X_8)Edh*@a*w()L?eEm{gEUq@``ORRAQZ^K*S`LhDB79!^Pm5`9ed^F z8kR9(_?Pd$SHlWQv}(iz&o|z9?FA1(48il~+AFUds|ke{FJEe(e)?%*^Qb+mWzXu0 z-Xw1(4GJGL9zkAk1HS-=nW?R1#B?0dwWZuQ^7~;mmY;q0MWrD#BNRV!!Mo%4eZ9WsVQVDe3Q>W6QP4xNFLxwI{GM%A}GZ=G=}_H@19 zG_}yyV}xA0wHjEbca$fjTFeBKG)K=n{~{Q%;!@}fG?;u(nh+>#Pomz?`{J)G^h(d* z=%i*0bPEpR8ViO+Vo!Ep)!3V3!Zj8k@+{xPps6Z-%1XMj7fV=`ByJ_oC4Enmh?N3y z{LDkj5xe8m;h5w*iR12_=;k$af(CDI!H^^-ner59=pB}I=XQiF3U7^DSQ(Y~M94IP z^%tgvQQc7J-vx9LP*B<~XcVvSU`l#3mGhH8&8F9iR`S}KIP$Z>jvgfikSLX|mQV2Bc}N=X8CiX!HN5Wm`fbTuu@N@m7n*`Wnes`} zx(mI*A#;;FbE%Bos2Fj2quA+;zGxmgMUgK=xo%IRtKqh%LB&fNl?VLmY1A)9!8osF zYHp@ozk0DfUBB5*yu3fk_(OzV%kyv1eD!`O)Cn#TBp7=jE4=ML~qt6kO^O@0N5^b@DOS^R{+#^>+1? z<^3oP;aZGUb$ULE`f(||7=sTVx0_Ms)f>aL(D_N?m6rqBfk)5DL`~nlD-Tx;7)EmK z)e`!UWA~E1z@gVv!v@925|fmXZ~4Q2JQYS=XMmugjilsHJ}RNKsXx36wrk(CjqFX= zWO8L{@M`P&#A9>}**F?n>xC{w4t7N*p5~o74=3I{(axPc*WUm5qkP|7Zh3|kIlpo1 zIw-4dsH@!5jFTZhyjSSIJ;vf%j2m7q6JGVm-+JrK^iHQ^>^nKwQs3?&fC41IDi6jf zqrh))PNfWU(!Tz+ueN>r_SQtuJ$rU1&cpWL;aat6*qsSN0U~( z5jN6=9|w;ds!j!3sapylBXp)DSFT-Y+auTX=^w0&fdkMP03J_P>2BL!dU^L^yHQsyfUIwW5AZ{(?Nm(hr5bDB{3W4F`7Q-jR z#HYNa*s9EYD@IkK$-51B2^9t9S76P$sNM zCOTME+T+KLw^!3kIe74JTby62$%p&*?rDb(^^Jqd7>OWNnMqhPuY(j}*C3AG$W{j# zrNPwbgBPs{uF48W@Qe5OQIviJ$I<-)p+pBmB`St^<%!5=f@fIAMm>*Jya<^<*$c(b zuxxB{Ht4U6a$6=3dAiKKu=KMw*O`c?_|JKT$6d}w<(7BN*@)~KI?#jZakvjB@)p`N z8oQiz!!She4HC;<<(Tl?QQV9->F+=24Yke0wrIfeTZQJmt?t3;(aylHBX<+Kn6S%af>)=U#$iqYRb0SN*yEdh+ zYz2GhUi^=|S@E&?QGPQ*yw4R|A@Rb;;IZjJ@_ZCzc?8JCdiasJo~MFS!4UqGp%OYU zU^d$f>H$y8lcN0ywd^tFSrTX^*wf&rp3E%H=Ze7c%{PjNCGV+geYen{68LJT+sOW%n|Eq5rvv$TGfF-~LBluq z*Y4I_EMBhP{LSA=aJ54ICe8^V{Gu$8;mcfu{s;aN%eDH8o-A>siaO3~Jy$5Ppwjo{RGdg)# zh7Kq>pwj{e{WEYV9={N?}eIAG=b53u)zU*YPNbOFLc2V@G&+9&f+CW(sSzfRE7*!3%LA(;3nzf|O; ztWjk5>O9BQc$J>fLKKyWYJ{^BbyIpgx5KFmvXo25vOdzQ{(iZm!6U#Fyr#(gLH3HhnaAR zhkwIMWt@@VYM8IxxSEDJ3e#T%Es5wTY^rknl^`d`&$sHC6@5B^(A%WT>h-Bq^-@{f zg@Lu`*Xis&=x1Jl9eiu+c3vL6^b|mT6Ey)XdoQXR%Jrt*zjwc#NoBC|!JH1W;58z~ z{kc2!m~9GY=THsy!}Kt>JbPF@*V}hYI%NEAZL4Vr7Z#VI-=DS9QM4MqK3p}yy`z_& z!=!!%Z#Z-w2TpXzZ$nvn+PALXDB0P$qr1CJIPJz$9}Y1QmC?L&-=22l<)iJ$v6tHM z*N?X!{q*Oxd~nN5lui`GmFrhhXy~3E+AGJ8x5b$>Ug5poZqYgPcoKLl_3e`^=W5K@ z{E+kK&lP=Zo>SnfgWD!B-nBnQaHF)JGTeio#uMLP?6s9FBX<-kPaS-Dei$F((qe3>hc!eS8I&hll;;GN$oi$)M%Tz3GG19_Xr zLg$h7*H4_Nx?r-tc@O-wq$As&O+?T3B!tLX4M*{@@WnfBBFLB3~Y2bupKB)$z$QV@_}~ z?PBCK8B{}fJB_|LXV09jPQ}0Thkw|9?OWe$-}u_sYt@t?m5UcISK6XS@c10#GS10J5ci)M@w!3Izz68VJ z)~%amJQ()m4e666H@EfF)81fq9K*b7px`rL6!4Nv@z}1d+nx1qwj1&iynFFI59|jY ze%OBe;~%$Q{PLGoXB_rvxa#Pk!)1t?jH+{V>&DGUY7DEuo17x++uD^&SK0?3eORm2 z;8DhB=%D|I&k1aSH?^4EU6#Q;gz4z zpS5L^FsXMU^G24QnCQEzmz55_-D}2>G!d1K9Qnf0J|Wcso#-Buj33^=S93ztP|I%V zmTCh^VaM=^uI$+>7br1K#Pu>!sxIeS!Q(lR$g}!Zx-9QR?0HxvGZX&hb=t&-F@$cd z-*5loU;atb*Kmw-LKGPkNOV>dSf0D`2!P^vRz;&&Q+5grLAN4e;-}zR(v+)ePfGSz zdv;8KE(=pW(L4|tgclHI5DhZ*oP3m_V2n{trFfA^^=6V!8b)z?dHr3_)BEIKrT0*b zo)|*Dji7udw$8=UHzU849Q=du-Ftm$nMOol*(178<>aq=9~IDP(#R+|-{C@;|82bC zTk#5x=iicBedG4(qali49AvA#H6o}s&!P~)$UtJy)h0-(&jGx8a}dbC^1$Hp3qib5**xdJ&Abo!4 zDqNK@=?Mu%i_n<6RWeFdBf=2TKwQ6Zy@qVo?yW{4M_C1*8n;hjT>bYSZ?642Hs}49 zr@joYab5slFQ>y4oWn!7RO6PrMz1P$==#>%Z>JY|t6aGc!Y8Nbewjw) zmbRK#pg~-}d%s;if1!GnA4SRXq#QbYu*PGbw8!mi8pBdhDNB!gIk}TK^gttL0j7)-dQ+Kb3D*k6-{g`cDZM?> zY07g-?mh0*DY!(SC-FAd`~V=sUt@S9zYiTcSbD8`k9lV(W#9h&h5yy7SE`|A^fEA} z(g^4Yzx$Q9YlsB6dc^81P+S6V-cC-u+>B-vi(vJ522Om^kC5w|#G!A{}nd{eY z)>%Y`X&ESnWWh={z)f8383eDF%Hz{k3agTefsey z?dtVwwdtd?n#?()@5$ti8`sLar3}WUiMHOWr|>*?Hgzs^u@Y)M_2l9DXPMrx#uE9Jl^GIxoMa_0Bip#b@_wgXp)U0i!SKec#P^ zDl(wcrQSbnccL#6C3=}eY4-xJj)G2`wlrl{y-+R>N!|m%JB9`wR^|Jh_Z^=;9OIq4 z{QbvE^Eh;{D>SGcr)~WH5C5`VOGj(My)(lcZz+oI#^b*G{96hu`4P z*xZU>_qFP`@}8RuP{DK3z*YRD#SfP)-Wdlb4KY4^C!Doias;ZB1h^*(o>!z#^6WU7 zqeGCD@0$P~JQ2Jv7hKYM_aeOpPQu_{#m{}jACpx_yphHQwgFd#(2ii6gf;S)R|)*& zw~#tiF82|7-knb)zY)J+$n$ug?CN(f+ktA9=FZePA)kEmNi{xQ9=k#j7Yv@|=hE1C z*TV$%1bOzl@_dq-GZhM+q$`*LswI|`^t)gEO7*f0wFx`}(&{Py@P|Ka-~ayiD^KrC zl4Ni=8MZ61R+Fd4oUsn7k*y zDnkWjxJ)h`s=6k53gcPc`LQ8O<9ER~fz5<$MzeV_*RJ)=wKjO0xVLWKs7^@HATTme zVch8hG!=9zP`A8)$?sGQ>kAjox4UV; zrB|N0TMQTH=va~t4m$MutsCVLDj3o(phZ>Fhfi{fle&%TIl?M*W*F-a|{rA z6`gEhP@S*|o&z>844RK}{l=rgC&9D$Ll2Mmu7PjKhqS5F?o3vfp)Esn;*8MC?>YzU z^sYW1m44-NmdayYXB4@gALO1HhEI zW%JZhc|us&iCR}*kKCVo9{pKB7*P$ytgKA6efw70^7dHdyXI2icC>fieWktq?yxTgu+OQ1rT$G2RFt~(4 z%86hADXJ|0DOcl}XG}mi<$FsIq)X2U>?I(HQ%f^h%anY2ncR096Sk7IgYzz5x!O*j zJsl=qO>g~r^~SDVy%B|WDR5nCSFc=amo8roInro{+4qd^Mj_76EvDd2&2FmWtOs6_ zAuL?;dOP@E0+tHK6GTa)G(m$;_3EJ;YZiSRc!k9HjV@&@MB&tlssUUBL3u!;SBPFA zaa%fNv8u^n^=9*j9z~O)QExcVK-r$hkYP-UOY;jQPkJ8a2)*{k>+Qtr zuhqF8`}ZGcZ@uwm4ZU2taIyAUc$gl{{mAQEFxznfHhws1Nu3Wra!!w3i87SQP*J7NKkK$*FIDGI>dij>Pn;W&Y z-AJ89hq)8V5>%Tq!R*l5ti)V{i>H(2rzujW#vaj9Vw^R_}?*O}92 z+e|Bn zlmHI26r3gV<(H?*aI*aSUW}6a_wSX_X^2{b&0yWXe_z!<^Ron#Z}rN_|J7^PO6GX! z6a^kK(o5(;dFp=EkNkNaq2f=%h$o=g_%`l;qn@RVGSKMNysw29NekZNubpUXfxSE> zF;I%ale`Tl(fvDj?WlC#G2RVVS~jhI+wGRV#y>b~V96YOmF;K`=?08lr#;t+&++K* z=(s+QtbgxAKEi2ivLF6ruPPSJ!@2%zrrF^)cq@yroJ+*DF;Ihp?z-^nOC+PbmEaSvc zA7FfB4hr6G+LpQ)qb&x{|MCC)e`|N{t(CWT%cgD1-y6&tgy=>HVst_ESw(~rnPd>y zpzNiW_ou+e5w0!m$dTn-d(zOU?M`J~uL^VL_WdHFP=rnXH9rGTl#cwW{LWYmV2}i@ zdY8w3_eBdcNfU1P;35B#*Q5dQHY#Y6mo)P0_deXwgWtjnuO@ILj|rnyyyd0s1&apT z1VwIGLUrZp)e<;333}i?&orKsd`t)(0)~D){~m|MJ(K|VSKZ+ve#mdKo%kb74Id>8 zAqG=VFF)3$M$~v|=$;-t=K8Jj7~`Btr7)fkMjKL@cnOahe~r+{s^)m4Jnn6RZAgwW zHw;vYvuK@Y;3&6cl;=!s5Z2Gsxpw_p(XC)iL|3`%ii63eX!M-c1j_e{n>3ZL*SQzn zQgjVt$~0VrYH2;>u;6^;bzPjaAuWN!b&{$)C;>3wE+LUeh!=yTmxFI2-?~3bm6sFD@AU4W zWHK-e`QY*EWa>O01~VZcHv(MYgU010Ndj`fh^xupU`kYD$_| zINrY-gUp6gQ|+}A$J3z9)V!-V-h90sK76ot5VlHAW5qi*yoB;*B=LAS;OyGf%YpZC z&Hcax4HH_z*)Rk=$RwA(V-?UGFMLM>n!f6sydx9j0&d_Y6J@mL_u}Gw(a@y%2WjZW zunt*c%p5pyuxkum0=MfLgo?Y3IY&d*AEqke_EY zKZV?mbm%wYD$kIdp=uL*FI~PAJ!Ud-&tnWJqocZ~e)i5p@Q#i^=ew&8_Om%#_pG?^ zQ{5W*Febvqjo$+{d5_@ti!gdtmVmB)cbzZ2PE_yMRJ{#6_B4`CogHOVe&jOpLjHNB z$RKac+FfmPcYSDD=_lSf9`{74_m5*VJbh^RME#vky5w6Rcl`(sbMVxkpjRC?RFy5m zx;(SnQfBJsrfu^vI3%qET|CmID}|_peiRc^Yc+Kp@p_x$SZC|$ zVJ@_Ndl#FIwUQ6)-`%#a*p9gGG%cD z8|^QD^rLp=+SN#3r8z67Y(k~gw>*_sCXeRElBgd*^ zgJ(VO&g;lRYMaJL9Vdg^Vz>@DMGupu(Hk#ngRO)?!`h`c3bGpE)KBr`EBp-^i)=7D zlx_Jl#r%Zah7Q}7V#MX0R8AT7&0HD>!-2%Ens_}UT{(Ex+q-YR*4}yZSnA20&|43F zzIu3@H!rk*_AkDl2K00_?1D1E%h+A9Eq;vLvlq^{N9l}_O}LtO!%!qItI>aWXE@9} z3ys+)AAMSNk+*5c{YFT~qHxaTKK3tR1RgnZqKL#DZS4L0dlpGX2=%K`s&w!!iFWO4`!E3d$eMkH1SHD_x{mZ}n z%i68g#A<2W&F#U9i>YS}&Ye4!+vUp_+udrUL-)uXT3DL>KmMQoPm1TtakwAv#!r6o zlbRobhuQ*gR7XB=4&6fD)qQxO4VkY7x}H);G}6g)T!V&vCC%Tw1ZTO>1%^je0XRS8 zwM|_etNFymTQGRmVR3k&xc5@WE=CtVPQ80kH_P33Al6E}2^`L);_bpampu2xxSO3# zyR>;*P1dy=x=k?g!(1~Ryfb!wzIiY2ctiqdZ4MWC2|OvK%G|PPYUz6=F!(*Ox;mmN zHvsZnJCOPkMY6QD?cKK{jM&uX?T@gsGd-^9wlE*19szOn2`&)P>oLB{0!#07`)rJr z*|xMelQi3+l+2vo)Mn@No!OA0$f}-IXHv?K0%8#K#yLO$%{Mpj0XZ-RtxE4Md9MJF zo#2q?iV!tYvR)bVG=s5|Tm6;>p$RcTG<>nRyi|(R{tJ{e1`|$|M~`JNUSI@KpcpE2 z>pAxbRl%Ddo$dt7_x{{rN@Yu#by!g4Sy`m4-n(SUuB8Di>$7l6s;ZQs3xXtpNvgHG zVj3~))K_-zDdyj}eJhP;8g)xh6GyK^*hTD>+N8ayCt$3 zEtAn+d+kK6qPcd}q&>Zh@S+T)$F*0-g(xoD=2Pr=1KQf+KD6-z{cC(YWtg-)(X>V1 zT2qe>BEmBj_EHQeLoRw6ddao`=TfCq&tB{kQNR1$@3w{AD`{NL)LA`b@?07}W4Kq6 zp8WD!;7vLtZE1GC-MM+aefsGa)!Uz$or>a}Z%fNNDy=Q4=Vs?>Ij;$+H?Li*eD%;t zGQ-uRX7!;XMJ6H}p#d6pdF~AuSN!8Np7@owymf#!dqwCd+&YRKKtTi$?>S)V0DpMhNE*x>^ZV)*N%4L z)mI`P2a0xvfUNE?3HXB#K1kzrww*q8I=sADirK_xLnl@)88RTZL>}!%S%UB6-*V>p z$xxaG2w4m**%jF^3>{{!+OeZAC)K9*;fEi#tJkl0UeQCLEf3%D-lAdAG4F)(z-Z_b zfADt%&w~#yh7rdXS9w&to8(bxBJV{%jc?%a+&#;__k?9|B;B~Yu8;_Qh?-vMc@NmC zF6G^j72)sv?%ydHWz3lGv1jj|@+>kM4B09S%^v03;Ufo&_v)tn=p1{0@P4iA+)+#C zyZ3?KkD(E{L7rQ;qg(FX-+;q$`8-qLDZb=SJ5dcVpyVI;ic--9!y8_(BULi(y7##O zH|_#isZMubftNNCy-e~&OE8SUXegsUd2f%bxNk{k!`1>djGZ{oV^0EDbJJ&Xe-PbZ z=hgK`p<|vc;a7x0Eo(Qeg} z1n%mK6(#8M>Fs@WOaYDPofyJEBya1!B^7Z;jELnJ5lc(C|*5oXv!`Zq=~cp>Qe+%67Ng(lgkZbnAJZN+Um=9$#Mr9v(&^u0_Z+T2Csi z9z|y|B5Np-$8Wl@D?67sUTP}47YDQ8lIKd_1^q=v{q1KBzEub+w;>DXu^i(C$$Jg$ z>S`Zml20jzq$#E8IYHSPg0eF6yT-{Azv!E|y*zO{T!ZfQI(S*vO)$liul4(k3BDj|2iMg$J# zmT2fZ&owxm?$U`5v@!xC0_dSj$ZB`K2W1@)O3_tFel}XNgwh!)l!TtCUJ_3PV+TyE ze8Wsu1$n=t#_cz^^Jl&c-kaL)o!isEFW2_vwViqtkOSl{oIlrxb&#w0`doo@o_Ben zB>e`zhJwPOD8KpbZ?#umI@UgCB!q8_Y07yFGgwCKvODElF_0*@^D&Gxq~;>*JFved zFT?A`&0A^c-fx#KUoNHVNP@ZKX_yUtxR)6h@I8_;8Q6?74RtL$4Xo<1CE<*cyWGt| z!PevGg}1eDh4~%$5c&vUV$cb-J$`6ZxD-FTyusZCZ}?G^#rwJ{X$Ab(7?Vkc3;@c& zOHty=1FnO%WpFSS)QObykU7iM|;Vl`mSLYkV|-1hF? z7o%iPbl*(79z*a(3=a*63DD*dp!=u`>N(yF8391iD)D(N#e=srR;JWEle|+m?ycRc z25@1%AL+kRn=fu|*REWR4!B#J4i382GUy5I+?6d3i(&v&X`S<=?~*Oi?Y+$yG^9VI9btn8x%1wryV^-|1gDfz zdbH;&eJ?|uF)zs`e3d5p@5Z(3;RBjQCq-`he3QGFsumaTf`fKAe_OUpEq-q(dqw-d?gh9f%#`qP427rd_1E{c zxq0VKY^|QcmaP;~2%W-G&lBA)Xy?M%$5GaOSr4N2^~ey^8&QVFF%>C{+P-}uh79YT zff7S!`)rsx-;Tb#za2ibv%PlWXnGPm+T!-Pnh3jl&q|O=qnUhW%F7Z_&{Hn&wq9if zWHSr0wT1NRtdZ^;5&5n%r`+5N(Zq8rI_J{xJ4w`j8Uz;|to7FL@7cSrU5}t$3-cVM z-@O|XMqx$FJIZp&vIXSXD4NsKQ-u?UA;!+=rU4NJcJqoJ$8-)$hde-|R z2Z85l8u8>(@a1K22+gK6l8_>_9Y+C-(wL{9w{Aip>)OP^P8l?PPNyCyTD=~E-eIBW zsuCrxWn?@Z(hziapeRRtLGdn=rg(qqIm!)h&4JOQK9e5isncIpZ}a3AUqk`l>F0H% z@-k3fJMr2^8WRFlYLf?D#?u)Guwd-kxQ=nS<>|w=f8TPud+T0XOGBpTyM6mo@Lz2^ z4<4w-WbM9XyWs2a)|hSKVZ*o>Md%ZpD6hxQ9+yHg6!jaw@tvgE+&*~!{r1goeXE9G zOoHa^c>C?Q+o7XJ+QGvI(wJPScs9{WM(L$I$hV{IUif?d!lm-qI9zXi{eBtDjCVt* zvsyfnFVh{1~`1dMJ2?K$m?q>WwMBYtXBApEPLScMr~m@1dD_N0y71L7DnO zqR2W=kupmLlBwm0WT1M|$#*)2KzW+-ejyFS?leq12!@iDEX7aVTAG`U;(XXQ@G{Fj9*-0az-L!sVjxC~d35p<~rvgn!TlqbM{x`rNmUEQ^FckXDm zt?HqC8SThaz5n$`wcPyth4Uq+jPN?)H~ID<1a-aFA@~eKL|{mued&Yz)_dRh?pto+ zBx&h{anTvBjX04jO^USX8 zz<1l?_u%SBN4keDC_~{xj;kt4ad|pcp3P6TwjGw9K_GDgM=Fwa^iRu*q{vhTL?Z3> z-k_ib)TNbj>R;_hK9Db z>GUqFw>PF&+kS^Atl)!(P0CtH-f7gMh;1>e$M5i~zFANjn8Yt}P|Nb$mh8$LMuss% z@ihJ#D;2kTCF!9FHRg?mYJKQf!vlGy1p6f4zZo#W`(A;19s#iEf{r6BFQ*q13wxI0 zOe3))xbEF+_rK+~D@KTuP-jzVE?>D8g*nsbL?jP0^KG7k}!H^J}G!}Nv|uk*aM z9eelGDg|B!u%pAk-<{&>?q;%<%tOEYsPD?yyLW$iBJ6)*#l&jz@!k+j(WvMX8IQ4a z`RdiSytGs@zCAr%v^n|t=e5^?ps~Mp&90RUi!D)Jvu!SQY9>99J5jbK4VpLy7IR5< z#AtC00UqdGqazuj?54tFe8m$hO&B(%TnS6w+hvxl>x`g1{;5C3ljK!Az=Q;NfDLWX zRDHtBp~$5ncgoWtKrb}%&Dhy$0SgeDmF0ElWr1O5LY?^=Kc( zh$#6^In&#s$1pny7%O^lDK0(e`8-phThc3^j^Xhz9hAlLc)kDO$2GZfQ{*bzE#<*4 zosiJY+%q`6^Y-!3jLd?H4&2;s-&t#a^8G)pI#_a$WO~0mk-cufr^|Px%J0&V`Ry5A z!c=X69hpcpJGmOlk{90Cw}PD4$;o*MCvoxIN(Mo1oScg{@>K?7cz8*x%-qS{RAflq zOXPZoUxNP&(&S&>$O)X%3_J$R-oF@puY2(Up7_HU+Em^L`*QF+lpZ&McQV{-=()Vm zN!#^wmph@$!1HpH3CBTC6JfPW>V?ve968$l)t~&Un)`P;9hQB2cZJ8(?d4;Kt1h08 z0pf&gx*kq9(h0R=_5A!o+OWuJc%}ukAmHlNOSNar?VGm(W8VZ(o7eT6iKHb68+0iC z?V9 zqi7Oi_f9$;;1B0Yt-F)85e9!o@VjT#;bu&0$oqTsck(`gUan3qMDnh~#=vnWTRkuD zwrrX1t7H0@)ZYYP-rAz-z;W(3J{Ckn*GMzf^N zm^M@T-f9$H-j6XxLIL4HJo&K3%px{iBQJ;%d_y^aweJN%m`iU`uV{MKP(a{Vn5{kh z9Zk&rnT~W@+&+iL90I3c`i zlddq+gd)ZfWo;8F!V-{67~BaN>z&dg5ELB^3HC{y-(YU1CtCO5wRa;}e~%v08v9?0 z(wmR+C3F|*uZt!P@7v#w9XnPhf9^16XJN6;#Ddn? zs_2GeO0fi|$7u|d#fyV)_{e}G$P@yk;8XAOyKnX0@hPuSgjz5Jpn;Gd!LG`g{NOh3 z!gwB+Fzy?hkLAA?6_=}AmJ_`=RL?KeVPGvRML}P8toDwd&h2E4d zcqtGxq9`w1ywE;7`9pu7QPz^3^nI`}Qt}e|V@-2HbQy3^)5QOgd#~22Mk5hGc}2_wYPgC*R0NZy3<4 z*8>6-8poK#8!|{=Ri`a5o;h{4jBVa3E5qP|-;7E0HOIv2EAD1xRfoI>I zJ?+Th!=-n5TF~Aw-K6KScU%hg* zeQMX!$l+S>rSlA7lG%IdWPEY*RPcNd{`P4Abk3H1)4^dRkwN*5I`{Km{Hk3^htLH5 z)jK?moks8(oo_mcjvVmFlVN~A!z-n%UT4Tnc$0YKucz;;$CQabaEyx1?{1XQa3*n^ z<0P1aCNF8hp(E{?Ub%X?58L|fs*rqzjo%6N%-~4gXcs8Ft#1`Q03`Q{S1`Me?D}mI zCTZ{>u=q+ZY?@j;T=6sjD&a=qOzdafa+_ONYX=TSIqsNkE6ZDp;dApdVM14C3U*PN zfKgFaZ{Mwk$qEt$@X!s>nUPimv>@6lVgQJrl*7IQB0PpIemC0dD)PUB9uO-q3p6d+a<9pwi4UVeH{ZY~ANf6b`k?YCp{ux0YADHs zD(-oB5NNck2~#sB&OItmjJq*s{PFzR=O)j!HXkFzNsbpTh9B#xYy>F{8s*mobFtvP zmr5gDpYYTL6Mw+z0%O5d6OO0KC|OzAUOg-`@}0{2jB-uo5acs@z$0jc?JrXJ^D)-D zu6SI^gp>TslNLJn{6dQf3}%&sqteCNejM7A(wXFwAJr>|ORZ2TIC?J|ZTmS1;`f>N z%9Ot#j=YsAu5>Fqx3{mn^-l5N^v9pZ2=0bzq^RAFVMmGG4_pV29%-L^_F0tA{i1J8 z7E69EL4N88+`u@3c{gCN(gE%xK3ukKn~hPqrcu`a8@tz92~;nS)b?8>ks~W|Lh6+g>Ip9*IQj@$giJy(l>5u>1b@pwQ0J`jKk=v zOiOcf*HdHUFD0 zj}s@37ff`(&wl)~ZUpDspp~GZ##=g)E+9v>iYARZI-r>b{gva#QVF{5{o;$y!s{EA z$0whB7Q=L{JfQRt_|OJVZNn|_ETbL%8RD>4j%RQPXyqzga&= zC|XA5cqlyo{lE8bZN&SlAN@s@Wtf}?)O&n#){o=+|Iz>OKd$*;;@b2KUjMIu{Ev&C zj2ZMBa$~4_Ix=X;g_o0&O&9n+_{^XI*SNrCqeQFNs=Z3*N?Gc(vHC6?&a08f=y;AeFFptAj$`6Z)OqxJ zZ#!JzNsCeM+OyC$bT#1#c|!ci`;CP4Q3aPcm1EcLg;>wCZEkjJ+rF%~Jzq+` zkJ0yr;W>aIVi>pnV6EMaV&a}+7Fn54z5L{hcq**p=((05>4;?Gn7$EYd9mQjfXPLe z-4#&;Dy7pA>K=&>hoKd2X5JKW89#8o78}1W-g6-1jNpik+X8)bB{VH z(y-0?gDA7)xx8b0TjyR|e^|5I%hkjRO_{7x4H{#}apsgS!SHSLX39>G>-67F*Z(g44t|4?ygb-Q?);VvE8dzHU4MY>9gl+^B=1gwlA-QPfpx?TxU9L ziGol`t%%_XQ4Jr1A;{{%aN|~k8oGeN5-V%US=Qp{fsmKlKO*$$G__rMXgo7rbA2>^ z8b0L{uOScU(hWtw@v1~C;*j^-dZiw6BhCo$x5BCnp->Z?WIP<(+y)$cG!^$&dhs*WIqP;I{`gT9PB+EgM zzwuhTWa3{GFS#UVV1c7M7|6DADX7uV`Zk?!dP0Br2mf{%5pyvZ4567r0Y=K6%$z@a zuC|(n2Y0YjZSCbjsVpp6y8War=bgB^$i^fFL`-?r)0q2zlly?BOH*qok!jE2xbuS%mc6gc=?Xj%MAIb;KT zLqS$q0cY^NNAKyp^Bu1!(Bg0Y)Jxw=W|9W1UGI%L)YH(0h6c)`lKNarp;NE)Bo0Lnl$zXKM)s4w`sbJt24 z6y){dg)3Dbr=}LV(Ud&rch1$m3zsfC*|^IeJgmr4SLuyf8kvTymd+Vl~dyOx%P$L*z~J0nOA(3)xo_U))iQHT*zRVI~D@*ref z_&gq5;*JnsyPKX(8bD5de)y)D!z$V=Ng4(_*ovi1Zl+2 zt(P&ug*#r4yXFQ2D>Jyq__95L5)#6 zU&KzMPIF#gzgK*;nc2R;Pv*fpPFgmMV~14UHY>!O_uwdSjk8ly)wyjk>9u zLSJF<-$mdZU*Ek#UD8$@HT(S_4|jK0PB<@U}yZ>RBFt{$x+%~xML zQ5)R7{>JO=S{m)&`mOKQv)w|Soy1sGSg)g7lb1=1=PK^aTesWEQ>WWUpM2gv`QlVN zckyx!^E?X=Zl)7~-qkp#<5HezbslX{b0Ytdj>v8dkd!jyXlY@&i~pN6sI$>({TPY-i8hic#&*&3%dE@I{`m-fn<1LIC3m z@-d{Pqj&O)lkKCAJ}mFUj>YBn@h6{jeHVxyL?0R2S3Vu5O|du2m#0qE3DE^pbp$%y z{B{j^Rgm!;jAWR;x)HwFdG=TD{i?n9(Fdh3PMthcojgNOR@S`z_B&}4UrxuAM@VNX zG8r9fo&^sVooY1@@y86enrD;p#_%G18OPO8@(w(C){cU11iArDY&2-Ht5yVkb>zrC zk5JWvu>6^!+pTkB%Fa2tQP#2z%P5jsB@>}wAqJjOp0;tOnC;$q`%WL@ z2E5AHDU1LrPan^$vB!K;taO$c5uWPx-?~*}`Wi(7{3w-#6gW&N>a{JFHQpO*mDIs( zlvFi7e#<}Qch_9E%(=X-U%eA+d{-?;H5N}nirZoARxmhAsphvbgUbw5kwyiEACh%&Nkmeezg@B1p zMK?yxBrraW8yB4!6gR3t($E3uR;6T}|cw)mVz(dvX+zJzXA^0iYKRzoRd` zRPydL+d9W2anRZ7jO#I&fA+JVwmYeV3z2<0c`m0%w|@UY6w;mYTv;t-5;?<&!3tmL z9c7OuzU%pG1fQkBvBkX&jwmjB#?-zVF^bWPq6tt1E2EcAu~fDu8-@;aL)}9k1`x%F zcJh)&9ma1L+PfH;Wyprt1zTibbR_x;fG5eT=ZQxS5iA{)v@UhU@%>xUTdcA1q}{V~ zSJH=0p`{fx>eriZzFiFhgF?d!7M&pcu+$QMdcb#X-)*N)ok@eYJ)ILChrr3GPg(eb zS8&5i<(H&J7KV=5ZbEqsJIn1Ia>h#q7j-h0NoawF-Q6yYz?+pQk-sj3t~!`dJx(0* zZ{G{_(fFC?P@V?S0|SFxp1i^49x%#FPvCF#B_jl!aOOp@9sB81C(Ee57=y_nhE7-J zaRZY1IMdOE3v&xe)rZu~J0drXi_M#2AWm5p|E#S=Hp~em16JqJcS{RPp^-Q-0|UEG zUGXn@L9}?A%l=b3hK#em6~We8-6AhCK_AiMjFK-t`?OY*nTO%@>D#HFkI+AH;ffBu zUKW8X)Na=K-T4#>RWEp;s{FR}pUIZ4pa;r9$-b;MObsx6v^@jmcd>?cGQSOFm$%c3? z`RKd>b$FWL>GEA0RUt?j`UVC`7dUG%ocK__=F#&4nC320x>fiT~| ziDv^ozl)wDZH-#66Q1Wm4{JXv7cUF={;fhWKd_5pvghNcX8T70#E78SH#`a|*(9Cc z2ksSl9VhbuHgk{5R)1`ETGP{y>$mm!F_exr*yA>(&v zQHOc#f>+PQ-v~`&_+5>n__;=$P;BG(2!1QS@-IWM_xj*t(-##pwKB}(zU7sY#*j@n z=-}rXd4XNSHiYysC8dHeJ_4Kk?QH?h5}+61dm5gEA6-Frfp=Gd45u;v&=$PX)uDHj zOZs|;U&+1R=h+1Y@VpqtZ|O$xPUG{p;|kslndy_nx?B{kH3WI*`FXyKn9d*NODllg zaFnmQf+UK_^~8L0cp+;(gZxG)8Z(0++OM&P5TPO>Z0x@M<|m zkU0b9N?pHkx#UN$n2~BF2`_==yvW22zl}$5njyO>jmP$?D~8-0kOy`_qmI5{6AxWu zE>s?2MwN@yHtrG!UHb)rK15cHc4S9@i~PV(Uxwuow?re0;&&YFt<$$!zjot#$$NPdLl1H*Ex4rZ&-N?PHauz9 zu3RhEJ`c*(YggLkE0<~#Hy-u9oebAKXfMC~Qv2?Af1~~8Z+@qJ`#axi@4owXHDaTD z&pcD`Aae{&@Y`M6q*HUxBbriseclv-VzGQ(qIJ5|4o48|K>1*xU|oT?$K& zL+^R@_G&w+sG#;Fl``+fu!nMCWVcXo z+BD1h{hDMZ-6V9OBg|FhwM%t>vD_Y~^QvSpd5|afGI5j0FoEv{dqTnb!f%zX(k3XW zsGV%f(w2X&nlPK!=wI{>o@2F2-=D#bfKkYlt{(~F$+!OoYv9bY6*hK>)x&uj+K>ks z;U?OHt&H#d^@gCs+r1#k#LAi|NcnII>fAG^1&q<6X2Zbd0STu=Ea(mo4Y(jz)_XkR;g zU`K5>I6FUG%bQ5_a@Klw%N zb9D8xeKjt$lV5xpJ#eOW#3c{l|G^J_5Pjz4*vL%&cq246E6XcwcXZsN!2H#(e5D;Y zbfg`B^+bE+_^a*6(W5n_X9#URG&gCJJk)%r;1rb8VDyG9VwAfMOQPgmZslpNhXlG9 z-ASf>E>?Cd*ANT3n3GUCDv801&H@7fZMJR>y~E?{H*Zu&g%QzXpg9_%sRk=`EG6i1 z(}{M>?D1OBkEe{@N2hLZ^H@Ddop6Rs--l-ZUW*yFhpw~jw}0n1+dJ>PGugjptyW*X z|G@`s_5R(oHK-WAQ{3SPIJGf0#j|S7wHE&HCX&%(ue@6Oha5e2tnJ;ir|pQ$-?Dsn z)zJK-q_bsxaGA&(T9A#P6|q3nj!AhL(KPV}Zi2YSjvsHYzxGIXEc zqjZ2ge*IhDt_EI~@_*S#Y%00kYRSk7p7SVOiT+Se3RtPp zXabMt@*dZxP9N_p6d`?yocNIM%2Kv;uKF!%y{k`?=dP>stNxXYj)J+R*;g)b)x^=+ zRIY77D zh5%LXTq=r5u484?z{$N_-2sOY=i1;cImrj|TDvgjJ`9%!YkgG*ua8i9MW(8(;48@6 zJ4Qi$OM1$CYv8DF6w(Afzu~PnUc3n&aCmC23}J|*iYIiLkpG}1{-!}mzW7USipR-F zo_dJhlfU%mtE>>B8fG}62R<^cZ{ED!&YeC}t6~g?FrJ-R@p2ULE5}}L2lwr7AAR&m zyL|a-yL|OpZD>UHu>yZ6W)mOr-U*m^01tKCYN`VV_7%TpQ^#kfX4|o2uT-8Z+jjUO~U$q*MnTz)mlqH$K$94#5qL;Vqu{-iAGHtO`><9P&CjNnyKi56 z{gv0+_N5(Nk7@9eu6C4N>t4n0fB*Zn>f$|H{im!4`NnW`1`{f)TRgq$#qz>(l}RS_ zRG$T2XLWq{H-4+V@!IR*@pSdT&2ib3cyLoSrXqXl6nwiZJ=YcRaH%s}w$h*Km^x$r z-2FbkrRsF&Ne{^+m;Fp07EkB^b1Hg3pOOEIp?ezoFn}%0w}LB*loQK09xps_aEp8KfmELf)!`@wYqw*O-F`JT-_dH zi08q^V#-^Mtl^b8A_w;Di;+DWdUjZZo;MnIT2jr$d_hGGQtH3E-gboR7q#O+I=%F&*O;@q1^>HR20? zgWWaW3FBRuSHEBUMl(jFE4-LqC^$A`vj=f%PDuZ$AO&&gwtLU^+FE^PZcD8U zu#GiD3#jBy8slwc4LEcA$8c+jq(ew`V@( zsP`nT%Qnl~SGH3d)mz%KWu|@h@#(gI|Dp1*T)uia6^gr;OK(EV+`*{aaUbm1ct61j zZNTNdbSgo|r!!?#d~~xX!jA1r?a<)^ZLi+M%2F8ReP5ajC-V}v#ZWT-EUhwF(dev~ zEgX62Xt{4SbPpa<+KhWy6k{})Y=F>B_-drPj{@l5W z*Y{2dKl~F#ndTAfp2wwUuB;w!zZ=+BC=tHfx2iC}tI&x2o(|f`tOOtq>m9K%vEA&TElC>dW@w#~;<42&=>7$;e=I>g@|~7;>%LQI7{+3@>R>Kqnb=K6(Vd6MhIs=P7upoR3&uV)MV88F zh)%bZzLd6lkw23~@5YG0!$IR0?}EEY%itM#&!-N%z+{J6bCLw?MN3ZgL2lxv9@jW-5-H$)|xQ1P?Ub~!nVY`X6S26f%&P~FbZ@-l` zu@8Y&K1oYX&YnBl>rj5zo<}KL95A?hU*EuxHWjZQ=#=;!m<$iTosNL5;LUk5->3}M zd`BDYCoc#+jK^qF9k4|3Tyu;jI0~d64{N8~<>l%e@RqLLx?Os4;10eQ1Nh3Q46G&Z zlYq~%XI6PzxFlX4>ifp;$#W0#?`_`rCKI&ZG`+Y#Z@M6NKmh!#z5V9FG(Ig2@}_q5 z@SYmhUwcLIEJtLrumk@CfO)r~zAA+zjGu2jh?v7#6$8S|YhRcNumulv} zqt;E(g9Ui(#@L14ej=Z@YTG#Z|VrEZAUH&lPuT8jZbs+o6L8+Rk0eJ@gU%t)m`JxNHa+*uB&<+k@oSvSJK{~R5p1Jo*uX7Y3Pt)K#98h zHt->r1vd{BFGunRpL_&yH3(>84n>taQKnU9l!pe34Ed(_Y@@JUyZ4r|BB#4ocD7%> z|6X{To>2H}#yz?`FsbxmA6B!{!+5WJYw}q_;XNtB920%kK;GVb2iO;r*@KH-g*N_9z+JM+c3*`0~p-%#L@&Dw`)! zX3x@q7VfF7+EX}oN)18Oqy-Pmwn2BDwb8EGFiyBf&ItkJ!%+z?jpj^BY^zO!d zF?per{L6EuVb8PoE|Zqg!CW%3fnVm_eD&*J3teX0Cm(%Sx+vHg z_py4z(G!#UlJdaHlVC0anDLBGgcDx5D|>0OL$5~6T|B{ec@3VIt`E(vG(7t9v0BCT zFaPDgtoa+|4GU4V8-sT4(lO$kYeLSv*Lyd#t{gC0Zmtb5c{U`eI&^UVfiha|tllmI z!1CF@^ZUP7b?49i><1h0l?OCws=QnnFv@>1*pQH1i4Py@6-{b;^#pMIqkr%Z+pDj= zQ8aLsOh#t@$v^ogwO`PSbotvf5_H`C9`9_XiT~RV>}+43+uf#PY*-?_IFY02X{RnP zL`HQ`wzhxu{qGm9RyJO}ey!&lnDLNzdGK~Nb%6JLYGyWV+k)n!Ml0U?*)LKTbp9u8 z(gdyn)5g2Vcy-Pu-}+?N$?wzzb)ewSFZBf7=ppY0ZtguOy@&C>hGV*SLq+c&4jx`< zPdDFh^GhalZYd_enCZyaYM0`u40~N|Yj+)NwJANxZY?7Oh2(q<)?97vOo2vV?%nOn zU9E$!i8qN`l`wb0Ulo9ANg47GluKc3z>wz(8Y8h&ruF)I3=}#7R?kOkm1k6ZDAQ zw5Ae=aE{Q+w_{(24+tvPFf^W~OfGg-uZoW@EH1xMtZ?P2q+sjAiR7>wIqt5_ z`3ApdkGrAh@?8M;;74K3IXTgr1!D%D( zqQl2D)-U`$zQ5kS`1sRy>HLNEv!DH}z5CXi?d78{)nvTaj=$Qz^^I?}-~HX+tpm1P z@-O-Yj=veYhejwGEiuWkRFWF(83Y$AS?j9@_BYsDt8Dsv0O4Ijo_pT%aC#x{roP z-eAs;g4?^|L&~aQweg;Q$$P617}wxYXQgMvyma(vP2!c-*;dK9m!J4}$B3~)%2hJR zBPGj}P4AWrsz35ncJdisH$gc?n|#xllXIKDsSCgQ)%)S?Pl^r$ejI`qZ%OT}n)hfV zAJ0R-fzjkvp>$*7+fN1^&Hb8Pm=6xo9}L3~#r6VapeGOdD;lrEns;dW>|ysRjxzSe zF!@LS@PE+$;UE16WzeA2_&zSdJ2W7_;E*So8*$(PpDtUy^Jyc<*{RuDiKX#_Cp|}} zN-tf{r_-}Pcl}e}tB8Kb>o30eqV^0y6BFd=GG46YQo}SQTd8}DNpSSZr|NdNmP|$& z^xeQ~z)$AJrM&TsZvA|hqz!NA6^%VFg}i$Fo*%r6?%szszKt>}ucRNe75t{h%HRpR z=?rw-64*W4Bu-s=^dNGivlSkD{=+}`gKm62Tu=MZ+fT66Fcw*vT-~S-4MIETC0Q5( zB-=lv!c-bzg9e@_I8~VFvyRUsq*4q6q<-d`I#GF5edu|@&GY!3Hyh8rq{!5^nK2wg zU=jUsJN8Pf>*e`!1vwyY$M(e#34)!GGUkp>(J8OrS*DjA>wET0O_Hi1kq8K3HW`M% z&c!00nVG9f#=U1!Xbp*k5Co@Oxn;0ykAbtT*;oZbxyB7KsJz}o!UWS!v_Y0m1a8}% zJGU3?dXhU=cBQ!VzyhBMls5OWOqUU2vYc{xLQMVvugRdNPu&P?mzyKsd2O!7D(AYS zNRSRJ;4UU5Z#~%1HeW!|!(gCFpt2-d!CMB@U~U3eT)10wly)rJcg6KKi|jm2kL!MLhzU|B=-ybp*&YSK;sd!&1B>o9qoN-K4Ga0@T}V@2t1JXVNuwhn zebFL$&?WXrsin4&c}q#vFC7DQQ(g?EF_GAXE@SvlJw|78B;PU)ypOV0hn|x2DMNx= z+ldpe*HZgeUV6DbxPQMU`YkRjx37KmtL^1iUT&|ve7v2%c%k6xvK;#5+0ato;Eq=! z1Pm@;pkmrR(WIucjaqd($*Mh=HnR( zJ+yyc^wxpe*W~4wkF{$zuC=@6kpu(7D|I}T!C{;_f8^JaW&42a+_AH~&~@%e2e}>C zx4-66=rP+b#3a()yLYy4ee2t02=k=S;XKQD0yg|vzwbOMw1R&x9UpY)UVHUK$-Z>F z8~FO!=bzWI+A8)1Sry^411L>RZi~X;yuDsL)O}im0de) z{*(jg5~1Ss&~?c8?RoHS1ohbW7vGcBQ5KcOP&o1W8ynx~a>Jf@ZWyPhNB8KJJcD=O zSdDX~)b27>k~af7jqudMY}>PKu5FEOFioMB@|H+3+)}H^dpb+-cdgs$(Op5vGh;RCotCZ(ZX=Ae1;@xI`1W%9yUj%mFOq4R_B6&OF?NM+v!mr0BjTt~gg_8qBQ^^2 zevDOf`5`KZ#N)d6Q^hiw1`;EmQMR5K*QE;JnGzs~5Tdwx{4jHZpzosxstEn(5d^{LI;lCD0}r*&ARCH}Dq84~~PN74nQ9 z<%UAXA~4)~{Cb{52Vei*8Ri;ryX5OC+Qn$AGcSA#Jonda)MhENUHvqQX$W#%xOA=E zym2o^;Po1MfuA0nz*2>0@h<|*qGkd26+X|)6~KaaHOvrWLAx4=ikH%XX~dz#hD%u^ zQr@PN@gn^0_(^Hko)NK}S^VhDLU%7?qu_~BE-~++;^rzKaw!)n3tp+x0D?;yMZ?^y zfp;a&R{Tf;m9=0>Ty!bfEZ%e;lx)j?LN7g=+VcTk%E~33OMYb(N72Ef4;4I$qTu~Y zIl-rRo_q?EaxCWieGXSO-N_kxyU;W9y{C@lEpZv6)I@8CK<->bM(K-FnFb2;P zw?6p61BZe?bgvLzE5o~z0p6j_Tk8xJypqq~{oTLQ-u=c`+Usw;(O!Gw^|o^KKwH?i zE5^cF`>VhHQTySK{;IwA(TAnHuiw7Yo<<*-qr{jn%uu|HVOR>Po^{RtV6iZ|l-_N(K72BoW#zRnL?y;VEk+CG6SnnZ8d z_QHj8?eh6^wP~9DJ#_9)oOrbzJaVXXnra1NIDn&IPIo-dN)bTMn-d)2!j7Z;H zaM`tIXBsxEoVfqHz8OR5@J0vpq+f$wr5E(di=Pv@fuq1n<9+1?w!8*|y;Z2nRf#h?$M`LJoitflSU&H8N1(?FC|G#dCP% zuL8ORmZsk0rY-5I1Q*&3Pm2D^fI}K6bW!Prz9v0FM?)pZlhPKzR-3L3o}hj3i3X`> zblr%TINo`NqH`5$=!IZU3=J20xGDq}G?uQ4oZu$?prJ9eG$o0bJaW}@zLUw}6~Xro zaZ)9XUknpLS)oF$L@|V6H4OMmR+Fw^Nx4J8x=P_i#=ESc$wZmdyqD0bDq{E{p=?{PY>tQ zl6^f%J3`_M_bPnx-d%oW7%MRE7-7EE+=k$_b|;2(j1c=ReEjJb?aFz}e($BTF;`1U zO&TP}C8tTpSU-90T>JdwXYH^4{D! zjowIiISxT0@}V;$sXPjOUYa{&^l^-M@^8hNUiQk$j@m^x2`i4Fn947-Bepz}4{8-m zm#Jrg(a{Is>B0MSdeou7CZNX%-J{cGl)M#siBAs^JD1-jGxE**J|Q-^`;ISpHw?I2 zH%)4_T=`L8pK6aD^9%&u(1e3}TjYfIr@Y7OciX-LdumeR<8{1!(7yQM%kbfDHP8bG zb1vwoA+_BI?5hwrG3Wd%y9ANiQ9&dGk4wiN$1}~jz=m5>tHTAW4pgt$4 zw?)qh4DRBTKFIr8v6ggad-G*MeVWT1Xe(u0>_ zzpLqNko&c}_lgHEUR77|CVHqgl1!O+^1uAg|L5iPLNvVDmi&trsbh3j>91hn>?j7l zn%M#BbgW1C@}_Rifi zZU6r5?cl+EZDDSw(fvWeNPBeDX;Y+uf?{RahdL#k*tsauJn~ z8u9=XC1mz_EiIcUmD&Lg0YXH;v3yJDQp%WBs~|g5+8IzBuY6OUP>oUES>>`&<=G|B zIV!vdYwgQZXKO2E@wp{2pL=m>Ww}9)MQqsB8&ojqGSz)pBnX*+y< z2TAaDA?jWND|t%cOau(fVD}84ei8o8j8p|S!bT_;Y|`s(`l`G!2c~E+xhtsb?;-0amr=b2%H zZi!lrBmC9BiVv?`Ri^@D%?Zh4z0YrW;BRk`It>OtN05)9sX96#?~9Z9S02pZ;2RIw zuYLX9_O-9R6%+Dj0}fr5 zLQ@B2E^S{dozF9UIfm=y%a>yC+-|SFdOX-*XP73!kmzfb+l|51e(d7^Pg8aoz7kVxU`Q&!vy*JCQpVNS;1TQ zF9(Llym9W6I!%V;*W)K`@U3kM=*{p_e!u?hUoX#UZEv4A_FKUVG|a!W4SS@*EEB~jxQ=iUAka!!49PnT92woXb+a=j1oqo^#0G-~p8+DSN+_r#+*Gz8 zPr@)gH&^hIPDNGm3&^}IpeIa|4`qm{9ggmDFG0)w#qy;!1G{EnN1?a6ozu-Dacoz+-ape7;yR4aTTX47jzrAjxcB^$Y|=-QtFryK(G_9;2`MA!TAYX(u{K4$8+aR597I?$IvIzd533$#zU{JWMlHq_bMx8 zYS2`$@=gUeO3~Rw0|vt$Lw0L8COePp7-1lEErce|`o8r-iW+p~*% zwuXL+`cbAi8<(cfsAnS9y&cq~%Sd}!3GBO4PjJ z;IPov9+`)e9`e(NHAK3&BgR$Q$F)c2+n@gFpS6GX-~E4UxK@yl^4tYpvm2J}M)#XE z>KnP{p`XV^kb}?L;-4-osH|@DPUlYoLAaD>|OEU;F0QL&M&iH~d~h z!;>_EG(|(S3oYbdlALEWbqZ_^S&_-SAMi^@ZpX%$zEuM{!82s6Fl2G?EN#5G)^+bI zVmgg^=SRH--@vcRk-{8@EKYEA z4IaK21}^nH`SjvDVc_-RJ7N5$!^=CC;9a0fxz8f-Q8IHg`lo4-qig_R&w<(uO5!Af zjLZR!IH5y9V)CST{aqAn8UhyGVk}aYstH%})5uY58ul75oHU$*F{RC0ZKOgO2GAG? zHJ+EUs}iPgjPYAQuM=ltoM35CcuK#7`jb$%rGt&dfsst*Ak{pTf-!i-a>5B z8FD;IPr^PHDXoTZ0K?^l1|>uq;zS)wpjd^JN#)BL%}3wiG2Y3y+*^s?FR*q7h!eHa ze+LGzSOZQFJ-f;~G%3FuYSIw?-b-MB^izc&x1D=-CT$laiq@n@inVrJOk88!Q=w?tN=$_sV@EW;2HAJp1Uv)S`9 zIE7|;^vvF)47n|bfg^<;j*lh#iNh^yLm)$ks8km`(KzpI@HG={FTTdWWy2zIW^$jK zp6PWVa4~Su!q6J8&VbY11+Fr#3ifVf*05P*aLA@h)u2tN}qJ}(@ALXt7@bd7m2)rgHceY)0X1J z<0K^~8jjLyp~T?XkngJJp$i?tIJ6ld8WgXSRzu53FHdshZhD(-AmRVGO2%Yak+-Tz(+4iM`n1Hzw@2%w8MvvwQv2}x7(h5yQ{6Jx)}i+Mm1RE4S#Zp zHiq252R6FBGdA^{%;qjGJ!8mDIes&mnLRUQtaM!QY=qKD`K>I=-R1LaGd-s+b$#WM zZ|M==(QR_ciJ@NJq}+>Nc~2)85*hQ#=!#mYmuLCn?fP0|ICZ&XH1CkrGhD?p8MSB~ z;q{xhxpIM;_emK<8Qxm;|7+o4(% zu(57T_D$|!EcbUR`cq0NZ}sTvdp_1CW+C8c*t|y&@M&NPZC{PEy%dO9^d@%HxF*+@iuCEHp9lY=>1pgr4{EIr3#*kYxfoOBmQGnUo;Wcqz#Z{T z9u$2TYBU~gMonon8h9Q?@ zd-t~A`>o$<#}6NEm(HAND^Vn0+jpe>dtdub`;CLg+jsWA+`fJ2mG)Z)Uv0np>N{hU?8i;M9z3{Zmq7i zn=wZ0DdISW_0$0k)Aq>t?tS~(t0!JwANO)&e)Yr;jrC;Yk^6iEqn!t0KTkau=3%w9mizvJND(jFe8ApPOzcUVFLCPwkBU-QSKJ zdaZr($)|-|(GpF;7%;jH+tl8A>#aID*hP;YIs8(=;+tXZxuu16JiOZ;eYv=Ov9@Es z5Sg;1du`n$Nh{CDXP3VN2lqCoH(R~)&bzO+>vum2jHlage&^qA|JL9Cdv)UP7bi~^ zB|0^VRnal_m`U9sH>pq4see{>)THc0aA4o|=y=cK85-wddMySop4>}3`E5@dgr`ox z?f5DOPt^4-fj4zC`qhV$t@>6!6A@3;r5J6|yh{6UCICLue=jInoi2}RAkCB z=}qnGmCNmyzxqYR9UeVx8l9Ntx85nRicv<%RnHrbZ6dfQM#47jOXNoWLk{V*#^4Cv zw&$VwXEXkJc$z^4v<;~U?o&GUZpF(ni@9Rg82mUV0{o9cF$|tzEkKpx|$Uk6PKim@EnZ zL?Na?W4VumAO&7ybrEE4J50WD<7PEB8Y7j+nH1%Xn4hUV@Evp(o(6yjNR-n3wOH^W ztX@{1XOO!J<|#innKU>-7~w}_rpIF*24VxAfnj-DA)CfQV1z8i_#v2#AWLh_Rk?ZF z*#h^%dnL-poLAv@Q`xaziT3+2+YRq*XwcS-Ize9#$O6ey;Yfae_}g^+15q;tWq3?@5@hFBmq^C4LAEgk*u~e?)?nb+D>sEUhUey?IV5r^u!Vkiumqx%% z?xW<<5P#8@ps?b>C(o{M^E;Ko7RhV(@0LO69VI|9R+{8HhBTaKW4Byo*BEZ%2;_<< z1Cb&^?eDxE!y=8qjK=;+8t_p0@WBIZCA~5{u8GV^Q?ivb3p4ZWG4s7l2v4^eQz z6xE@_fCn9?Ay))mW%tuveqi+sXU1Mv${OIV6skVuRe6r`oET+r=qW2h-P0J7L!Ku5 zHUGs}d>N!kP7VY#cgb?}%4^wf%8{4(59$oB zEgTZ$310uCl~*m7P1zPz8FIUIFUCS-1P&oR5>~nz%3*8;_6{k!P@nx*G7K>`l|gg) z(uK$k&t~8^kr18pf1oTp<;St?y1y2KBnGSv!JekIUP~{IBGo7|M0W1kUH3)jTcAsf zcOE;X7X3Y^Y&xhWZ%3vIDza6;Kfjv~g0|DOI*(^|TMQ0fpwKGOo`e?W^%x%~hc&JX0C1GROH!#T0H*}>3#<%=eckqr5mb~z`>QVl@mqzs7pyhqaFn^`f zEcm8uN4Ha)PeR{kjH1LF!HkrZjhe=~Rtyl!#@$j_$Z|>vna})V(8z z4p-XU`}VfkTG6*Tz4hqL^~Y)G`wrKC^^+gQ`29TezS`DT=i1l5@%47_;Gy=<{@Fh( z5c}z&igGA-g&O6KZXVNb1+M2c~>2yGpMP{q)lz~Q)o5MI{uvSqL zy2P=|tttyn!{}G9>PSc52FAJQZ#&C+fA`Majyq)ht9@hA03eMCUdzE47>-T2*g16pj^v~}uxi%WXcyJE@B)`T8gh6nyhgkY1> zN(s8>Ki0lu+Sl&&oE78YX2?AlqD`qIK;h>^;aw5zG`gv(5Lu*mCS5T{Xb4C`+K09?y`1h!ol1qAEknX2v}@OH z=KdhGA>@55&*atR2r$FNJ`5PTEzE!NIEo=KtWuH@0u8lsU@K1ac=hz32DUOP(s-eZ z{7N}?Py#=9RYKmQAzTA$e0ob>V*OO#)@`RwfGf7k=293sxaR!&M`I9&%)p0?~-q?RIt~675@msIF)wZM( z%tlt;`~1_|R(NS{sVxTAnWU-4Ij{#Rh_r_hu!|Qjw5i~2D1e)L^VCckipou_&KGzq z(7XHw4;;$vns@TlNTaLgGL))_lodMGDvL@N+9m!*Pc)$#p5R5+rLV&S^ZwDKVe6B< z3|+YAL$300tAFbjMPA zm@*h=(&&WT0gP!{qCK6BR^o? zR+A+^`Q+o0quv1oSMY>WU`3PNd-ue6;Q`-L-VMWNH&fT?+Y_(8R`Vq29;=BgI&iMe zKKLNGPPae)<9{5z zd_7>G3tbqv41FezNy-9REyl2Ms2kmk&-O22d@clr0|yS)aO29#a&v<3l`EIqtsA$Z z2bb&MM&9r1S8o(wN;Y#>Z%0!*mgW~H83K5U)syd4Cri#yEB{AMQgr3DXXmc=Ht$+= zm0n&NRY{Tig7cE!DTBS56Qqjov^B zEcu$P9wY-%RVs~~+2lM8mf}*dQ?cY!@N!Khy;T)evC{n|sK&4u2pVMy&<0!1LMX+K z*#WLjOH9Q9r!)wqT=?i&+KRm3cp*T>u*Y@{_>4`*`j)|ZI(h*-AsTA=Q%Ht97Go7+ zx?zm2Ow-6cVBi>*NFyFto$xy!9A@UG+TO`fiS_t*Zr@9qscLi>Fd8w6r%r;zi~=h1 z+FQd^^x%^h@C3t9^cc7;WjjOR&i%fW^0O~KZ|}eVY2cYkn(j874?V$6K`~}FZHjS} zbi5m5PI-VfE_5RT9QElB3Gl;OFb zLDJocc%=tyBC`DSWC>#lQ||JF8)L;glNc3VjcoWvm{oAzkcH6iYfh+4qd{^%ICZjJ zkJ7t%`9e*STU))?=2sTmGm3L--!A!Pdhbu8H|!|-=Bux^8`W^#iHtC#CLwtLdT&2zb|6VFN_yr^EyL>bA`Z$@|3nPjX8-^dyx$9FAydH$;0#Gk-pI7lcy zROk(zJQ(bWROzW=ussajyJF7os#j5#LBbHM{L5$!4Rn+!UU?M#LIlcMT?8uy2l;`2 z$#~**s!10(@{O`1yM}6|*^+u{<;30l_o9CmYbfPjo_FovTb_WkS1z_&Yj@jip10(& zEuEXiT`Q6CU2XGxbcVwvli!2TMcvRra@nNsY0^J7FCcs*pE??Q_wAeTA-G1S^rD?C zx|TYQ#*P*^@$Ij*W3RkiXO5I24g7RX85zNM@DZwTM0?%<4ZrUK1F`T+x#E|c1fI$* z&(d^{L(=70=o2_&xaX38$pc=cY;o{cT9WFgai5iv;EId^X`Q&k>JL!I>)fyIAjDG##!;jjRU!H9D z?siYs(U*>v7rC|ti214Gho-mg+^pfa+EUzbLB3k`l_J~EfBy42r^sq1w5%(I>%FTl zyyZ1_EIn*($m?$&3J=pUnYDfSY?Y_t>KK_Lqm5u_Xr~(A!p*sps<1*?as{`$mK?B0)1&)x-|ZdCVo z1IH2fZ>M=N&Sqz&*b#L;0tPvAI0O+&_+_5FeH$4ev|a}b_x@_HthFx)gu_*HF$NP^ zn(op|*H2r``w&czjG>^MUREzxMG~ZO4FOPbjeUWAyi;~{)p8jEdic2S&}gh(#nc$^ zgt!D&t9<%o#|RGNBl)=oQNSc$*X-hKDKZ8Y!^Tw;eezA-V6aaD0i#6aA%EX%_`}dh z3<+Utdh90P@&t93lBLZxWFc(b6d{T6peM-fud)B^^ONnf&%S7X^_M?sAAj_DyL9nN z(j>oUy*DF0L8|=~BAX#g2^9J;KJb(G1rIc|gjO2xQuKO3f_Ed-w$(Dy+pK*0@DALS zsj?SuCzY$7DKr%&-@Vgt;kR$Xh%b-{6UR1%4(4^t=Tg~g4#E2UcI(0Y_QB=z?N^si zxBvFf|Fr#!U;McJ@YDC&hv!eVGj{e&BQ_TvY)RW!auHri4+muw?318e21td-r^e8^ zCpGo4v%9=O=432Hxh;f8i!%<0n##MaHTlkv7sFO%bwwwqwA{&XF6Gt|HXFd z@`bjRCT)IKdgTZAwRe8)>+RKd-)gUZ_3ifhH@?z$b)DT>W8lS)&=o<%u-w zeAA=0@6dATFx$UxU)~iXDYCh(cgD)QmWz=AUi#L(>uJlUyUf415~*-cv${d&;3U}F z|x)*G^RZobXeSw;=CIH=(Pvo(Ehn zeit6*yC9zT13u5vKX|xSFx1?iBw=jVDzaP-p(VrcNcNQuoCOPGRb_Ec!kStzDPJf( zt6(GNObK z)z;6SeE3;SZZUT3_-Yx>EzY%9jz*A^kIL?Hq9dlM7>GwX5|rqlww4}8ouHdg$CxJ% zgfZVlIe=y045n&q4P`irKam4lH&T2jE_T?At zPfmZ@>6oaaH$dJcWz3m%H5^2vAK zDo)BTg_39AZ9b&_2t1SmWmGQIVo>N(sy88gs4|kWU`V{h$PGExsPa&HUkz#u@MKb6 zXdxnBL1U@0QfGRn<4Gxe`U1?Qpurvl!d`_9fsjS65u^} zEI+HH3>$gp>UoT`T`$zxAw0C|e&j#W5*)X-H_~7h-P3C}>DcmBzv)~aFS?m$;O33n z(KGiePi5$2i8sn5!*rJVR50e9atnsZI}N^fc20fgD{oi&Pd@*o47rz&9#6;QR&D#v zi@^BP3AC4x`pnQHyRW`-ybM9hyXl=TPoFCI1oTs`K>wMSWn%t!zx!r8e*AbluQO;EWF52(Ts9QpohGZPKVCK&p-O3KPsaM-+a4!`)>Qw zKmF78{XhG&s>9M(9+NU({O!O&6Itb6x*>Abb^Bu5_bhpa!YjNm zK{?!OQ(LDucnXeus`gi0ZRbo3)Y|Mb%I?DLJMD`v&$P4WPPg-?&V)bRNE`4`6@uU1 zJ7HTO1>@Zao5ZoHOuq~AO@mxeLGEzCp2+=o5^RS-!czeh!* zD3e7YY)$#d+-1^~Wm*KT|L(JT1$h*(34^(|qE_({N`4fnILeXEGvbObh(p12fl6Ls zS}FBh;;5`EnpEYNLFM@a>RnaDpBu+m) zbXzJ&xx{PSKIJ6drc|swTc+EN`QVoLXnoBQ+;WY?qC;(JL$+jxMuE?7&vT5@e4rfg z)g)E8fno5Oc$7?lKk2LzVgT(9-*==!P9^@{7@xD@4ZIzsrw4B-FIVea($1xU-4|Z$ zit#zWr7tBGs*E%gqfYQBxC>wa3*5&w-dS2JT~JVIYAn}bfVI1Bep~B56*?Jvw_9y( z-WGa@PgPkFG`tX%U*K?|gFNi0ssS<%Y^bStjRr|Qc*T1mA6L<^l-!nfFUo0s^0=5*n9@yg{59LeE}vgTPCE_8oje}ufRcTt4$)<_Ko1O)+WY^0Sy6&!W0y9^CZ6+5Z^4{9rb|#J2_rL%B_UC{8 z=k46N3#Aj!oITkVxBveddy^Q;vNON$i#gtV5iefMQ%24iIaXz5R%Oj(kt~uDyD5v! zZppGF$bfs}g#oV`_OjeC1ns1a76Js=)<%GVRs(LTnk~L;l&ST8;JP-c= z{^y;@Alo){B5&UN?mfeIzWK~;PBSq%X&#_%o(L_RV-M(IVB+nqJGT>@Ri`G`Inx;U zbJ*Hmi$cqm>cJ38q&X+lSG>;NM>M<|3MJEK@MwROD=9s7`Sbtve~CZ)v;WBrBM&c1 z?(zjHa-dA=$uY&O_`(Ip(ExKJta=eBD?bxIUx!1E!{s_D@N77B(eQE42P;WH z5|!be8HC^YIRncx70TbJQ11Rp-WN-jl6S~=3eHF(Ed(Mx-{{sOTZTBOu8{+iDIeCY z6Nh|qi=A`?fInOz6e$y7K|a6vE|MLz$nYA$q`Q!n4|yT18ID{JrxUCYojUN$9hSi< zM48c2%oB);Y6X%Oc#ARs^hPlD%t@Ub(LOvp>;s`-*fuGI+&e^k(j&Z_fQd6xY%R+O z*V)+P7?sPFG{LN=&vQN6JGy)?vJ$4q4uZ^%X6!GbSl}eoikv1UxQbEtpBbK&HH1FR zyMal;4`tKRras_;-Yl300myVCzHzJH#BY@GPyF@lTgDx6=w*VoIX~VLKlfxLy6i@? zoV|f^m%DEX3oA=8xv^~A;|ONBiO;j?r#um$ij+ihnLiX-ILMg&gsV9b4J>qp$|4@vx9)MAy}CxNvjqo$az%rqF%-^ctL5w$hv1k zPiuy_v=C9I!i1yQIjG`wKM5*bEu$%}!hN8%W=SFC$eCqTpCXZbixgFID_^I=* zaKIcWJ13N_Jb;h7Q$9xuG)j(fR*awOWuqt5;{JgW9hO_y;y2d;^(Mz<$ z-~z+#FK)PX&7Lt2A3lsFy@T$QiLPYwFQ-EJ4x{cmG#j+K~mYJjc!f=4>q%kDiJK0g@47h2=QnL*`~PWL7smW*fI6H-0X zZ*c3o@bO5_FK2UsYh>PYKZIwmdO|*Qkq##u`#}J&=y6Z(*Eev^{XjQ~uW}g#=my@s zqcHk0v#j#w**qm($^16&eqBxK29(MIXL%wy^#J$IQ7N@;=$;a@C6Mj;TAa6S%XP5Do&}zKqk-1x{HBw~3}ayIkePWf%o7&Kr2Oqo7y$8{@!q z4e|`bva&!Kc_K20o8^40Or!*j2ZG{w$+PlXU0xB)DNhTTa8qooi)-CS;`vi&qOGCX zEz@^zedLXi%?_BP``zxVUll+t8Vv^vqcqp@g5p{s#e_i2mo z#jVxYY^t{tiIei{u?KPc;RByeoaY|_v&@2Uke2d6GaMeerj2KU?p~!Lyr8V0p=ZLd zG+4N6mK?3hAQ5Qk!vEg3n`+Tg;JxxD(DO$R3G!%K33kTFT{fzOGL;9;tm#+93D11e zQ_9EhM1Ll-$>GXzsVBj|^-R9dmpk+z4lp5O^ouHHHSgqtNVY7vJD-6=HngoYn8kfsjn%=&}1G z6SEtodoW}SC)A79(3T#wuC5L{%G8&@YtBoyAZMd_rBABSc>CBzf>22Lr=FKB>%F!)x6u zBZnN44_p3YU`;RO@eGcA%PIE^qxo3zxSKv0$ZFXhCe39XJn5Nkc-5Ui9!lWv885tJ z3AcyUl{c%S7*+v>9EigOxzKU`&VDP z9H)l6V`ge2-u>aPVoMCQvzvIx!+EL;d8hhNhZMjo987Te$d$ntk03ky2`8SLr4Q)g zEC=xGpa1!vd-5xBcn2*%`sg?D7k}}W=^#35(=^Y+F6OuC0MB{{1A&lR6qj-TJ zo!=lc$0e_WJjKj8P$rDbH+!T?!|&`hl9s@oxWvofQM!zGVGzuy^i7H-IYdh`pioUO zVJ1GNF~dV1(9GRqx||LeRVV*){!LP%imQr(Ka?xw zr!2u@oGUQ2K(7N12k%%yc;WQ0S1O@6!Q1$J>Yjxbz(e{BGqB`C2vP2B64Jq*KH*q& z5&h^jaNOpGSX24SO>zM1w&LN|V%*!9kDoof6+e4)Ge)l|aD!sVadAMHyHJv%I^WYDfB5q2@ye0Y@qAlvoNjE5p}Ll+)T!mF%HR;y zD=UujRtc61EVYM4bg>~GjRWvYneJ*=pe!?C)gYvvk0k8e!5c<}9wlGEue^LzxR~ak zcY|{E9p@7xUXbxtOpsfSat3w{ijelw>=_(Qq#JJBl*_0m#C zMr?#t5X*zf_Rn-&jss1(^4uJF2|dNmp}?`Uvg}?u8eQzg#J2p+r8M_zVR^|rYPu1l{784< z!UgLVC{1br1yrqy~&B6819~_sv>j|{p8B*Z%T)rn=kcJti zW~W?Uh5=lP8J*6Yi>wF^@UyZa9@8 z8wzp&r2A9~vOpO*q?6Lob=WTb*01asv%(GCCn{9ym|;vhp8)SbQ!YZT1K(Fym%UiS z!=}h+6}JR%NSaJr(^q-rZw#Fv1N5Nsp1NDEoOjaAltEnHp^s=}^PYV92G6pGONKe? z(`Q$rYuaX_F8;+o`{%-IS{y=rE^tE}VZ&(%+|#>f&%eV1)%)R))8=&foYw<Y-O5FQJws+PXNj8ZnrEN||#C5HxMOn?Bi*bzts zt*IyvQTKJVav;j+$fWB8(p+(+VceH9BbcmlaX1GpfRu zaN!rBS3I5x3<(Ikv7x+ZB_a&)0EP-Wusjt3!^6*x2z1#~o~h>r-bpjoo9bDaBn2dc z1WhTIWo*7{#_f(a(#geJnYh<`eID-(%+(tHse&J8bwxX0WU<0-j zmG=1Kx8IHzPo0TwIaFubyW-N&@fa$##+kORIH$ZXA2}Y+_a2R_$4*6Wb1Bxuw;QSx zR)AGzl#Ntk@NpP$O1z9$c!#fRg(L2WIrWCFVmupYXb5q5&yq|o91vpL5g>;0!AYuB z8gw*qyuXOfcZFTN1`44*OI zhCKP5w#gRG#UD5*)Ftn!vbL7Cs3u?Xgb(0ZaBEpnTm*@p0pQ2!ah`Cm^0%X=`oah1 zZRN!?dW1Z^`IF$pDIyTRPEG}S1OtEa%<(A8`De2F_3Iy)-;SR+0XjXgp2ig2^s1To zJ~<53+dQC)vT(wHkzpX00Uf}9RR_T%X(wvZIf}or^D5uT7kH3i;77+Y42FI%uS=62 z9XjTnL1~Ee^mbb>F&V#GI>HC#i3T1*5)3$+qhlj-2$w3duB)F?-GDvo5dz-{^d`~!to@rB>ny6uYAorqqes6#rWuSJbLuRXXvQ92sH+C~f`9a#3wW3(#XInocNwpj!@-35*|`~SHhJ~R)%f~1-i|lke8c<9 zWE!T))$nZMSLkad;Qwk}l2*!ZtIv9gjKcew2lAajoSYx;kwb7?%VbnGgW;D2NFS5I zg!y0&GNh6eSnrwE7$cBRk))BX&^@I`p(9|)t4aY6Z%4|5Bc{oZq#hS1iU4LG`&6wI znDCYbm-r}vMGA(>qo5Kz#AgX)np7vxbT^H` z(G>@B$bvQQ8Ij^w)jhSSSYC`wvMo2o(*4Hlca$6PYIkM4Vu<_ z37?r1@=`9aqx9VqBoLV3m>m_A7Bqsf;6sMh+(OllWGF-*6CY-!XNL`|WSF6W%4KIM z@Z4CN6kmX6mHXLepU2<+;^*30sQKEi0#N5rx%um?cj%LyRXjiZ54jeVHytN(+ z+p9J{jl!GjgTp4g0r<-HMyzeGOPQ@l&3YPBRte&#v^BZ+slgax{8Sd-8P))|RM5EA zw+Y3;-PD#U3>Inf#64Dy$NUB*Vf5ckm>J^>S_Ipfn3kHspfuyh2R) zCJqjZn&@dR4|zyl>IJjA%=I1lfw#EeOS+lC1?f0X16m=IxWYRsk2;#qg$thf=1KXA z%Tf9F?%e}JK5GX#WthXxmEM`hpohw4nK2km3On&bp-`0kP=3M@xTO2uIIY5gPUC`4 zE#JD3Gn@xx%&SHeA6Pdecfd;C)^RG_tALb-;V1H-H49wmnbfkSk+kQYL>wyMhaU7y zC}SHp8XnMuxY9x@UwKQBiCij&#)TRW)DGI}PFx#QkgSmSUcZHJVDU3~Ro`i*n06Dr$bewcg~$3j@RFKRR;Ps5B1Zq#>rzP7<&tqsz;GG-xIA<4ZVR17^wyo`XEx!D86 zkuUKH#CLCq1RNxihHq(D1ih3L1s*Tuc%q=A&%DZrG|-GX6C6+P z$tPckGW0??{acFdpu8$SU_eM~hE@J_|E08v#ypz_&NJZ*I_0uxp>t<`2^SOT5C~d4 zIFxi7b3hn-bD%g&`*qPLhhwl@i8s$)ia&hm^?2#zx#%pkM0>g2dC}uBvM>{~GT7_1 zf>lPItd(KPJkr>lhLpOcK%hC6nX&1W#U;+0HN1tEW*Z-_!#L+^k;Bm2(V^B0#8bV* z8=eFx+!K%Z4SEh%Cq#p1Z)nB~<=c4|nYa-fM22 z5Kbo?uo8&gaBPwJTOpUpup4EXUs2UKSGt1>0uKEPFT4=>K5xoFC*79nY{yFu2*YvAXQFOg=tWV-D=~S7YRoBvACPVuJCu>j z5>$d4c}%wJyWjn;ahe^T!vS9XFA0b9cYRc6?zx`%cjhVJHOz0lef1sB*N~LvEw`OW z`2h-k7P~ys!@=&RljBno2mvbwmw#}u^C%u76=>02U^ow$c|olx7y#i$7!4c<6Cl|G zM!-OUJsHYnwVKU@O|GPefTYaWy^kG78M2{F zU|{)drPAr4A=i?LN2<(*;9ZyEW6YI(B!HW)ES5qoODQtvf}Q9<9K^+mK=EYc5}%b4 z>^h0FqG*Yc^uQP&JJ|UUI+Y2GFkK$s&O!p7cxImh#*XPC#t0OOCAHisCpi_yoEV65 z=(R%GrBD@kF(yL=#;x*WcqGvv84b$hLRs$EI0?7hl@v?^3ti;y8dMx6pP~@xZOQr5 zeathP&ee%i>3+vMr|X#X&{FMq$15sMms%KaU3)ps9Y5(MkenUT($pN4ayjbceAUZf zP!DLjEg{7A)9RR=o_5*eQ&TZMITfEwkNE`H4Z83Z2L;GkKS&-eJ$85m_iX7-Ywyjo z7d`w&-L{0Q+m9Z`s=kR*s#_X6hnA){*$8%abG^_p5U)LdS&E>}ynpA>y%^b8HXm`( z!t}}~4iS_gKmobSR8%Obuz&Q@msIbXSld{OM+?(&eegxR zKlM1?dvYf}zkfR>N5`ym&JCaO9v1t`Yi4=T_0Glx*a*7p%A&#ONY_N*t5*I zRm$os7haG<)D(@1yS2R@P2#*(ev2+5lyNB3nARA*P2q-oGcU6Hak05Y#*CeU*)VLv z!<}>&dpmjIn(}DHGi+km!iTf^TRNZq}?z zIW#Pt6rOqsGR?SXHL^1_G{fj1i`0SP-Q>IokE}9Meay!y!|9X{7ef=khm6im&&1r! zT&%9F2;M=@pF;l7H#j0(tVo%hofIyl4^$?3+JO?bI76$evrBal%F(w1N}MIb+Zp|m zQ;akY4-PjlAYg}W}|Q4T{Ley5uao-%~b zySsaQ4$Ftve-od6@`>k@*={HbLlNj@whX|jr-$;-{_KB_`!jnA9RL7Dd`Uz>RFdsm zw{Iy<)etIPM^{Dll+LXI|B?&U<)v3%ijyaf#nZ9T=pXEl<<;dVi@z^Fe=*7(WjToS z>h7tr#L)a|tm*sW(wv;*4R2R}^~EbObo7Yv4-uKN@Z)!X;?0xnx*%kJ9MStoO&OWmHwmmyR}&K+#L7n2&W#FMHaAQN@u| zjiNC+zfrbYj)n3lG%8PJGBZ1;`mD#fb7#dHsplBS>g!*BJHEJiQ}|poFF-Qzm_n+{ z?}yBjIlxc8>)r2PP>wrrnl{SX>oW$ghH4>5y7*>% zU<^nP48jco#X)(juAy~{bA$uNiX8}@hs;n|ZgGN71@fbwo^+^Z6f7{K2>G4iq#U}4 z>AAqz<7z8cVP5H~=@~O-)eXvGYG%g6aNs{HL}q8x-3!DxchHp$f>87bXPw|TEGn`h z^K-ORi3@6N;`kuc^Wu%CQxkFT!F|VJ>u!t+EyRSoIxGC8^%b!S3Sl!=bd4|12@g^z z!bBP)2jvNu&<$sZfB*s8i)YSx>~vqa6Eva5{Vh z1V&sqvRYF-8tu@S_|PfSojmEK(o280G1nbMohPsI8>0>$X;hi+@VxRz4v?R=GP`oJ zD>BROYhq)SUWwG}03XBOYild9I5+2EQNTwBA=em9_?m`;8%c^!qXGHAAmuU>{OAq5 zEY-m5heINIsvdqXxcp6VO&99KvjX`Z4mdBKZ{>Kqf@|KZ*XHJCRPH+1iBd~h4)RjW za*&(SFsI3Ktgpz`x4-@ExcuDZxN_wM*AZt1+M*|SrAs}jSW1GPhmMX)lq+(!gr`bt z*-lGIMwN;Fz(&3V^MdcG8uV5mU->;arB3DxmC2ops^ctnb#+H)N4I38MmUu7BjO)9 zIuzx$Hqn%Zo#>)(*q5>K$@t>do%pNoeJ?)z@I&*4^#b{+EDSz+e_ghKG&oh=o!xG* zK6?Dv=bQ{g40_j#pV3V?`U1i_+dM7!;2U~W;7xRo&-DUVd{r9bhf8VFiOrH( zdNK6QXw`eqS!Qebm>z3?596h zeX6o#3=)78-b?h$wB!1nVBSskg^<6~xFY1=zE{(WCdot30jB0RN`X7TZb@Mi7_+9w z1m!{x1zmmYFJfh=LcN2VIW937X;G#aleFZwIu<2FkT-FO113q2R|`B!0u4OB0p_E- zowR&Qf}R+R%fjRer z^hfc->+i)+KmIU2xN{>udvrHOr^h`x__-IJix;n5je?v<;AU%XhAvD4<$r)T<;^n} zD@@vDIKdY!fZh6Fn?ZaNv1jb~@(v z(qu;Jjgn^E9^6rH&-tn1obxC8o7np$(E>PES@JD7^0v#Q0bY26J23FGavSeD++l6q@4HG2xs#iu{dYl-}AxoNg$` z>6XrT?b7qnAzm%Y5W`Lc@`O)ZuPR?5ALdgzMe7@@mZi)i8P^#mE<1OU1p>|`dBX$n zuZL|YLv_yS$OmJO62y_K$j2ptSi8Oh`0KZPR=Z;ZP0;`hp8Zo*GW#>rG@% z_$H1Wklol8o#<^L5Avt3>!QzsT8EGCJ%}wfcv@de4!8cOD?G)7Y>Yd-Fu)DXj5Xzv zCu+kF7)74>p5H-Nb{HmrAAL!91&%5X3v!Yfs&w6Tk)AsN9B`2)zauTbdCw9{c0^^K z7`<_n$@blJ<8y8c!vqY4qW3av<~!A$RZsLPt;^Bpg!5y^j>fSQr);$8-Jt$UD@(Ds zzDa`(1m1HI42xhQo?#O& zP%b?R$P9a6&^wLZ;W~cum^YGZE*7GisMp^vK_Uf#CPA zzH#XtkPQ@y>JJ1EiLn4V?8C6Ox)x8Kj->qy6b)i_baX_y+$u!YM@w6a@=)eVi!y#j z_^Jb8q)O(+_)H+eGH(@@IDC?1P)Iu^4tjbT2K`sS`jnD(ZirjWDIf0s=Fl$J}YTG zTNusM5*EHWLY<5+iX#z^AXKVc1deX!q!eK$fFOM`QB_71%mT@I`qCG03gdzQ2D+{( zPwzNK17XJNPJ+zIwd3XqgopevLN-kL&3HU?fwea=%&MOqCSA%X3Jp!sB?Et2iegXM zElHW(xOK~ildY;w8{$9K_N>U>FH6j3Yqn!?dBNSDEO$L2#n>$PS@Qg3a!d}vte>eK z`~l41AO1mss2aX-1545~D{38%JbfGw9zBXlIX>53xDvxdC*pknvAEiIEUp|m8J7l+ zN0(Yzb%MDpKB3#aUoE6v<@0EMIzAk|A8Tsi;sAj+3P3S%(AV_jlqYYxrK|Ln#zMUH z{0m;%D#XSEwYHXIAU0KZdUFzyMGxJRqtvtGOF);ACJWH;=FrC>Fyt&oUns(@7`T+i|?Kl z@qDTex>F4u=^4sPa|F~{m1ECAc<#BP!Q;B&*d)gi6XR7sGJJHg)3uIHV+p0a7Ui38 z%LPs|{WHJw8v>FS7Y#iR+3Fp1Miy8SyDG<|vnw5Y4?U=lE3SOX9hDeRy`_CT?}vFq z$A)^NzrR~~uqv)eE$Rjzu*p^qw6JMOGqIJtnq-{Gs#$Rc<%qCShu#8K_O*9(R`rMY zUXERc|L{dX-$D}LaqC`p4(w$ABlDPeb&0Ls6^~8OnoF&Al6rc3d}{iv>Vv*wp2UhA zDN|YLj3+MPieYWu0S~{K+kw6qk>i5>z%AXE!%u?^N1M&ifDW55JF^&*lQXfpxF(y| z8RyRrr-}0Loa7Rm&^x#wN3By07q(Y`#*`y>Rr#R*MXMxU&KLP1WO(dw=o|b%3Tz6! zIc(*?4%^}$C6>;SZq5xxbl{Tc0`8c=IwzY);}ts5^HPwLhQsd$12QcBSD_^HH>EqF{17EmWZY@ z*h!LZd-5Xhq8tlanjA{zdZ>!P2QpzcCWV4T%GnXInQ*!yhr%<$tMJe=6>nIP!3^Hu zruuDXBQc(lEBw*pfx5-BhZ%v%FhV?-4MDEf2wir#K3`Rt@3$GE0t#)E8sR7Jd{#`$qD3zK_Fk8Due_cW1dP!OT{hg zS{&EqjkrHP<`p$KIT_|12{g~fuV_YI(5J{IR5G3eN2DC37+%vI_~lK)U=)NHPIerM>$-qz`!uk5@t71VNONVL`6l*8#+(heAPK4sRC63}!fEM{~z8Aj43Vy+F zHe(xVZj0f@wm=d$gb$pZ(XprT(Py8;Pd@rEKDvJ=9_Tmoa9Gy4jzc9Jv`UWOc=6@< zuipMvoIHLqb$zQGXzOyQ?-s3poDMmOqIKd&eXAN%=)(nkX%Z-aN-p$_a|O;w!+T^& z+QYvYdSm5I`h)(7hLow#yiq0L&s1;nhtAx&vU7umMmBIJ& zAivX+v1I?jd14Q-7|*IJ2_|PIM;@`7or$?yW!h$-APXWO{8Q=>}1MMeKAtga1{AC~cgX@8NAB+H)h7 z2R5tSuB1C7vUH9#2If=$e|Ejpd~^1CI^F@xTl2@9VJZpFDT~<5?(O#Y}gY8M+eSDVM>o!DO;&1Pa#Zs&^_MhRyeIezClZmJ3@8+ zBfspqds3X~pgeRj?8F_z_~_*fvmjRV9ss)F5R@%O2y@s_W9(v(YHU!g%iO`}aI2 zgB}S4T3IDw@^h*;rNVRV;?dI`-SNuh=cB7!iMm2l+aNzG9IHHzntJx+s7q<9*3nifs=kFd zcl3mNL8cey;_=vMY^Yog>RVHNY3(sa%c;0td86_R%KMuyzG|E!MepDEJjRulH2d(0 zpoE6tl)7T1Y*dv`i-iA;BPU~^(jF~x#(#V7i+H-a7^_?BvAnw(t15GBdnN8HOvO*f z?!|lKcjMEsN3p-P7XwF+M7xa0w(xk5da@fa3apA(aM{(};r&)X_!P^-m&S$D*3n@v865qcoI6Lt2KyCoOpIOsw+gVWDOGc_y<+F?!iDob(RD?R1Vb%p@~U9w67I-9O~_x1@yYQR8F^}3 z!f-w6j8%1$Q&Td&YtrL&9xm$a?lkQf!lc(1yF#69&$#pq&X8CAtTw1%UACcL@nL)9 zKQ%rP^9u_eeq(MAX%$7hfm2u$-}%mWd>jYqs2eh}th#>hdw&%lfBaEAel!welJ_~~ z!4?s$#)BWwlUy{i2;@s3AM$XIwrFd9=6m%U@xTlEpLurJ56glgz-wf~miWvw+mjQ) z5&c~~9Z{4`tQBsM0}cc9ek=;LvUe=nSXo|M#8-c~EJ&hlNm^kepudoE16(i%{~7 zRvBZBc->4GBaXdemC6NjeXj}%48(UN3E^#2#Zff&{*WLA4mQJ?DU}MkGsFSVNl0^G zOKSq<;lZ*b$wX6>_DUuCdVB3na^+n+VGMd;P!uMh@-yL7EIlfCs`O?MmkXf=E*uZK z+Ud3eUCaf*DIgCncIad26LGvJhhU*g3}c#l86^M8!}*~Bz#)0ci7s9d^VRd4Vio;REX%1XUHs+KNPC^Sd8khdKzm6Q2^!0%k&S~+IW z8U?viw-$M4?mtesoD_a{ey|xe^-1sE^@(16Kzxcsl$Iotj6rVhK7@vl!mxqoi zZj+tOCgnv77F;yxjuFAQR9VSd!kP0TN3qdPqX>lWmna;m(YpMj5O;IgByOb^qFz;CV; zSNyKLcy`_Oo^wA$ZC~&_6=g-FQxnU2l zx3#x<2{pYB4RX@6ZpY5Bn=K9xC-vIq$RSVpq>J)1trB0SRzLUjTl!7us23AdX`J#k z^)$h8iDsnX&e0?UerK5B8=O{pq_A`Jz?+_uGjK*6%1C^X=t#(R1HH+7K=Q+J;!eD* zPYCq5&^t^SY%4);40|-8KWo(RKn&U1p-y@)mq|W`T>?UWJQEDJbT60rM?9A4WPTIg zpkbxbCVbF)mTYT=J;QgnE(G2az^nON-(6M}7J}12n`+>pKpCY8q4n|z zAzUg1Rl)oQfHLx`tps{2y!DT=vLaJ`8QUzWl?B6=TT~(aW*8^s1(K@iiZeZ+}$Q(QEzvvqNpTH9O8vjLVRYFQx17Ev_Ux# zle+MoI+K?zE?rnuPVmD>;Km2gi5U=L6=2=M&;be?`T!#ybKVpR{PRrx%s|2u-QtF2 zo5{s8XjZ?et1>YJ-z12_4SOkgiL~HB@!%kFk>0|i@<^kff-!Z$GsLznIH`yEMobG1 z2pJ|6Ia^J)sTIAe%5aLXmo?X?Lk`_dE;zt9!(<*Fs`3zR?+948LGmG<{z{-#Y zWhNd{9mPYjkFzK~R(c#8RxB0w>Q!#5@)512>~Gw@BVfc=s_U}wI#VYFs_XXpZ2Wd% zBz`e=Kkhtz6nlcJuczPV1#!gt;NXxAPp&5x4~kJXMqZ339uzKi5OUE)zw4H^#D^Pd zVR4G(P7DkkjdQ0@#qi*sBSnUI|M^jh(k5AhQWILqroYc-wm2R*~_$NkU6KR)?loIP>EP8k#N7!m`ws-1ZWyn<&g-~n#n z;S-$9oxn0&f=~p zBIf#POiYZ$EGPQP2ryxIN#E(_ZW7KjjHZE}nZJ^7C&2XEvRj{c#qyxIo-d^<>s;z# zJp^3^J!mz3lm`6(e=D1VG`w%9W7laHqOw#M2jPgk>4Fy=++k022aRC#hrg?UmSVFU z2~N|l;zfA1F{%-dTZ^X#N$z1Gzz=EDu(XmAdEn4L=3_9-g9Cy?GBGh_+5~uBR86#nudAWGt<6hC89FStw#9{u7k!;NebP&ZSz3zT@Frri z1C(dyM9@=5&&PwdDjRqczLL&Qztel_=Y#&Fr@(#cnFk+9&t|7U*|^R)V8G91r*v~G z9zPwCWB9DsgtRoWja%rWcLe4Ikp~xfBUfC|&~;aO8l@TM*o_e3 zK06Y`sdAO^>eZ$$C*hSc_)Yossc!Ia$!8;6@@g91k14u`JH{oOR9dLmz zzkddIqGOWT{60@<0PmJ@J;VF1P*}|BU5jV_iCyt$nW_iRZ?<7dvK@A6<2Y7-*}+$diXLHac#4pFeprI!om^*3lEMw;zo+PhX5HCx_!X zUA&R7ieMFpeufs{9A_M7v0IMrwbSQS7tvpi_|He~ zcn=jek;*)R!(azqa>UR@+b#+^GXTP38m}RAEK@C zE-<7Pttba89r5zu$>?e3jHBI{nwzmBJRnD~AbF;j)V-lXG<#J*=jo-nXd+I3Uy>ck z7ETn-KUK^Desk^h5+%(i^h+A*6dxhSf(hM|dWR$n%E#5#cW8;S1zyEWdPY~GF^vKJ zQ+hi#syn?)>@mcOnvK;}J044m(pyW5)!TYV;$h16?ym+NWu`>B zTMofGbrD<`7KVv1oah$Mp;OMhr!3OZn?V`Y1$qfSyyO`=DK7Zpy>$n56@bvjWhe)> zeD{)58EJYXdeow)cY8~^k6vho8^8gv(pj za8XBRhlgY;dbhN=WL@tQqs5Qs&!6`YPd-!=zBG-|r^2p3ylsZr+T){_DRneis+!^e)*@ zhDE>uIAJv?4RU%A`JM1AN=R=L2OiA5i-{j>`24&kTmk{_P&43dakm10V5KM(&0xG)T>u-M}-h1y?w&}n? zRDosKGQ7OZzjNR@U)07r%BUoN`M*4 z+#$YXOm(2Ea^LIynMlP%Ea1Z_A;7#a6$E8j6@mP`3PyL}Pqavik#W}?$1NPCvp^^x z4*>|*7(9lqnwwG=G{yj72WIM>>&~5C50B+bkSL3QF(+LPJlnYfCgFl5gq-W}+U4it zYp=f=FF*gB4K^p-_R(V|=BG7F%Wde$ktnp3-2KXM0-KD{8p(ltGc3RWjO@Hf9!%6^ zG92BATf*;Wj~~SR=2|RD_-dN!Y*>K}T-vd&AurKOdC{s;rY>V~btPsO7yKTD1CCrD z!BgKLz7zU^fr}PHNqJp+?qZxdb<#@+^CUIs<{)0GRr&ab1?7VxAx{(*8w)M0EUL_< zxFO~29w@<>`4Bna4`t6~Vq(?F};L5x9}1n<76N8sR?b}!Qxfk-3DAHayi(I?~Pk#oV$+>(jKx%k1ae;I%C z{x9PFJDjs@C?3oE|npyE1$szW&x%c|Fo@Cku>*on{`$HDbh8PJyv_~_-a zL$3h7bH3n>ULk(xi{D_|!(uo;N{6m#5I*Ux$@NLCU+RavrZVY?wL@#3pgUhiSu|qe zBy}bZzlm>Hs<`0VmD1eXQ%f92OmW~9;^UCPd&Z|SM8|=14sqMs-;A#AcFDU8n#x1g zQ^bS|;~5|nj3Zs1^e*(M9j->(hKJ|KOQ{ax5ug?Ps}fz8-I|@a{^>{Y`HdSfrv?F& zN!fb@SlNPsV4bAAGCz)ujrlzeaIQZqLx7Lp1oOSh`r!|M=phk?K?!_E9&p0>!=Zvt zl!fyla0gtu>M3u@&0Amna{SYO@z3ML$zzshM^IXH3)eTk@eSp@8!PK8ss6$z!$2&> zXBeBfTu7*Ok8~+ZX+81OxD~CSjrhV+T;*x%i{oU+LiB)U##@ySD3d!ot|DvL3VL*~ zFUr*K63%5qolfNn{{-NMXDEYua?v0MUgns9jw)z&DLP^dfLS{5ywSZ{V(b<+Tg%(DH}bO(<209uQ`B?}_7{JRXq|Oncemg<;?e zr9$*}cSTtqu9-%uFlPFvCj}aYQh*q>+h2^v!~0_~J~0!E^DFZD#DJS}a5kij);3~u zJ?*MGKffHyiz{kvEJ+zO%6U?k2_$5%oj?nP zXB13swTR#JTkr|t2ZRuiFdA`D8aQljsVOf6oDG5SifPr2j*Z6JO4^rUUCKWn1V{@2 z;4z|Z4G9;_ccBa>{-rLo)K%x@&MFu08@v#Qka#W`GrU~z#WyZCC{vBoWK=5?DM{7I z9=!4*pAC8F@C9An1UzkTp0>g6jw)C2iOUko^`#ZMDm<|mK0DIY7v=qis5BJgWJgb& z?H-KhhfYPKaJQ-YHtyBN*;A*jNSMS-&)EYer-3)+$+;`;WipMRIF2Pt&E#MxKKm80 zD{H-Q!hwEYj6d-vXLg1}XL?|KUV`AnSP;nfcxO)x4Gfw#@IOZM>CALYtu6}Bs&_-3 zTZ~>p2``w2Z0Lq#Ss&-xdgEN*keppwwc9@S9Ud(gB`3wEsNLF&662I230>REf{jUb z^JcR9x%&afR%+7ku?5@R~jX4i<+!%cvpN>9? z8*&Ucq%>Iy&0ZD2dw+UVX;(a)3t8EDILl4CBWpaT`iT2YHw3Lo&m|dyj$%1FT3cdw zX+2utf3ar^!yU+-qEaU&Kf^z!yW&_*kUw3NW7$*!msf=W8H3YO4~OC+?<$+(;XB?V zL)JC=v)`h01j@GFCMbb?A;Uq2OjxDmDMIVw6%K%4L)Mg@WJ#A`w&1#?hbf1l12-as z3-lTCjDcXKjrFnk!~9YMza}FBoV1jAUnrGgYI@4@??#H@QOjKMsI6HAC8qZ@;L4>| z!+>6=aS2`Wh0Xz8a3=U7u+oanB%u|XSpM`UKZ)O5|BYJa1yBC`;KL7%qNJa3BITe< zCP~k=xR`cAMc;8@P;p9#%$5-xqyNDNADC|FB!)_80~e1RxY@L8Y?a7lw2 z-Nprv&rDCdj_75|#8LK!ZkI2ogo=mr#_qbm{N*oP2Vek3h8^gUZg1~!-b@8ZIx2-c z^hVj_%{R&gP~K$+BBxz&*D}otkM8^VB$DWRMFBN9N24%2x8M^>K zqj$VIM&-22X)85wfGadZPY71!fn8xv3~7*!uI`?=dE=(iVRuvxLoqHp(b^NT^`2)! z;<;oe2*kHO(PjQ{-9$6ptjYfS|KX4J@jz)+fFJ~ELCTX!ku1yQ86g4~GCpzqxF@X8 zyU^Ytrc}Wo)w}Aca4NmLyeNlaFK*o&iN{YL>N^<9iUa_jh5U{vRK<)Uc$Ag%>mTU# zcaTQ+Qcq8p8L_1`ZM;Ky>025mpyG4~x*X-5wope%>59T5t&{WAE*uKM%8&Z4ugV#c z;sdEnz$~@2xmCZhDF;bPm-jHT6|Wp51erM}+$oztdB6+v;-oMu9YO*Z&ulZFodDwD zfN-aLHn>6Jaenv?-pL0{xyJ?}5|dB{@w3t-E_w2dq2PDUpE%uJUB(Y;kuiPZ5uZG` zXLt>d(oq+C*{Uxq1Q4o&HQs`kIM9jiPu9~@e+&+J0h{-Q5g!;f#V4hgo9Ve#cP1hp z8ytx914GdugG^|YQTC94%30Xii2F|;n+BJky9fg~&xa2mCPlO-gsj-ieJ!gCTYHO=Det#_VW0#TI1`YC%rt>ot7f$xI|pTj^O=+Yi~r6UOeg|LH*Ca z{k074PI5xztg=lz=SR>Liy%);&!z(l6~9Riz<>ABS3Km`EI58M@*u81zT?TX;Ea|8 zWmKA4qD~HY2_YA*8B%HQ?T%BYPI=DDdmmkosW~|zI8736x@^T9h7n%ij{GCg4Kgx= zZJqJL@l(;?-WB6=S|_F^V{U(2j?H4MOHqPOZioo$?Fqk@JyM^$Dn+v20K*bL)pMWV}Kx^-suPl#-syE#l|>#WWcm7 zG?!e?#OOp-&S>GQ0ilF$9V_s=NzN#ng5iLY2R#osBGxZeS)yLFu7Q)^{rv-aml}oa zy)ipEXW0=n318wp^O|v)a8AfLhab5MZQ`&5$Kf~_CMESV!#D7!?g zM0fY_RO`q+^8<2BuZr*0Zv+}hIBYcV{e=vjX;rxZ^y(_TA4w4L?C@xr=g`_(lrn9U z_mqH!5lBP4#3~$gwjOuyjKs*(3H`o5+^d&gC|hrL2# z!1y3k;5zU(_D&b}V>v_Nn!mhd&7B4r$2EGWqr>7??8!x{UvdJSIeGbFvx9UcoHlhtu4vOa)=TdG{Q&X-ZEiGk| zy6%)8@s)ct7wExJ<#ox9=?zA8+mq-vHrHfy*Q98deLZ^eFz(;K8&Aicik@jWhdPlj z-NYzU&i#R&7|52Eq7NvfD|C&nPf#c7K^qjd^{eWQ!cYyBk6;pF!ROZYu6wxHPS_$U zDNMa_FX3btf$3676(01wEGuAKgGAP+9W z;d1gte#irHfQ>i=UGCe@3KB)>M!h%(57w6L{ecpe(87cg7Xr;5*NU z^2~wn;F3FV(vT)T=U-WlRri|OUGY}8djj)8d%Lx=agw|F`B~!^oI@ORYOfm29CnA} z4j!Q&J!P4;@N$MJ*Cz!UrTJd9yS~$KN>c^E0kmTnB*|%#NAB>vb)4cSrvraVyg*8D zrJ}eeKA~=jm(%aWgMJqJ>ctbAoQ-5zPxxU++Qa&hkm2Y1;kx{8z4Tkm`1^4(TwHb6 zUOo2?5!mN|y)x*vU=GLB^h_)>ekg(RW;7yTx!e-n-4zj}-UbtcY$77OLIQJ0R#$f7 z$M1eBV>9lGt7t({6{6}Ti2O<@5McZ-fc!y(B8I+|+ghX2R*s5VmdvqWeg_-vGzbx_ z3kTubQreuIf?#rGV>C7<_@`!M$ffLLu$Za5y^Xgmhr;t!=mkm61;ZOm#*wH zTfOq3MdnonVsM5$n3PDDJ2jz;495VoL^mnNPSe8l_%Rg|KG?qjhJmn(WT%C6z<_n8 zwUSyUyPn_+qtc2Pk;m!Da)O1{JzbYfl4@4#m0A084iEcaOz4_rTk^RDIWxki%ExHXRm_;Li0^tZ--OM_ z+FILUO}v33+K^$TZor(KGJ-^2$-od0P+x$pRf~5cK6`RMZj3&RTTdUyrxQ=&$?AN3 zKKV56PK?Cp&T34`fx08d>E6`1jd@FLQ}mWAGFHN&jNQGJIpe3PIzv7SHv4yUwYSId z!J{%rtm0r6{)BtZ`n!6gMc><-i)jL^^gwe1J8cSIV$^2kbN1-T*b?~g!)Kr0h{>fz z^Eb*7L4r4#K*(?6tp%A%b z89Kci@U=K07NzL7*HO64y}$$Bjt13fpwt$x9X%zOm^ZK;H^)a}dVN_ugaMIEh!2qy z3=~F)!x-U*FCRS@B{?_9-DeN)8{e#MVjdcYb6LJ>a3xi5<&6`sW`Ku(-Z*nsVw8;i z2RAW|9apD!$QnI%^awKK*DRdWDGu~t?ue2q3n_W&Q4UR%@F+hVbm;}Y zfeT%Fv@Z4BEtPF~ficV+N+Vn$K?kdB-0Ds|pk|qIN?hlIeCwIX*d#&@Nn``2c+4xK z2ZIh^w)ICR6^iuIu2_e{yDO44j7?(^Jr;5P(g|J1;`H$GIB{|~np+ssT#qun-)aEa zkgJ#`<;^}6>z=PCTIm_5g&|AilF6h+HEO^q&uoj&q*9SmFs4QrxMvOzd|{Xke|olU z5k7ckNYisiKnzZbZltB|;19zKS_rvu0sp3N$!WE*Qw9g+IBrVMd;iV@k$@rkKeT3(U;Og*IVHadca zHw!O3Q5GGF94U{aOUVzop)Mk=dQnfMB~X@Omi%}UzG6`iWv-{>(C4~&9-;DN?h%Lb z_4agmNZnHz^xowis`JF;WX#XbdU7)R8W|tTPuNie@vL-#4<8hKjnXBgVUqs)AAD$i znDa4I8P9&3-e>%px5bZAH>UAHLk@cLr0;Bv;UQuwhIh$)2Jbf^kB~bRG_qg@2?OKk zsoO}*ruP8ECAi7i*VfjaTjem3^F9=CG`O-?nt!8aa-b5lFzFefONjC?GB_B_>?Z)% z@=}^4$C_+f+jJw+6}G<0P>d=jl+qi55HmyoVpv-Z+ywEhFIEB3dx7(!qWv9Z4$~o3 zRE-UbP@IPe0M5t{Sm~k!CL9AVZH3vC5F)Tdmb`F$2)r|K6etTkQ9pO1Rv8OedEX~* zx}&|#E!WGJpNn(nPRn7Ti!*tx_yF5@wg`zvo2k+gTFJ|NvUEbr}#5a{5Rl^F8MH#ix%_YH?%9)d)qFnHOxJ5)cJTsXT z+^_2!jxbAt&kddOT#Z+bor_mx_})BzA--|(#rVqk%QD!lUP64df6zPtKdxg4g+HF9 z;cbjK85sVWn3(XzkeLeh1uSJ8U8P~T!TX;mE%1*ijx$k2)a-p>S{=KwHHp{-M%HEI~_RN){`=%b%9 z9^3IZKmKvteflV-<($&ngwxGlA+##zmlnL^rRl12>7C0?rmA>QuX1C-FznIwFJnmW z)~f2j#9$w_uDH;PKwg~rvbV7neQh0SeBTmAZ`g)fmum?|)fI!DhDVaTqReUSF~#e2Fy3PARy^KVjK_N`@&3wa{QFPdjemFjhw&eO@q_qJ@4XujC&#>;w-LPH z#7RNZ0}4!BzaQ*!3U)6e2X6i5Od*yObH31UPj9?^=2Bek8H&N$VszFQh$JdwCuC7=Q|siEqVvg9rIvQV^Mlbd@hHB)h>H#?Qg0dhwKa?!v!2^SUL56tSz$;Ku-PMc6{}#Z#fU@L14&*-BuZ9 zVL~}8j5wQzi(OM^XE|eN&OLivna=dGBe&SP%m>zusx$K8x{Jn?5A1v+9_8j|^5L0~ zY=-oGwa&;(MGbd!GxD18KzuIe={I2~o^-&L(MS9PTnZL+tMicz5{N%EG~_Z5!*duW zLVm|ZNbrGY^mNi=JQGN@ulm*|Wth6?5K0m+iU<%I1n`?KIK1jKdp?bUa%F{yr?N&1L&!)$ zXyRcI<`VtBNw0(Wn*`U2(tzleWscZz~t$ z$WXt#s!3}BQr`TAIKY$%>Uk#ss=zy*@hb7y`D-b)iaDF~KAq13E-9JS60~-i5stF9 zP^xBh@4;x4L2IW~-{?|pqGhI5BO5kkCMOPem;{B6W0HhY&vH01vN$kamZ{vcV+ig@ zM*uHw%1H{p6vC zLR9T&k^(ELa-0j(A%%Oq)DcIUTH~yolX6SJn<056i12_yk~a2Eugqh~^&!AxnePd} zz{NfYR`OE7%k1hZzQTMnpNH=rR#W{^{*EVDY1wb1>_uyMZ+?BrcjBAAx`R6I1XaV& z$);EzDhTYe@mW^dX^Y2~qBc#cpKUO3}}E_Q}eS>Yq*s`8#_WW}E5EC4$# zd{%AH6OcAwO6AU|MZPbGcE3u;Oy2`M!w!-WWDO-sZv;vbe%_US*q4H5UlsU@uKpSs z|K;Yo_@aI-9`CQmxNw6$A>cN z_ULFW#_Ja@#g+En`1+~g_^+?N694e>)%cSu*W%R^XJS|lg@WJ_nUy}I7t&rVlmRKh zMONZCD!`Lze7L3T-Xq~Y^`eN^>uJ#om%@QCr98P(0AJ*1SreXcM(CMfhu51oZ&I@4 zjiK8e>%ufVBYZNnC>>jm^H6Mx-C7xiCY9fq^tWQmA>Z*N==JS&IhZUSn5Q!%niapj ztKzdtydR4AvbM1{TGeo>Z?2Ek&DCfs$bnH@@&-oa6*&V|S6N6xCo*&ZUt9cfR4Ke# zFE}kbrs$BAHr1Tx!-1B0(p?yJX;{$@-G*M{@^F;$V$v@7A%JTftk%{x!CLS5IKRWg z!*T7}E5DQe{{8ztsdrI00Jbcr1fF?khg}t69uWG|Y4sae`HtR9@{wdnU;Rv#u@~?M z4hw<2v+l7&Be~(7^|me=n51ohw?zYXwI!`>1+ruoQhgMevI*4JcE;r=hnHuD+Xy^c z)~ofwaiGkrS6?vCGw${?0(kZD~p*$ATz!)&bW#lrl0eDJ|%DkK$5VK9LpF@pcU1HzLK#4@YC z!9E$+RxcH`{X-!Lj(uFj$8r8*j zw&h)2Ni&7LmAvB9oxG{mGrbDTI7fj{Uzm~^$qYdhWSpoD^C(y*Mt#{6g`>d1TT7`$ z&*dn$wkZEK;}Dp^2MPuFSa;754|qivvg&|Ig`R1zI1E8`RXV&nrdABZ_;r&EDAgsu za;X&UZ7p%;%uqak>3p0yeJn1VI}?3G3hM8IQEelfvg6${g4* zGM~%5VE>#dXZ56_L@Pm{$mHxnY!J0vbh{90)11wN*5mv3|;A@W+e=8!xLNZq{_q? z(Mxr7a7eBCO{T+HsF-}ZK`TJCx;Zt1RGQzIz`3=u9@FEKaf#lON?)Ap=#9~>WjQw+ zDSwrQkkeA2WR5G~e43Y^yKI49-PwvyZ`_Op;oHuq@WI{zneOhT5WE=SKYZhBQ4p+nyymu$oFrbRdHtOID9K$YbF;FYexqF*$~us5v5d?=H{8 zt%b?BIX52fk4kY)+>blcqcPOe7tfu&7`=Vn68DB!U=EQSCh%r@scZmLDf+$RE!)8@ zli^mESB*c06PU0IpSCp@VyL4#dK7O)d@(vX=`nR^0?(EjIZ}b0Lc~UHUBSP#aZ*m( z`Q9V?Q5zhM@ZQLM5C7O9R-IEhNhuOA)_UPdzpoA)j|=6lP;(+CHkac4d$*D^r8G7Q zRbHkG+8c{WK^H~iOUF({b4`OC)6bqhv_l5}K~)+8q&J@7a~0>g4}zy%_4#`*Ux{O# z{c=DmQMpu1?t#`G zZg5L9b)~~6$*6!5l`m!iPe=!bhIvei?6?e*q7UImy5c$h-G)lveKfn`)XOo$0J7Hx zM?Y-raw09gOR1s7up+}98>-99+>BQxE-bDnjvQ_2to!#K$Gv+GV|r#X*5pi-+gs#p zaMZ=Fo=efv#_|2NazZ+yMUA3XH5`j_&gvQ@cXC!no<8*fl;{`oug$}uwy{7&DYOwE36 Z{68?_anm2IO5p$i002ovPDHLkV1mZeUL61c

mn=oKqK9{iT1}fu2qs-3J$*_HeSXna&=ag5#7*eR*E|T7N2E|G}q=;h6}$ z?pNBe$u1P7_hn=>@JwJka9c+QvZTHXhB#%bLl={C#kM*ukhhc5X(y}>n+IZLTldK+ z+@XUHeLA5>S2){NzWN4_oq=0`##E1m18b)ZyYV0Ujt=5SnS6`y<$yd_8QIl?y)RzA zO{GnVda}`N?6y3&PnO2g6wR%U$pf~W{*L^DvQv+yg?Z_2`RF)&HvskO7TW%zlRDw) zj0b5kXj%Ni#@*XC!lq#u+gpy@(%L|T)vY}7OYD?ozx81QjL-7j^zzyA;_}%Gj`Rlg zBk%ZhH$VqRykT)mFL`rHPcV=C>TPa>exxsRT{jzai3X{@Z+<@J3jAFbXKw|y9sY^= z7h3WIvymOX_>T-L+qPYqXv=x?M;Mw@#SSEyjJF3? zo>lsKYh;0b_qRzB7MH<`C!7V#Ukjg3Eq+T!4rO3z&!qM+_FT=3Gp&=LyEw`L{iQ4j zv(Z9#@NG)u@bD`7Y?{>VggN(63wEZp%}i`}-q8ZB${GIpR@kFQ_0=~)S{pX5BTFYt zUqt_)4Xs&3deXx`@Do@5f!gZ?gAVM%gf?EAf7oJdIc2*koJk1Ee%3fr-tmC;9oWfg z(R^PBJOqlZLyDvDXvZ9X;pcLJu94H~r~(KY#@1DdSd*dp9Dk0zS`Tt=zrm zy^R;)hR%_(w}T>5H;pM*w>~5u9cLVfHxIO_pCnJ`>KZ&BH(DCN#BThgws|<-Ffv&r z8Tmi;c-F=v7Vg4ek!&{}UJIV3*$qm0z>U59LaPlsqw2q_ocNFreszzH)yw;|HBjz( z3UT{r`!e)3VOjV~V}kKlv_i|y0#rKWGl?d2V*<_w5v-rU-Sh*}=FAghU3ssxH)yL2 zh!>ZSZMq?9ZGG`8%AR7eCmQrL9`R(89%APNt&ugB1d|J)iK|X2i=2h95pMbIGga8B2X7rQum2dR# zE!EV$$^y?eHk0kM%1X&>o^!QNpKhkle8q;_`I6Brg$Nz7i>Fks*Bt!F6 zXnyzlyGVU(abok<%zM+1@rM2b>Z=bP(S=c@7i#~=!6aKAO5I##b-ewnU;X0t>5W(L ztxK8rKFxgiw}6nwQo)$YW>NO@cemgC>0jP{{P;t7X-hY{$FIZVV;Db|KNt4xM>4lR zXB`o~(u2IZSXo;gXvYc{_$GVu6iug6SpFK6B@tWSe)R|62S3bst!deE3y7~u%Ws=vWKt?`$r^FmyMj>OU4C|% zTRybE=1ESWPI!RRE+|(Iw>%Q)$pLj*!XrlLTfgz91=B)h5X(mx{k8gny?PM9HQw~>{tft9cuB#@ZM2kE zzY~MTnIO8Eh^@%%)m1Q8rjgMJ&e3J^GnxGK{>S10labqmWX9VAY)|nmX_IzuAtvx? zCm5@nqtNvcKu5Z-obBhMKQJWLZg8#vh}F+V=b`iZBn`mQ*fAuqSFgsR7sxt`M*3PNpf6II2oBE--ywuG?n7U5BXEIH{ zv@U75<#__!O@nLQhWp8s{t5mS$R~81bR+OJEw30(6a2|Lv9c`}E8Dhsd=;z6SS2i|30j<=nl<=1K zrcHMZNpBws*M8c~Q3{|6iKrop@=Yga7394O-K`%Wpy1*!+xzvqk{x16rkHYmqU{XXRJl zejz$db}hNjD@Qiz&Ov%U^>9bF^PIRpeyXm7x^-E};fB||aQ%?_@tvj4G?qMm7v`_h!*up(K z?Fwta@#YY(BPK&hKa}$azw1ue4w+fTsFl}=~9VIUY8!w&V{k797-}KVB_Rp1`G+uoO>)<@<9|J>7w&lC@uYA6x+mjIZCgwO! zuVU~?y*iF-5OBic*HOo*LG??M2hG$aU#F9Ad;Oj_$ebU9lh;bRrEe+aM4(;=lDGN(wxZx-#!!ver-NiyrG}82lbTUzq(J`HoMbUdoS+FwgEi( zwa4tTy1}X050St%&E|SeuyGQkw_MzQ$>g~8iz6n(HC$!rM&yK*w{hC;ym)QbHc77? zwtVa{Hql;Kz1@QA8y5-7!>t7m(85W!^!lLdk@pLo(2rbwnAV9%3a=Y2>E*R@0(yVS z!s|nm`a$fLA6|565hZ2*wbD1HRrKi`FLnJ_%?Rh_oIo1SQq7y4>(PbG#@&DHopj- zJtNEVHa2>SoPlBfbivXew@aH|5%j_`b`NiS+qZO!UL8y6W50_&wlUryB}W1 zhr%n>Zdv2!n?!!X|AkxJY3c=n{WO63>UH{*?DBw}oOb!P%BR?YCBiT@RZbKFgjuMv zGjSFMZGc40qcNdqCTqOke3o+~5T|8&t7$4rfd6 zl@_3!x}j_GeqFn2Ie#B`1|#51@Oui76{)lt>FkW}5PEA=|9c!%tX4(?z@D z9Qg{-$$;oaqs}-Bb1A019kSrKZock1vRQ19-gMDVy+MK(K4Rtgz?BDvE+Ch;YRtBM zB^Gp&oc!1-e}mq1%1W;i4*u|*D?|Wy`CQ|{oAeCK>7!CUi|68l%?lj9{K8)w6RX8| zWvCvz25bM*$iTr3uZ{7s}yktTn{!X#@Oy3GP?a0bD@CQuB zo-dgJx8=K;H8Jx6a%u;b=M`j!HM#f#`5!ife^fs>$?(~WomU?=zTYZ10VsFtO5W9D zjMFh9?Kd#YH5LV;Q$tu;h0TLqAjz^Z=mwA?T zHO137i>;AgKEpON6L;PT_~q-4(K8MN>xDe#(#ksa^i|T^*Qf|Ya!b_%l`GSZ;g3)6 zyV=n1`pvxi*+wgKy3I*tOTRy8vGrraSD7NJcsGqxXYr-TjJwJk-D{u7jhE-cq{*S( z>M8HzXwAn@Y&Nv(IQu-~W!9Qd3BI|ew|Uu)?s!Kieyfiv^H15BK4UX>v35kC;I;#G zDtig!6N9vU5j)(Tz0G84L-vc?=S;uPv*GW$lLh`m(BFsk3uC2Y&O^d)+k4gZtgExl z82Pe&ioU7CBBo_?z1V_gx1XmUC3jABw4!Z6kFHR$%g8c{^&ot=@X!Szs(`N_`AsM74N`9CbY)plZNw% zXV5IpmV=Fz+i-|$`wU!o-{O*E`Xdw*Di1XRf^LN;3^NSnSmc;S8bW{mSZT zQ-@CQim%}&y+_5J1QJY!FV3x_1#*_|C8pbkWbbLVtZWJFY|}zFZGiICL)qdwzF!>m z7CtuGdSx5XRY&Ld=k`|no(k!s?WdJ@WE@^k;iF8v<43>(W$KW>;4m z610K>f5^fs#k1Eun$4VHbNScKO{>qe*$j_F?rx6p zr}=a0>p{tZ;(BP(M_24pwpLHf&=Jm6-c3Hgv0qYd(!V}k-QYHFVw2RXS2U$#b7g?# zb)((8YTE{`eauNd{*TA(4U?bv!7--&Mhx>FW=%^!dd&gzg50_24B$zwxz67CDe8T&>fGjfP08hNSzU(__D<55PrFq1e z)Wg4i_E?Xn-$i!nBZEi>m05f9uXjAs?h^xOmFfK9buKde)DR+nre?5zeUCqe@v5qA~V)TmtAwN)ZsIB z9QNz8PAVI8d#m_9hUS0}T?gT$NTeL;F`RI83#$D8-lG_c(w9#ze>;l4nA)UB8>|ds2&3w@Q}P7DgwpUgz}BCjJZoqT->a;}9aCF20F%2#w80BX$5Gq1&MlNT zIOnA)Jmyzu{oGC`^(LUtZEt?DOn$P!!OLlrZf8DVHbG8FYTxpNd+2mS-^DK7@b+}Q zx%!L?`zNjc98gSVwWgK7wv#o%nZ-f5{)VHieqEiGW@H_0&0DU$_>g`Q^jT`UnkM)tC3uXp^)_ZYgj7 z%7Q-!t&{=nES`6nTPyF;-{BbG%dNK!FQ85yAj8Xq`vtXD9PdXMyFp5Fr$hT$SHCXPr(T$I(y0#bajMM@ zH`Q_KhYs4|xudo3x!lIpVF<3e1M+%pr0|*+do)@d z!wXo4#N5yXM(51AhqwFKdhRlXzZL0Y)+g_TyuSbT^6>2S;D^A%kb-o;p~n^Ar0wDI zJaS)L-hX{}`NOvvPv4@tyuCc5Yv|vEg*!}dvOd3|*J!$+>~11k-+CV>V?F*1%EV^k z!1&qa)-q5hnB0iv$B`&e=d;`E+o5YW4zQd6kcTe}hCI8`{zuxL!RsaNvGwRrnnE@&7Nhl9mq$1Zp`hz_Yr=mC6pFSb4d z0_*zd2_Nlj@1$VU6|x|V4F_Kn<@)efcST{c z?N#<|k-3|<xQ6d+9@Dmd1En9G#CzJG#=rFPLA8m$r#BhZ4X^rKOLgay#UqQI^{+Tt zf9&G~@i?qDCnuaXe&VR~Q`MYUnVYJ=@m!zg>N0g3ClIE9JYML#B8{Jp7a02J$X)o( z;p^b9Z|n;hnr_V97`r*b-*_7LPnz{;Y*`!jQO%BfFor*JS*)18f)_4<6d9b7?{IwhjNG zTi(T=Wc^HAIEKOi-VV!W+^zeU4&fbl_Tb>ri#j~N?9s2i^plT-AfE44EnQ+5x+RzLS`W$*LpOwikte-Y>Vra9`zv(Z6 z0&)HvQlh`uoA!zS<&)mwO*S3;@yh2(U;ggrmpgyTgk1(Ou@Iu9jd_x%4`?6A1<3p0 zef!nr>p%Sd@+W_N@Q!W|9_?gUUOmk?1pof-dDgOBYiGRD#ujD2$`dPS=O8ljh>MLG zKW74-SOp}vgsi5AJ_AR&IyNuamaF)dO}LEE<>}p%%isF>=a=WX!tm3iS?<#>7zz}> zw}3rcvQ}QVP=~47)~jPz%5rc08w0#{ zgR?1mxi$;b`?vF|kryoICClLDII$Z@lJUTo%<6ahndsU%`UL1r1B-EE&fO5{^>HYv z5B;&KSMyWJ=y>o4`nJA*nN)jax2*r_ujuH{foJruegnlT&AEP-*&OYejvkV`FV(3w zE1&6a?VC&Q;^VBqSu^63!9M1`CdE?4qpsD)e z<$E1(6T5!NwQ=Ci!wpC5h#UR4M;M=uGf-YVDM+7;iA^qRhJ0S2PnXSP=KrBrdC;qi zT)a4K#uLwhtZiHf-zF+IM*1ht4t>YB@kz@$V`Lo{wq*JHO^Kk(>$%Ebz2Uasx~lE`h|~L2`DaGm zdsekc-@AE1)!MPXnR%Y*@`?GYO_5JOIP4$aiof6v@O{7wt-dk!GJE-NzYFfqe>Lp` z2C$&qI%AIm!a{U&IQizMvGK*_A3ph;(G!q6Ilsluxr24=4>JzwV;ekrCWKc<>(NkJ zLv~No$D*m6VGK^1@}c9P9UOF>$*Npo{`#T+p5-+5^xef@`=byAJE(`h28eJw3^=jE zF=5Vn0OcKootVu5p2PQ~%eh|sg1rii@6&g^$_oy zV=30JHXOPsgKb}$$1rUt8Ra2gSe^XZrg{TyV|xH@`6(-ob*rXPZH|2bXx zAN6y&dNegIS}zXBXhpH`N_wBGKzCW;m*;E*bUt`D0eSiTQ2SY4+u3|>7bA)G)b;cE zCT--#!?SKWSM&m8={DW?-1NQP-ALbgY!+|*_L~6C#@>!?d6%CY)Q0kPx1&&8e#A-I zZzV;)=wrGK&B+&1b#-U6I#eD|CQskqk;+{3zE|44tnNn(k~yH>U&X+eYd`a~CYU4m z=(i5gL0fS$6f-YA(IahRcXI9UpJr{)=59|ne0}%=V`6NqAw!dkc!!N9^c=5*oz8$pcIYf}8^`Nf}oJ1?OA%1@K8`?yaNWSxy|dq?s% zmmTiL?&M=nlD_IZ_9p@RoQoSh(~zFc16Rb!kH!O_pW84#!zh3>3O~sKQo$51jkTQ~%Z%pC0gL zK{)V1arm~uRj6ETr5SsUR5;_|B|qbof6J|-QRbhKn|N6stD~5~qdesWv8P_y%92H9 zVlnt_2g+@$ZgUIq-~s3ar+5YRE>!T>u1&RZ@GV>^_tvVbON+0*iOa*jp-Fij?>~-Z4Nc*!W82#X${$otQ~5q_m&l@@Fca-yQU4-3r~{1}3;Z?Z5Ir+BV;|f)Qw)4&*eQ@xZyRJUUs! z!bNOolRB<)IIWu#>NCeeGwn9X1I*ddcbv~L1-$#B4hKHDGo~3sbw3UOHcEK<$dlXH zTOQp5qf7AAo94oijP%#0${#v+l1{qOr8b`VcC(hdv#=ZZZS6sePw%*uR@>-t_=udj zlM-9sy~&~El9a1$@=2*jfQRlY%8`34H+>N_oynizHSqEB?LT;V z`G0@^;qpxa@SB`W=Z}$vAg)_gEVLn;mod}k4q3t?JfB?dpZmmiswu+<+Bswg{uqEm zx$9sBcb-`We+G+y16(aE&gPWA@mT!UyLby&w>iD=Hb~O09|zomQks_X8A+*U(Vm_a z17YP)@t{pvP|FiiP$HjS=&?SfPm_MPRq@#k5^Bo+n@3zLf90$mZu33$$Y=&G|2rI| zT>wGjLom9Np`8CdME=ukg8Tl001bY4pg#k8bj|TG$<)i!xTP|DJINn1X~qXhaJ6^R z&sF>Z!z*LqB<>k9?ZWc3DD610;-R{ZFV{bJa|mAgh)Q`MPb|H~^}{^lRKA_^A1*In zewLhGw<{4281lbeq;|EJ{p-=#eGsdt>{HDjK(Ib72%0G}_k z?K?SiL8Eu$6)b-;Zwst8U~J&hhrH1%vfxw?d7(VDZTrfd4MuFnnWY0~{4=l8lLO9p zV!)Va+HN|fo-~iJT-_hz`JS%ul9a_?+ah7`gFKtdM+XZ``60P98w_8;ifZPnyGnS_ ziBG^)?#%0d zlveb>kE4=z1t&ZPa};dTdJnz=PvI@Ii)lIOE89kCrfgEkl1WRDK1yUS7= ztw9~LoxA(~TxM*FD`(!Ri2ZXnD9H=%ch9=+8GGM1$zdh_uojW)r@SLC82Zd9YerVM z%gf|HP1}F?=I!O*|6gPtJdK|yHsbTo432e0S(`q-i(T{rQU6!}<>m7K`jaP@|Hqqe zQ)k~@WLz$9Bme6!vTk~BFLsLcCx@MMV)^Ww>C-m;%70>%=jYB$Xg-A3AuTY99q9)^ zGyh!STHe4IfWYzdr-D;Y_T|sMxZK4+&%nC4z&AiU(5u*zFH=Uq?cW4AgVQVehhx(M zaGT>_K816g?XBN**Z}?^xH|CASuT}M@`6;$#R2Nd)T>_SzREA0%kz=8z~ViAgs?f^ z+t}dTXphN#;$;#}?=9j2Y7@WepBH*(V(isF(7ucQZDASx zG9lX5vAX&%s?q0h``WT`qF%d9*nMVhGTwZwKK#PaB;ESjjsJ`Lz0$XM%w#=enFRWI zkt}rD`PonUyj#JK?W33R16$rUzS);zPcLx>e`#*>qC5cH(LGwsmD)_CU3lR&PFe;x z*|%rk;{#W6xq{(;pj{cB{sB59o!c$A<)iH^)0n`~KFTJwulC5%%ojSdD32~_^I^hy zUnG6n(8%#r?i|CrJgbu&9w_eU-~uAa6=M4Un#yRsaO?XNzqYllc56H9+_vg{ZiCm< z{??0AT5YAVP|rr25eMhkkP2rx@`;tBU%C8?*Z#%1+14DK+vaZ$WgXaeJn#}*%S->6 z|IlSV(YpGSPw~dKM}D%A3eED-56(2TMJ}~pS*#j=^LFM%)}7m*AXwSj#YgAg?jt)E z`WkDEbL|o+k0$Z;`t|EMV35CjBQNM#@djsg+;yaP{ovtcT`lk7nTzO-KiKzkHr|>h zwgW?d!1)hOO1^7EE}Z#8oT0NmegFNp(?%D5f$KXim1j+{#$|=N{49MRKE9rD472I~ z1g2@}!t}6n%bK4*e17@s9DE*fn6Y=v%_I9sP9pbN|5m5tG4Vk+FV&KJ4}@}>_Oe0Fk`f3&~iRi3msACEsNz5{JB zU0urWCVM_3o<4&`;^0y-h)VyuPCQ$}-89-zGl6gZ=mmdT`bK$Oq@x z*ur4&^ewK<72f*Sgzvbq2I>7h{MFOJXRc6!*NuH_$`wZbjjo9e2h=Pe^Nv$=-xvDg z$K!D!KagJ+_7?FEfw*M%*m8FtN{i3!00c&dBYu6vsO>$_>xXaA1^5Aa@JU=SkA2Xs zKi7wSk&gb=fgD%rV^iqPdNhZ_m#fpK<60bm7LN8=Cz5oQ-4FQ*@0>u(`fD#h3j@E$ z^eqow%Hz+#yr>*{x79O(iPcYSKSSG0H>p0+#v-(I6LWC!?W4)JX2JA*6#z12@f#(im-Ys}4S~FY653c9cip7Ii)bO_IQBqWX|Txp2J-f#@!eTT5oiZ~y#fmtXke zTI#+SxhL?TAw?HPyNOh}Q$R+*+`h7Sf8j7_9Ue%IeXnV&n-A&z6iIOWibycu-; z0sXd#DW_@lNUGDC>b$KR#hZ^L@Cnb83_-AY+T#%Pf_3PkBVPJGf#^K)4xRRA$}4kq z+f#3KLOyyKkf#au6zjXQUSRYHKXTi~N7C|U|1<|S!0}i<>0pCMju3s7zdAH1(--vf zub=ee-vd?gJ0{h4g8qQB?1y85Supz7IDx*^zlV{Jdz+b$ZK8rNewMF&tFvSxXu}GB zw4EFP4tSSXdv@<-)s0ERQ3qOrlU+aS>0r(5V7fAm}&_4@3b+Eza0F)^C57@2rE@TN?k z_^Iu#?tMvjejO!DPU-qR5O)IbKlqftq%6IOERX)A;g`P3s9yY_em&nhXdkh^hlAUE zf;Ti%zS#`q@Pa+{dF1AcxM`nX&fpQA4lN&}d#pUhKeEM}`IJDhc8@L5w`<^C)+Trb zYbJ$fJTi}6$N|5aqRyyf6AMkpVgIV{*2%5jcqn?w^Bia9?!;m2C?8+59-52CmC-u= zbkz_4yxz$1`s~Ha(@%AGecU;+FT!fO&t|SZ^6o+(?~MGo^6hKCD9c`!GCO$0c*-UF zroCWwOp84*e8AwRNFR3K&6n~ovR?iCN1@N5WrnN)aL`WP1h^}FhQE2A`TN(u`u_4~ zPcnbwP`bwD6HoK#DmGfNdVsS&a{Txv^mL~PTh(T~53S4cjTxW3o%Nz$=N zbzGZ54xS4(oYebl_zDgu+MYjLzPis<>M%jS2ZZD1&7e?98^_8i+bcqYLvc{Y!#~5& z#WAJ7@;dsOhHvs4tgJ%$!Wl;%xUB=c0VnPRTKY52!pfq1`4r$u2H_083nF0k(aR=A zy`|qeP;X*4HCFb@)HVkloA9A8KDPka1o!3>{P84z>GZ80pV9#R<@b;G2~+l!AK z;iH31aJ1Pt0GaB{ajTWjYMXZ8Hu2C5UizXldkU4UUzrY)dh^jaIA`AU1ls0KhqjX+ zr7+k$Q2g?gYHvZ=LZN;5Mjrro^gR4epT183)@S17C{HW8_)Q$~R~B-Y#tFsEz|kb)7?Ra-dDUywFek!B=YehuWmd{-M;vfik?ae#I$0ILi`e z#cexizb<#@%D23WclPDu1cvvpXZx*BJ8y3tKjlsE&bUK;$N?iia||6G`p&p?JnI!J z=b%3J#P#ALJILSx2QKTq;n!Awj*j9YY{d^h%xA&FTWq)z?qkuEraWs{4$3zFHxAV6 z+TnYSF?v>0>>FmKsE{y1zzU-@j4 zl=aEW$Gaw7pNHMiC)YnZWv#i7k6%QEFTTtH!JXYX8Lr;f{4vlu(5E2|l5ak~xcrN^ zU#DyJ8M^|JGWj0lcAlOg8co}|9X)8fb1*WJ??#fKADy&(n3wyecHon_FWRk)t*`y_l3@Clc7c8k(s*Iz;23++Yr%gH zH5Q!BS6l*r{xu;i+&7!{rK)H+_$2BxsaE>gzQLtuI!7X;0G!eJXg~YRX5x0J_Ia*2 zxE8K#lKuuvaNrNW^v$?Btb`w*bX@hVja#3)4JqQ~B{9AkJ;tG{=iahizU~Ov&~&?f z{cIlfSTwy);jv(16yx}3Zb_4O#M1iI*hFU9ch&X(>S7U6b9lM)Xy@peTg>4%wns;T=MIScoA{tLckHhujH~;h3_|1P=tjxpvEZn`d+B6GV za=xrhWK9f3MrBE>Z|G7s2l@Iw3ntm)qi`7CPfVd<#?q28kcCp6?p@T+V>Jgubo?^- zp-*`pP3TXZ9>vq9SRU}a7^!pmj-(&la=uI&KIn{1aJ2^q4JiHaPCLEZUtZlH&UNMD zrLbdvq}o3;(bWr{Q>SyvaNt*_JEePw2!8m>n+`9H{&)^u z@GY2$hmrp&&6yS$2XU}zb(uaJVtCABA%{0(V*O4G>mS*%SThm*$v2z#fV*R9ZmoX! zxC&1>Le<~`Zv;3hsk%ri##uw4o&!KqoV_tKL+Rnh4zmfgZ0x;C&!Pyv)1LmnO^}<6yT1I zzKCi*rhjzJq3b?0PqLQ%G;7v>5Iz3>-^xMc-~1*_kAuiY$tm&9(Z;8I6#h>h?kD}< z|EuSh|K}TH@aFRT{$+H&yWC|gzkhLe`PF@5;_bWidAP?Hk!_5^&B4x#wc@vNA#TYN z3ghzN{`SxRRwze-z!4<>eSoe5GuzQ8DK{0c{POPd^4Xin>+Mj1!kG{(O$!r@Vf;J` z*aqA3VZihyh~{yO_F2dmiffP!l)(eq$^-0#+6AKtG(Y#Xe$GL853dCCSsp0v2Fc!@ zQ!Y)&`@rOl^+b~cqmzvhMY$I2dkP3S`xyv{xV zrFD13?s%n3GG6tK0}nmWn=RTU^!i6;Qa1zT${L@B_moH6`q|aXEhhG$xaH-&I_wou z+edz2`R?M=I+=1X3vyuF@ZGx)>V?sf-2pzj^2~Bi4&HpGt-8tS4T^)$S$6B7F^13b z+xFpi_#2c@^YW?>{oyj8kH4j-TI2YYES2|EDj}`DpZMH5*;Lb0sE`}{Ho!U z*iKe)gMH-3nwP%58+5h}ltw$y&W0XT%D4C)oy=Ir_n__Iak*)|#Rp<$ak_>%K#QNO z7Q;8%jXXYpDF!2ba9sdwJg?r3yT*y6jZe;?4U`XG#*0%1OJBe6IsflC?s&#GSu^L( zkAqCw>9>dfo&OTo>1P#_rh%r^xe%>&!(^Kh{UpspWHSjEeV@pZ+LOe1=i>0Od)_ z+jQ`}>Sz*7G8%;wj~R>??=L@n{`tk<);r`L%EY0bB_F}GSF5*xtMe&421SV))j#A@ zL$t#;Xjg+%?=MUa5m$gaJP*17&O9azXurI&x;R%xX$2anexPLm21-+?UBf$UZRRt9 z@I3Uo>Nc>8%=#ScfkeA>o0R0Bk1vh`UuCDZ^=#Il{NbK`34eWKDyM&XP7q(6d6fS- z*Zz2+xHB3DBGGN>{-?N|90#beVw^WU`Xl~vGowdv>|JpycqCI_!w^nJy17vkm5 zfAvdw(e1i8zYF1UFsCP4e9@|F#~-u|N6zmv25FZ{$0sCI*G>G-_sWZthKV=tH}vWk zP1Cu)HvW1{Rxj=Jm7jIm4f<1lr2*yJ^0XVfuvV^3d+<1D_$zv=qXCrx_O8J(R!4tz zn%SK8u9e!#_e>-2W8`T&;kEB}pxORU{NbW2`CM$q*Zk1FYdl7^)t}yCCG}$*ra$}# zTy)HuxNQ^rp>wdAgGy*-omo?-AD-B!)6}yEbu8*LxioV4^ElY6?tx+L0W-fc_hRv` zQQ(NP<(~__^m=htJ~6%bdBz@c$oDH3^8~o_uWfh-a2Nci3oP}hN0uNvQx0mN%eH|2U>qcQp zPmt^%$1<=K@*C&sFu|08oELb;po1>|87zaWU8f6?}0P6rOa38<{J#73ff&gNx+KwibUS!9H%H*l0VH_n z_3^bbP&ZPY2l~dg=;0S8tH<)56u%gMFT1%V?6$SPiiwVmT}aSX_vLYm4|g1T_aGWv z@%Tjyzkc^-KH<(zzY?0iIT7A(9^4hR@Op9AIDNVimk+?pT|#}zpt$PBg&u6&3!2rV zshdl4wz+fAq%M(2XZh$Mk3R-4a?r}H8QzK6_lkD&8u=+p^;d1V@qc7z_|p}B8dE#$ zN?jYiax#rM+w^;{;-lC(4&?gXZF>FsZShC%gyf6cs;_+IX-rba)B5sk!)WUB zqHJ=>BaFB4t%Rm8`kMjsQfbD<&$K-*nLjwEAK#QEKG6=|=gORHDxu5&hn#)PLpN9P z`FHp@v}%Jh^E&b4g-iQ_HaN<|JGOqzzQ7mm0Fnc@cOKu1j~rIe@f&}3?%StqDfiFq zTPx3>*_Y3Ywz1aX!6=DkFUF!J;~G~kLT4^S@5IJj5X=E7{=I)OJO+0qrhDg|3s3EQ z3)qOJck@81k+tzR@iaE(!X`8hTeIF}oc7{1o)8-!-(0?mzyFQj{rTm?k3EWs{`0!U zaE#6TrhYC5MvW~$^_h)-`NicA!t3Lc7juC&>r2vi&!1ktyXU{%W4u7kb};LL7{w;M zYe&Zr-n4~In_ubK?FXrj0P}20{nS?YNI>MvukZ=pZX`f{|Jl>ai{~FMpT*%r6M0U^ zZW&Rg!7zg!e93~UeV#FmZAZ|h4<1l%n;h@*Kr1&X@dAT$#!sqKc9RzXgE}}(h2DAx z^2)g3?4YQZzf_&7cVXq;_z$o6=-gKQ3bRli-ECk0tq;M-ADqLV$JKNBK9-AqdE9(@ zhOOUpKhrM$ZSlva_7+;ME%jR;JAk$qmhUlM$EJ^OqF~D4A(OI)von~eWNpk?o^Cju z5F<}q9E(`{-2x?t|IWC65(lbR%VR?poYBn{UKTNSXs5UGn|H5AZ*fd!dCa0QIY zTm7K!K*UZkI!0Cj-5%nX2N`hbEy3^ny2?y0jlSr@r?|u8Xg??IXB=g6$mZv^m%jYv zI}UVTeYMdi8V-*$?=v55XZg!xH@xBi{cxqW6(09J=68m3e{pP}ed*R=Yu=5LCphN2 zPlvu|o;Gbs^*5#0^Gk@EzUDMv;5YO8q=UShRPljw)Yv?!<4{`AJXkk=L$hW0)2n{k zEUZDGyxQIzpxXjj9&qLQl1-X5<*2i>~@(xgDH}SFk8b`JaGKz)2+_M1J(;SC`KmjgHe#7f;If3YAPRXilEK zz1{t((6f=q#;8MV+dH`a)dmM{@f!@CEUgzf=PDPywlvbaAdqVB((lzbsQi&zK0g5Z zihIVJ@)0!c2RGXdJgz-_2iOcpn=-`nlabJXWvak4%gl!FJYnz9^W_pSvg-g(L1yvTpb4;kzC8Nc21x6Nkn&skJP^{viLpnB7* z{a|RKs=7Y5TT+=U*=fdL~YGJttOXZYg8mA+UVONNrym)qb`HNp%ev!pyPR~)Ie|%_u1(4+Qi0554 zyftRO^A87p7hlTPDEg?M?+j>@CV=R17_5)O$ia7H42>(Lbs!ji~~O5 zv1b!7eh#-LLfh$KyjG_T_-AuV@bIU@aA3xGb}fBt$H+brl{EIv7$=LaD|12L=pB7`oY1cixy)weHap$xs~O{Y$#rGbZ=-7# zc{t>BAsYEJc6&ho{`>D|&Q0tD=1^h-nYqrcTLSpl*wFN#gy;Cr~5v zwws!L#Sg&6{K|gUCsEb^sT3Fct0>}a_y6q>iZhoZ$s^vl-e~T)m(l7_j#C*Zl^=Jp zMPUXAKBR3#f~e_>-|ga1?oK^;P=|=~!0%DWGuXrCb7yZNR)aHm3Rp$^)Gb6XZ_ccX zB+sBhKH6W#_bYpO5+`J!3iN8H?qLWq(Y*@F_DV^VdI0;}KukOMETZjSzdVYLXP^ab zClWrtB70kVQDt8>(9vD{2{-EyV#F@6y`Ejz{0oWDzYb4Em3wO@1^1{N08N3h1~W?FlstQSy%2zXXzIz?=bee*4vfNv6e(Sw-}3 z*EzNyh?K&W?GrnNj(>b%sTeMR64U#rVz#)V+AlCH=(hqo@>^3UUyicdwGHI&*4+x; zto7WRD8l#L7zf1c6>4UR7Z?Y8QD8P%2+FzWvcR-Vo0W56o6fGl=4o#R38FGmXwP;L{9>z&!t0>D6?a}2k4lQv zWWipf+<%d*CX2ek(M#>n9Q}Lx@P>oyNbf^uR&W0!!2zyJ-0nkXM2!wIW%aL(7w$7! za|mJhLQO}QM#AgxJcMTmB&9JUBkF@8_`n_>0a-kK=wPpBJOkW2*5x~qT|Y-!d5 zwz|g%y+3ign?3UTJs+C7e}9aQ>0-d@`4MsOD@UUV@pOl;x`pE6B(#L=2%3>j{x45H zmRh9^IY#4K!x@CFN9F;vISErHid!6XN(2;jBGs z6~8c$Q@x~v@X9{@EUA3&w#$#TGG1!dLax22e9a{bAZ~7_)*{w#I5N`GShA~<%5Z%)gbCC;U>t!5`)jeAB zIrd}!dP)}lWX-+1P2uA^hav3eetHs=0yM|UhKnGL4}}NkVsJxS&Gq(~H~i?~=2s#4c8=K>SKZV%ZeMnd zW2n*GV+S@G2G1`ior)~{D{wg88ig@+ob@s1y_~oDm~3eY0DFeF0YMH;M<&P-NzLDb z)UqP^c_JNd-TZ$hL|6vu_-e<*&sTrGg1~ty%mzf=I_|_A7W_9?;@(MC3Ui9`6>g_TCc8+4hqrdXWE-ok$zGrsXmxww z{GYDY15$Zuz*%~L) z3Yu>%qsnoh+5+5Vyvk&Xm2nV6KJmpo&f8Z#mIMdQs>C^+`Mk#r3MlBp0xbHeVZ@Je z1lGMT{#Pnw+fN?__bDA>S!K}>?rMe!+K`u$Vd0gDCj)wo%*0o$2vn%&tTqk(`B9O{ zzh4r0e$z~q?Y7OvSBg+YE@46WPLT;(UYdrMjY>K47=GzMpK%!k0@mB136cFt6{GK6!E;E?{bN z9Oa)MPu)$eRgbs79TvIdhC?N0@<<|})b8YI^tvhxG*h{<$&yc)5x zYOUikR5^GYXJo9KACY9{`ogdB5DfjyZ7LM)=*!aBJMTH9QWa*!x{Q9|$wl7iFpB{5~FX!KLEex~c5Ylef7!295G zQFaI=J8mBQaJjZy$cTT*-fu^I@p1A{iKme?QP8h^mfWmq^Yz!xI$&kbghdP)*puo# z>6FQNwZb8u`IchXTL%>dHV(?^#R2wo*VOv$E<6@+1nSX)WW_wu*3!3j!1&m##0DwE zuDaPH;wJ&U;7amI$HMktW{c75gnXI+E zck;;}i(|B%EbtdDcA;h79P}Ur;^ZI+A zBjj4|YMAn4yOy(Ol(aw-@(ysGcZ&WaPL@AE2CNp-f=mDClkBx&!7g|wn-{S&1!H`6 zG0*UiZ?t6agw{y0d#KbZvEY<`sSxY-!dyt{b6z88$9${9hHP{0lSZRHyhbGhSG6|z ziF&p%V0E;%xt%AKpnHUFuY~j4^TgeGursCldC&Y9$_0YxSC3YU}5NYuK7_ zA0qR$)~lOl?jhybxOz&PI=Byw6(CGJK)FAfvmifWXT(L6zH8UT2%Ic)A)eep`c>Aj$LVT{4nZt3;k zR*DW|K0L12MP5F!z_0)#HAx_kn7d${1)&R3I>>0C%j81yKU7YE)2K z;)if>zF7tOC#kfyewm%VN!Mhvf51|L=2WaPT&7+_YU%h$I64pPxBe~M(LK6}UvlP* zA>x?E$3me)D)XVoys`;&U zzGz%3tz%c>9CbPW&=??LBTIi%ANVgSWI+J;wi%p17y*d3ZxX|ph0 zz-2+X6{vE8BVoNqt3E|HX?m6Qsa>mWQwCXtm38vAu6L(M(|>uTu8#6|DjjZYuVi~K zw0hxIx(OA{DsT1(y+%nV;+IuF4l;^F&QGD-Oc&pxR5r1+N7Mv2ef>7KKD@g%Ck_*l z-`D$c`Mq}5hxU~Hk77B~+B7#LP;IyjxcJ&g5e{8h2Vh0~QTGohJDun2iehlP;7yxo z*h((HsBFuSlO|oV(3Ax_B)fl(FMeY@=jKwDExg(+&J)yHF_~M^Tv%4MGaFI$BL;=^ z&J!ekV&Jw>jGD(2et~^Y;)+yb>eHQn_KaGkbFuZ*td&JyiuXd_UZjZxz&t`xW3KJ2 z!)ts#BJba2!!2z54M=<>E|Xb9&cM)jt=Qy}Ajuk|PV}{?JN#-wVv@{5JsIADHusqA z9CK)EmzzDkP6?{1^@$&0DRjpRjtj^=Kpc|sFeh{MI%EJ@cy132b}c(F(L6P4H;j?! zCeB|@i8sZowweyCx_S7NiQC|Cy-N3(EL()UQK3#z$Gg}7bu zsAZ_uM@x(es;_Uj-|e%l^*KX!WqW1yD*soBK42zq{W8bwik6pn9EJX;N))TRNHEif%P1HB5dFX#&j+Nw9p=BMWHP zw>FN5L}!6b&*d3fs5dnZw{+_y3k!|zH3@KXn3v=RT&pg{Hkz1%27K9qey{=()aGez zN$n->_ALk|ML^RY%>cOYDWBToi^p4kPWL*f;%S|v2N-8=hOS>;^Z# z#k3k*bxT{=P>NN`s#jEqBvia(h3$XJc^}FpDASU=X zCJOf62uPM~B)wW5VJOkdJa6IBf#2?F7<{cbWMN}!;N~~h-9SZZI@_(cg}3BL+HJfT z+GO{K`Nu%U(qy@nq*(~9oGWhRj$8Gg(hR;H=KvRJDAonF?;2`#R|?u;EA0;rApq0L z)S49W-%hRs_{JHg`5coBL`IZUa|Xs@s*H7;e@`EX`;#^D_P9l%|j{q{oS=wmMI%do)Uk!#9* zi!SHadqQXV-D>?VfCxV#>SAq!Je1z32zFOTvF*M}j@$x2XoK@pgA)-FB9ha=uv_Je zowsa)#p+fsAT)VUN^??}7N^{f;NDC2U6H`hOQkxrBIV$^apUa8XZv`kgCM_$-z%W= z9W*#0sP5co&4F0wGuSH(?E+Qm?EVJpRN2D-G1B2^vYKsUkaLT9K%&QV8QIYl<-_Xy zQe(f0ky6o)2wnV^#woD#!QR~RNc@HT(bI7bfxDTt8gWk}0!QviskYQJ$^xfgeCO+XB0BX6{FTSdzRBh%TtPc2uhoelyIeIGT%X`WHmMcf%rFHoS7RPY??V%P5HC z8-&hlm7T~vllO{_&JPB79l#ox?_F?9$KEuyh!JQQ31|H|*3*V$+Bjq_joP?O#jR^U zFu6?GlBpEy@If@eHNTNHX&H*BPJG0Y)f2;pJ9gxih7L4qq>hXw|fSUgE_RC0j_w-JX zs#`@QIT~ti6ZW8(A~2jRu!f7DE%`n?nfW8Qb!xAIX_B%YcRF!?*pDEcLQDe=_BxwU zMgN(3om=`Y4xcgiqyST@k0|YkEj;RCqdNIw8{;GjjmVd}eBUqhQAm@3WACo7(~%i2 zsmJUL7n}`}u4$mUA>W7NJ%~d)9WvyCjDJ8Tzq|DKP!7Og?jB8`Y1xnxB73q&JQ-OwP`7*6y0!1bw}tY=R$W*x)H3>epx2H2VZ!$)4hr@+Y^uK+Z!mEe z>9o*}&(xMnyc^dm-hR4uMAzPLt`s4Cra%{=;Od&|c~%JL4D8isA0)a6ENBf~t=Mj< zUxr+X$T=Z?HQYSsF|?iJXBG}%f%A*it$Z4oxJLFiW!tmL=a})TL*pjUxLed-KklGU za%2U6%6(+wSsmSgoJ*SGq8T+K>8uZM2DB!I@|gTWhpzQ~Guy7J>7Nv5;q=7;xOvZP zkL=qi?kjafnmto0NZAk}ta)Fl9mi7ElKB2Op7g@T+d$Wh=oqduW~BRHiiX0)z_0rH z zhR;=H%HC5_VqQy!yMy&|e}*#R35RO{aXJCOjXj1e1c0P-=vrwDep?mL55-gT@WU#ZQ( z22xY@8JT&~Wj1K`Wwq9VdoEO3cj0H6!Bg<0xq$cK8%5{=)RN!`H0f9(#De)n0%Ajv zYg+JEH zlXu~w7s-gD1H24S?v`V>m1d;yeHcx~h1&Ad8+qmRT!ecGclhJP%zupwut`c`T_)lx z+5ttnai%Veg^xK3Z31W(<^Hg7dUT}4 zbm|rRW&c^IR>V1PVaz%l&~HFSq5awVXb0ggYg2;a$0LsUki@eQ^LS6CI#}5-Gr7V< zGM{HyRU!RqZ9)D-?HmEk(`LjqA4%_be1uwkwEfg#c6V1s=3w2itrgB94x#620!(dW z?tRIXC&v$$%rBh|0P4!U=647O4}TsA%3Co_z^8keR}GE#1*fyjVkIf^?nZxcOPgSZ zW77Esb`T?T3Cy_1=9^>_3sZe{%d}aw!lLFJHjnAD*uIQNLyvDnmy;E>7n!-^VUp5x z;Vb8&tzC>6t&3m$cHcz#)X$t2>nY3Hq^}-}>niuZ&lIw%$@irmp3@?gh!&ixN?*0~ zvg-ma%*zQPq!Mk7|EgM5-Oxc`0sgv6cc3r)}{>L5%$7oZ+JtbNl(gF2^#p)Ms`O+uRcW}}r!(+iB zyShpPT-CqeuwYis*ig_sJ0JMbP=;n&T=2EUV9P}1LzB5y8tv8X5Z}@P!AUNX_sBRy zp;ubWvbm`|V`{mAjFI#+oHXM=v*&LjftiD=4Ny--+Smm>Js0n4Hy`Tq8ytohOgsLT1pN20zxf8*T5d2qr=0o^MEAr=Tr)P?;D$DVQDIIK}giw{{&bymmcXG6p z|2S;A7exIlps&Hv$J=pys7tWMcozSONc1ne3&8;E?WL)!e5JHXMjV(7wyMkQj!aBd zS>Zm}2^ltnT(W3oPGv2~R>0Us7ft1MacKSB)~3O+Ko5kUqJFy_$Dc+^<$iwo#kQmN zCLM8k+Vy-O)xD%6xkr(V1N0YZ=~2n8mj$@<=Fd$aC$i2p@vO=}N3lX0GaLT8~ChTA)hLY(wXl^h%Bv5lG^b2Uns*LaF+sI$ON9&9 z*t2TiT#OX-!<W21@`%P(*VvzWM=MfuN`OXT z=cvLNu$jYc2_{?Xv+1qs6}aG$7??&Cfdaqf+~V^Y(^c){!0>ubXf+ z56kVdyolf)^Rz4BWVo4fs>fthwayRNZMe`?`fh!r9km(?<(kWXG(QCLV1FU5?SqSdDf96$0wKTuzu(mAc>)c4c zeeT1yX;N&r5FXHVC1$a`-sHTai!8GuR^yI=V4by??A&DGkm=#NH`nK%lx|NO^sJpa zesD8Vl(jz;y5GF$eTKe!#JiQ^;|yvGbNWyc?OLvmYt_Ku7hKn~rD~|LYhJ;})S$54 zukX%dx}Px(DXO@TYOaG^rUI(s!Kcyf9l>xeiQhTu+t7=}_%)o^f|T53xAzYTFRw9= zqf{Xn;S9F%FDnLj;OzjaHJl~S`t!*BTO3mFx!+H^aT*1k%#VGb9R zK@5?=rWhkIJR(_P?zOCp*CF+8s3ymy%`&Xp%;=T!s-cG$5fuoagnm{@?Ix2pA-v$g z_ovg&JX=!yM8p%XM6=>l;EH5gWf^lRwg0&+vQ`E$Ea91tGF=m6o7>%m4y5M#lM}@G zx=EK=aBp&svP!ock7Z-VN#Rz&+ANfQ(4rtLPfoZa4YY76jpvYRq5p9ll-5xDuH=aT z%y!9-;EgrL(=(Sp7>jhku!wHl`H-1!PD_|Qqr7=v>^&t zXmT^YzihHzUx%qMVP7G2?phe}PiwZaxnjXg?N6n-H?8+JsqR?mYskD?Z+HWS(9=#k{ z?SbFMIYGx}|7tKaaSqWt4Nse7V|6YRv z^?eQs-uQzCEnB)?>|KaRj-iobk==qJRM-EirFRu-Ro=-UzFo&$NI5HsQ@hfGI@PEr zOxgM~|L{MImoc@bZf7*b=i4BM4ig`nnm)hLO}@ptRxZ*Nk9;e|)HPP2;vxRjpQ_~P z!Jmr1MLg23&U%k!Hnv+FCkbbcc36|b_&rA_e*Od0(>5p-*R*BF0HqiQZ&|djUmiGD z7cmG^Dct>K8?)$Q#HMqV6){zxbn4k)bm%EcE{0=F$UFMxz35%@oBaO05@*$d4>Y;4dQxoM$ zX^(s5FHJr)>N8!^73b3(M|;M((+|{1zq(kJ)F($yRU2~AbuQ`cPo@8Q!$P3;^9OPY z>t!!=6N4CfA&=Q-!q15l(M5{hSPic~hZUzBWOV0jmYqj2WRxJ1c3H-#d>YswKB92f z{`Lx!;^bEKOG2$gW?et}*zpHQv!bC89J@SD_i-r>w(4ovX#~84{s} z0a|aGC!@Jj8}_hIZAFK#*enjixLLUx_QxTDbwK0*n36E?#LMl;ovYwq_>CC7i;=yi z`EznOjR9VW6Klaa;DXOJgytul6H1zV-=8oyzh|Gg-wV2RD((JX-gnq8|KMqneNm7CJISSA8WDyVG7Erd%oZCmt5Jd$NDJCU(L970}`yu=^mr^ z`)E;6Uz*%lXTsJH3<%VL?PN9dcyA8vLJ*LP6}Uo!jy8f&pr93FW_g;uYA z0J?eGt?SvSOuQDvqt9Y-InYIq9){bKe{)yB?BipG3>C3@ObKeA_2pWi8Xj!5 z_{hQoMt$qu9tV}iPKLe$Mb6Lrg>x#xkgnu?Ck_rHIxJxEu1v^G)=^AAcj9;i>+Yx0 zZbqXflZ-X?izSN&Bf9mvTw|p+o21_5BkT)A?}SH^DfH}~5;Q_UBNVUr*JZr?PZ zSZK^yff-^dW^48CrbAL?^LWFH6ZN>(VJ$(EBf+DCW(@O7%CZ&en+&^)zXlcfbUq*6 zKL#Uwl8@2Zc`MiM0S4iIPRG~`dJkfSQ-Fte%>u*yL&LMk*EXb`d&7;u{hiG!3e^b% z>mvtb6Jck!g4N=5mPo%lc3G2L-#{5-bEM&*mfyx z41Wl)=ld$YNQe!g&;67WhB8aJlhmaUqFe{C6qw`p?y(c@HZ+7 z5ReUsXS(R!goV(vH?ootc5FL-#BIjq55;W7^l9H7x9>G!;>{LB-Av z#cp9zy$q4R-~tmn>rx%>7ldYl*-zyoHRb$$*}k2OJWs#kZ)yYC8uL7^`VTtei}Ci; zZ^$ve*!44jd|X~_Q5y3w-O*QLWxb)}C%ns^ho5P07jFuuM&orZgd2isLF2H^p^UdbF#pk%^;Jtn6BtTae8gx-xO! zJuQL(0sRkG%(Ollp%3(utRsIrbgL-ZNs59po0=7yXH5bqI~Y!$wvGKM#vyD^1zwy6etia8((GT;a7-Ol2sWSKy0?;kEmPS!Y-;-UKKQ+bujMCXyXiJ}I!*-!f%QMz zTT;OAEhzaPl0?^olM9Abu9aY^)WTXGHzMMWuENMFcdu3eqnClnmqYZ3epWD<>@4G1 z`lP(8YJLHRTEx3jg=y1~OJX>z-&pHf$4VZU|9BE@yJ6I5jwkz0GC04&vRaQQ?+Z`A z+L?-8TT0nx`Mo?(i2cQ#pZ~_pF^;aJCZ-=&)Aua~CVn&BHh2o6%Y8%zB0pTcQPb+V z3921wzFZH1NCRtk5nAkuQ=onZrd$llnhF8WvvbQe(Fe_tEUjgyG@Kl)1f0E=m(R0Ne{Bw-^B3=PGfihnvlJF*(R3NZhZ-2Ava~p@$rVc7( z?>Z|WXw!OoN%O|l1Uro_@-?;74)3#;;ZPKNx5!ql2k6}lNnXN#{A=X=M7|>-dt>Q# z!nz!Q90ne)+Sx)V8Z^V7kETK*9@H09$SV>y(F+52&!clvmSp_byOnlI(ythki3L8S zi7xKnOm!VmIvxrJN6x&NN3Bk&9uKAV+V^(O{Ab@l`WI4)=8h&=zG0ug|K3Ezh=lXG z9>>u`KV+N)9?Wg&=g_cE{u~kVcQ$SGxocXcWcS(I#QE1|#w~ZP6$?9t*^biVC@A^U z;RI$y@`(LVYA_?+rc98e5qB@OL-YOm5|_N^fLfta*)vgyn_2u9gnw4#Z;F)KR>_3# zD&UeklBS?i%$S_8SC}}`IV8Sizuz%@1QO8G-3RcL zo5+3+-n)zAvkik!vsNYdZ^4ogEX{st!8cQC7&cF*Jmgmr#7&#SczQpmPBp!1EzvQ) za}@)vhP1Ck16+ti6apfBH#6YkgyMQ?l76r4^;%)6gq8Y8PQYi6S7`2ksQv=nl|wp- zF!g+5M4O={*DdQYwv+llJJjYx*^Qmw<=XbK^w;pRAL(8Mat6a%x^D^U3?=QaeK)+N zm)Z*1K)Vv7X@C$k|5t5YibI|St6V8{y_bj#VBIq-a^Xj@H});E&mQW+fX<~B7&OjM zoP;8RyIUQkF~Y|9(aiou;gU2|4+L$bFFzGgP(*J7N~?17);efW=z}$1dD5?g9-=(n zt#y(qQQ|38pD`ECoG!^=V!?KOxoREfY$L@dTv_qw8!rSSZb9SMV0;{lNf{5AbO~U* z31JHLKhT59Ecej@XJXfMT~m}uE6FdT5!n($mxaGfMYf*nUmTs+Ml@NBJjYLjD10@W z{%r-Ea!QdQuEz|ckr?A2A!?Xzpk)6P93WzA1k|c(drTtCU#v2)cYzM!$-RJ*}sMNauTqp7+ECa zCvy*nP84;vBEM-56BMro#8z{lHgB6w+|6v7X(S&;jxY1gQo>5Ms*uE_h2ivjM!X<`QJ6>A4{ASPkU zs{C@R8gA9?8J}YZro#Eo~xGRu{VsP6AFr=hAA zj_RUbe;9K#spt}37^SHl_ZBW|oxA+=b`%kY?zyol>=QTiQOwEP*JI>LuQG8JXO)Oy z2VRHK>O7h!edTWXz`>ywG@ zg%_EWR!j-FnasKX&3sKD(Vyl%e%$TcmqvYk@<(4Y9lG?VrM68ntBz>%`F1bX>iqV~ zFg!Wx7I;<)2kz5#-U zvhME*7l##vpiPv9nr!wtaEU z&t89RmMksqR#hVCe;9iHagHi8q;n~YBgl`X<(dR&a-sVvL@0{Z+3LnzSxXBDnhT2A zNc+*4#y}cMF7r4Fw_a02AGIz4vu0^-vk_a$!S)^uv&Jzyy>Dujo8hI4n&H&8mk1*}CR{#^ zAv98C`>exh-GOK1Srg|@ppYW@{C=dq&Wa6W367H+aZEo6dA~0Y2&~nME7-kp{0?|j z0=?v`eP1ic56TC?+#~(!!dXjQ{XRfeKuY{-KTCjS-UIUm0bXRtk!t0R(w$*Yaf8Kk zI;8M?myN&Ghr+>nCS1W>FldIfc!J?U2OYPz#t#xSx^$zhC;LlHNExt+*QwndaaM|S z-3Fb-6G4%kKC+Qte~DPWXp#97DJ-Trw}p-~iy0d&nbGCq9 zbNfb=8nNuoPML`JO0$kRHv=^y|=e3#5GqWJiw1Xk3(*gq+&Hz zElqWP38T#hXUUyW{jmf17fO0Qi)0)-V^~G=m`_xC@RgMOWia^R^c&*OZjt+M!_%O4 zMmFs0Vtc-5$b?mQ@7;%{Tq~opA@CeMD5Q%cy2M~1;FAe-Sp%?z@0)4XDc>mo&$^{T z4h0$tw=62IE(n*o&>ppe|7dI{CTtXL=QU83@B{epb_&hG_-D#{Kw6Z{^GwAZpITY3 zmh4Nuq}uPK)i-;uG@@uX ztKrGb0mmNX8d5oI4Q|t=6Zd>zMooUI`5*ImQkjfZEmDleYcCp#Qab0*_C7b#EqSK0 z4t#=9=fys%uoUbTm;CcN_lVS?!M_oaEZ6$`yfZttl{Y@SAC6r5TtOC<`fs%?J6__? z#6_Q^er&~~XI#*kEuNLZFp*&P0aY~*TO$mLKK*RfByuE3GT?xU0z0dW(f%nRhRqo? z%dJZ&Imvh1E#nQs16DIGy|TL${`S1845Cw~iLw7w~muJ#96_O<#e&coR1ad*#5!v8`sPx(Tx zv-FmUsJtiI1`9ZO<5s55t6mbU^kusj3t4TM^Ux*^gATra|Exx~xc=k#Dsw!d{mlTJ z_aU;UfEyiU=~3NP+Q}uil3i3a5iPWnojiL*8z^f}hhzHQS^$Mydbhlle-eU|DdE`( z!41+6SsCWse`iw41n6xJ59f%VH;iuqy(a_Dw&Zm`>>Mdp&kRrWw)-;OG83sT#o&ao z--TQp2A3Y4*-YAR|9s?=lB581D*HK?5#(Q~0)t!5E-eC@OpHFsoZV9xODdS&WSq{n zAN$)p^Cl2;bunhB`$=iTrV(*Q#?l8#O&sR;Xy7P_EB6nVJo&Q%1R}eZli&>r>&fhZ zAs=Z$yRHs1vJ_e8gvr5tp>?oMGOSp2YKS*b?F^%Tup7Rlt-Je1+REqvev(XK! zd_uJW?kWnPglf(U@0aJXN`A__yx49*-^aI~U0fB=&U9_PCqxUmr|c#v$4NX*?k{Ne zYC;IDtu!Sl254yxwaO{}+BDaGdqJ%z!TP}of|hOgvQ`0ZPH~aS^HUZs7{P8pA^nS# z?RA&7!mk=;y7+~JC*R_vk00|SUo%wz2-*Vh*Dc)Ald9^^gl}|1WipbdByLK}Uf*%p zdXz|oXUE|`UNaJITfNfiA2QbVVY<^7Lk|DsZpd1Ege?-yKEe>S17}LPm#T$J+)&hc zTuQTeKmmfkSKRFHy7nKeuLJz1tc?><^d_A#Q&khS0?#y)jS;r;a@#aUCAT(wSD5Ex zscM1a{p{+pJNEoats4ITT;#XW1XGidpGgJnPn7+`MDcN1O6L-@nIINf0^umA-avy7@wpL3i+=;x3Y=< zb_pZG$29#588mH+ima7n=0G+YAS?$4*z1x3k?gF6Z(#G0a>xhVM>@zs#lya{N81L- z*g;U2<*`kDvc-sglIjp7by~||0VR(EWLj6uYB4i3{69J+4JJi_hkq?(>xt6MV;`p# zPvQjuI4_QhM%9to(8y%Ua*altwTBn!Oej~TWI+o*^u;m~d)$}~opn*kDP-iH>*Zu0 znGSV9T$tmztxsNm4jwq`zcfRMY*jCAqHvn^F&+*`Hum4thmy9x`?Q}1tR2p?&m7y- z{mwAf1s#=jcAyD9{=Z5Z4PP>gAd)IUjg=yyDM|rSN&za8Uv(TRDu` zwFR<6^xji!P|M3;{kY!)KNd~mi;C&ai~Em~QjHlqnNI)eeV zZ^<_|+|GL%uAp>KLijth0<6=T<23ahIkdWB<~PbJvJ@T@G|=nsUP)S-sJ|tgypAMv zTGaTjTb)-)PzUsxzc+8+6r!8r-lJpG>I>jCG;9I%shtiF8UqLB*_F668*2S1l;q^* z^F8e1CfD8g1&e#)YLN3lvY2h(%Mn?JR`0`3Yz1p=*0naw@T!ei%PyRLq&D`Yuft|g z>DHb=xUQEsG~^B>A7~m~ zQffp+b#e6a*^{@M>X_*ur}R_!#o$7t-`Y55FqB01EP)Q^q*%!{33!y5Jx#gVCY(-S zwA{a8b0>{QmdX(@yTE?12o; zAJi-_yFE|@2|ELvhE+AqR(yyh+65f)`4J|>9EU3vt#s(iwRE+DJBxnAW|LnHLs^O& zPPdqmCR|YQWWT}9c3WYsbJI-NGia}kp)ZeOYpU>JueGaTi~1R*&w@S2hj14cERgL~ z%ixcBs7S1mYdlH@4u@8GZj{XXy=_E02GbMLPP?DBlv}8Y zG*!nHSlGp!#EMYs`3TP0wfg=TLAaW~CL)G206vsB_o(ulx*PZH>h zI^E}@*AH5M^qv#X=dhF^K|5Cau~?mAr1ToLJlKmF2C4SZ&xMiS1em zL*{NrE}1WjjUUC>p4BiL6J7pBZhmEzJslM3YAFiH5#-V}HF0d9Lv6-Jna$6!Aqq3= z380{xsU&RrJ*stl8{J`#Jd@n$wo*_QFgEH$rgb83G)O)AqylUpb#A$-;Lbj~dFzq3 znT=ku{`+kI#5{-uyBVKmYP~#@YuLq_zNlclvNG$x2X+iQcntZ|)QEPM;Up(NcdKHA z@_r})Zg7jnX6yWwPCN<6-TMBM7pQv{H+B3w^f0vk&ZS*c1+5At5 z!>PRAg&PoU0+LVa`2HeLT6c2%o9Dq0J3Hvce!XCY?5qi`@yiECnSaZK1LJkEFvL5= z4$0;b)gXrpteJ&%Pm^r4n76Oyu>%M=ZjbKHo+B}p^>7)}Z@C|>PQ1A$|$ z({WegPY8OQuJg8nM>B6yj!;vLk0*34;76VOojQpb7yNxDH2~GMHSiYGB(`74xQ6t- zjhEmVQM0QRXe`I+Pc)bNE743R)>IAB{;eEx2c#5Yar#%0X-{>YvAYK=>sS0qjQNF@ z!0>e6#TJ?(>#6fj+eApItGAa+de<%{fV~a=bjpY*UmqQ9%zNpzmjW;o60C+8`tq|} zmXytzVa%v6HNj@d=bpYFYdU1D3B$Cxf#xf5NAVz8w@lIp@xb*<+W+dqhwTTXL_IGW zgEfsU$SP;)oRy=~cZ;q#f7O#ra!e{!+x1{33{EnU_@q9~j2i_jZ=i3>j{P@}K3PG_ z2Gcvwg2^Kg+CuLLs=gq(1cE@;qI8xQ&lj!;KK|PCFAdDB)Q?A=IRCN8709*69Um<5 zfw0Dt9^a$A?rO%mqX)erzQqWy$BGZ#A-Qid z{_2>`tmH8YKT7Owe*FOJ^=2O}0Klb7)DvKi+Plk5iMFvE?ZD}H!A~P33co?TZ*H5t z*2B;q_C4mDF#WlnN&Tt>#TqLamAf;u*CTUQr>msa&%1R8%$suWn>8lkeZpSZ!-og+ z2VmS1mOaB$l_3S9{22umS(QOWB}0EIgQ+zkoidlb@?1+*A+J6|Zt~bkT=)<*)OO^; z+LlvhUhIl2xp2@h`4vna>ggumo5h83sq%?YTMoq_fq zl1!5cDw)C7H3Ze8GrpLDx4zW`mZ3wGliCC!8V37(QqBi{+Ve)F&CvP)FupxkWgCH$Kwft~f+$$zeC zvDRT@f?EoG0&>U71o{GhiSy7kd1y}h8I^=gShdc_a8 z!hSLf4406~JeSVe;T{SsUpv2hX|Td@q6B!6upfJlAFGgT)M)xeTwHu4OF)QQb?ixS z#Blrp|Nf)Vy-UkgKuNs3)lN3SLRLkyDowEjo8) zNKpVjWOmAQO3+-|!*&j`0cNxrQSigWDzILxt0!O71HpVQyyDD6aSscjx4eu1+NO{& z-Dv}wU6{fo6Pd2v4wIQWY*n|5@Ot5d`klq%ERrS>BD_}K6CaU_PSA*G<6MFQJx7mQWCWJaGmW8-@fVVu`>^SaK&)c*Seu0fFueyer(GK<^ zZg^bxwSECYdi)iS_*46uNq#wi@^wnfBh(xR-w;l^x!G%fV4C#Bbg)BZNBDysD{Qo2 z+yC$%9)ra-Aa-}j^VEJ&rB{c!@Azb^`GNZieaC7evN1S=b)#+m79aPV7OtW8$Y_GV z)m8DUtMGU{4onrZ>!nS5T-@SQ``8C>{Z2;*?Vqh7Ku(61_DZk~ng=TUCu`Gty=mJ= zF5|TB;`LZZF+75_#vqiBg@GJpiIk5{gHZpN(=sH3rcJa zJhRX=sB^tHE2_COyUj7lgZn}o`?1PC*o`D3({DX;|IAPK5iB3dqnVPZ}2$l21@$K^l z?-+yN7s)E%$75{2N`m}hA1bYXO@16Sw)mH}jSch}$DZ&{17rBiM6?GNFY?~iWVC0v z)kmH79VD)9F*mdqEIV8iT)>&8x>s%z4c?5<93ba#?*{8-5m}bCO(|cl1+XA9@V0~N zImwYD>>NR3A8Hq37nchV5AD01T<|^B?T9V$>m$CTvB2Z2ZJmGQR^bXYcx6xKJAOZ!h zt(S6`%9FpecY|D>;iVk-6aqV_qQ0~2C=n2+dnl>I+Vl1Ww8^M4#gL~&F5L}MJln2{ z-kNE8W}j0gzh>z(>*p0ULAO0g0U3J9dB7z+IQj)x}$9}J|8sePpH7eH*WP=J2`ju__;}U4jyw|9HS6560#`#sXco$RlHWZybpmn#x7d6Q_-h+5FI=1@_*8+ZU=zg`%3c z4M5K9W+Ih!TIOH#`euI*%jm>iPY%nfp(|J{W9yTL>RY*P)qeob{x{L8D`(d-ivbj)xv(z@;0y5;lFeftkq$PuWU4+?D zVXGP^6R@bAy>%5Ldiwb6P~4!|MVch|PFu#nwnI+n!&Xw>29tzs?UoN0?!%d7BZ7*JtC;?TI8rMIQ-~Vsw-n6@yB?o77fav$KhU zS}RkTgr6dxj35Bu?ZXAvy~47P16Hik314KlJ?m#Tri>&KV=9yxDapoeUS;%UPuMmh z4*TfDh#Qg`mVpAh{s+?TkR(sQ<9-#+aUeoK7%E!!N~XNJETs;gWi0ZWwj)>U$g%d; zJpD_%S?j(KN*HVzr60PXsA2bfvt=v=aLTWjkLeY%@gtp)uXBFp3TkvDQ5@-MWtAK! zeWxodK*05ar6ad?F`;`lSffCPxc&wMK}P1rf&l6hk@1y+i*(Dp#S5;hZv!<)_c^gp z^d6Kke6KM!z~7&` z@!(Rhz}2+(!qW#kaxE`~vTV8HMn>*F1nZQetu7rFL{`trxl8!?pGas)J~i^ZbNmUl zy1kGwk-+Nr-yDd~!N_;b&rzC~&pu)4*vYG!)o`&VC)h7@GL-cK&aot2@df+St;8K? zyJtY~#rU6ca8QgHgdv$}FVJI^a*?od;jxBaC@HV{f|C==vgaFbtyvFZqA5UtcE^hl zQ+AlT(fK+gW@H~&lXbSXJIL&MpSZj6A=gsy4?;PDas}xzcy;VXzNMN-fj~}0WlX+l z4xr7eGL0=^ImBy)nNT3R6XmJE}^lvphi_0cHs-JPGkPH@Mr_Zh%C`9!-Fl97>jptlf?_PBS zRkYhZ6VdR!ZJNteLy! zp;R9}OA!i^g(qWzq8P@w=vpPtS(J2QI|^SmMXLIQEK~l z$g4`tm&f@?W6&m8M_Gv7uo&t*pUF%Z^*4sGL6*0%&)h?AbA}eUK^g{b`gu}EF?G?J zE^k7q5>L|>U0AR3J9MW0kQ*>i6yG}CAWz^=mX`dB-)3qB^3=zCP8<0TC#_g)n(mmY z{D=|rAXL;`&^Gc(biYQH-)itBm$V1YMOGoW3cvR-u+P~qqeRl*N7{LEU!vO4a6Na8 zJptv`;}FuY)MAJcSLOCM3BJ>G{wp|7+Lbj=GvwS`@Xe1Zr}?Q?Boa&w)M~Uo&&188hL>mU3xU@7;B>6Y1&wBZy8#4GQ)h2s1djj zKD5mn+^SeSESevmCJFst@i&y zgj`wSPFU~!oZM=(V%ejZBgm0&ktAp$1ao(F;_plMH+00qYJ+DDRx8>< z2+g#ad%tTJYMB3!j{){kCgBD>CSD?>A-eF4V@nR=YM^5kIswSZ-J4!k1B6z zGF%GAH9=be!h46SOPJF+5GZ(`HYt4P`&mfX>&K(9NF?FwZD?amm?83QpM>$6d84|0 z5diY;K548P4$8y_iVfBTk^*)x;Nz86iER-63^i4V(+0lcd;?G59e;U!Mdbtbwle0U zVeA#KA9;R>FPYeLKk#HRXolI$=HF%drG^W4tSd0h*O}SNSx!#AzvEjI$PHtM#KUIP z*?(g=UZmB1gn3MPe4G8eFekM>=X@ZvnN?sJc>Dd0_wC!H0;4;Ag;cH{9s^)TzVEmq z&HSp29@#3S2lk3qTkp~3C}g+R^j2ci&t6PW__-EX1M#(L%{K1ghaib^-K1v^;!53x zeBFk&Y|YdPxBEepB;~R)i}Lax`9`#Z5S5KF&wbv%uHRXuTBql$&@tqjw0@B+8wCJ6 zHM^Muk4&%F)r0W#W6b}!BM@o)Q5h1eQECjL;GWIGL+kx7Aw`Yu-8$j9gE?2w3w(et z2Bw@}2&;A9mSBz9qJUXngjZ4{e8tjb3?v!Fb)0$ za8BMym2X3X?v?K!KdF9^KOK0}jo3gaeXIgrRKFCJzVgusc+xYw+wib-H zzo;&^0+CdE{k6v?)6GyT;@Zxrbni4^5YrQn>} zs%SB8gq1uttqqGUP4%~(YBzR|%1HS-8}9kG2?jrOxO+t%0kdt*=#-W^t_Qu%3Qqna z?DhI8%%(>ZWL&rMPM=2M$4uteJ8iBA2KP6EOzG}7ai2KTvf~3xEB2TM$nNbfnA%3+ zdQILj4YiIlCuU$RS!rR0BA{jSnxv@Jcd&MW&%IV(DF;Rh5$;gViVVr#HX|>e62CG-y89UR0AR!n(@Os;P6-wHgQnoaHEv zK#+QR^yLg=n!UmN!sqbwLBK$p(I}zuO1n4oCZH5NI+N8a#C4;AyX5R;EiElAW2_it z`G?Lb9kCVpbSmUjT3(=-7jGMTbQ8tKoJC~P}6TQsizhPaTVp>TW2?gf}3(lG9k%q z5%%ur_u5E*z6AU4!Y&vxl*T?^fQ(I})#At@4HwRD%rh^M;~-n>Hs9_)ZIEdLpNnKq zdlCt$iRRiIJ0hFC1|aW5VhFxV;(5mJkFNoypgxbZuO}Z~T+JcY8va}`QOiP9#M(EI z?gxJtNf-TM;(dTd*8}%z+{_FhmO(!Z|I^VB+~i57xQxl_g$_53+Fxku4_g9oU zn3vvSK+B-4L_e5csB5{50egpe?XfnoZuk5zXB3&G^hh-(sN2Oz+jTkwmXWZ;D`iu@ zIavUx3hsKv~%NcYymfw(YkQ8rb4=Xj;3Ex)a2_#KvV3UK!Ar-Z)a7L+F97Og#1lIrn%Eb z86SrE`Lc{DDM#!2!0sk!=NqD3Wvg+Ds3!C2cwu00yvE5wPd>`| zRiO3vWOaT~l+ihK;&+WJXVXd28-O99V%o1hKsaFarGZW<#rtE*X->9k$LyD=ev`Q|HayV#& z1+0dsBTS|>#GrBiaYJokK3nxIf|1mro%1W{C-!rUxie!V?eFrH*}1VtpHXWj$J#zA zDc$O0buSQETSEhkNWNq|EJz-0y`c<>4?bzrvJb!xPi;N%Uw>j!D{{42!=+lBBuUAEd6Fky4zhpYEcOP3@jO!j zUIkWwT{=l-PqFNq=^?GXj;g0{o}Zv*gQUgx<;oX+t$(!Z-M?Ru(E9${wHVeY?W*%_ z7R`pRrhCosJP>+M%&@o1$V#v=4Zx^E@`ZM=-WF+~!Pgul`^J(9R(ZS4Q@m(kjdd|^ zXJ=3S*K31A<#?NBx-j_#&};*rlJ@cDj%L z@40EZyAs01cUN@pwxqVGT6rl-u-J0a>?l^GQFH#;R&SdjVJ9Fh=**!F92{pg{MEYb z%!iOmJ7T6V`5^^(v4|dz^{5F8A<`Pj18wbf4(DPU{^(;%MrR&vBqgbq^hvsC+{ z=${(Ggn&Qy>JPP2lMMp-Ej8S>QGGe-vmI}El4T`!yq3ThN^%>eYxvR*1O~C5fKy=2 z`e}3_vSPTdwhXSeqM>A?0U^56YC4*8gP3)J4>XK;B8TAK08DM#o~-=WhHYR!ZP)gl zYF1+!PN2erWyd<1O&>{N|Khc`>~eOaOfe;VndE=gVcgIEd}~1Dmn0Diwgq7(YnR0B zjc=F<+{Im5Y$`P)Q?`v4#)!eEYveo1o2|(e9Ao0C;gf!&0QEEHXT;1{+Z3LIrR^G% z#u9==4mCOAfQ-e?WZ>z(q}S~Wk3OtzwJ!M^Nf{%ZW=gAl(>K)UloIx?|1C;%yiRYi zxDGuz8R<2K;c%MhmJ%ZN+d1>Dn6UYgmnT)KwShy`Z2~_GA_ClCwUy8U_0Wrgn?6Zc zkJtOJ4}3$@igS}f!@VVJDMf(wzjuig)kXLwJtHE)b? z@K2RPnfhhdq|ZnKojWPTJG%6y@#i8&E-Y2JuWGIRTa<3i%i{~DTz_BW12aGSwR2~- z;r2K`<=z_ITGL5y19h0Zur#a}Q4J{z_v}!L{HoLt5rPe@Jd%ri)*C58@a8`DR z@1C!=IY`0fHga4tRq;x^d_4Q;m_#F}2 zEoNmNSR?&E-&x|dP z`_tpg;M-Kwtz%JAo^G@o{*w-_h{b#FM!A1$K}QsB;!y-FQH`nJFCylZmo$X-FG5P6 zn0g$_V6>x7vwwyKkLv0#8-Y8&IH|j;{&y%>(On9`GzUA-e zD;dIDEd)&)(7-vUXWche#NO!0nGLzkqlhodG~3w0wCX#=c!)mqKkRYTSbIB1rjrR7 zw+^j6h8IGCb$VvFdp6GRBQFK|FRk?8&(Zr+y3qL&NiPjoYIfkmS>I>Ur!VrM#J&${ zpC?N1!YE!s8nuPi@vM>k$$T?Cm1FaoD8W5d|B8oB&gdf7%S~e6BXch}3KdUT6(!d1 zZ0OyH2Hr4MxU#AokdOb>H?z8TZorwa)9nm69XYz}TPiF?rpyMs6MQNj4rZk^m>uWL8INdru@%HdEw0v2cnwp2In4iL2UfV z8s&RlVrP-G6G2p{6Lk>2@7xtvXbD#)6+h&Cy{kr-iQ8&mBNEGp4h2j8&NYpe^n+A{ z^?HIgr!5JfQo-ZNW?|QZd7ekpepmI28-?B>RZ4@}2!FW;jq$rnfayL(g^JkKWF0T~ z*|^7?4Qn;vYkLHADtboEdK{r|dm@EV%M#s@^>P|Z?28ZU=*_nW_Dyj@RSghd!^g%~ zvaHL|S~gD>(@gTllon#u8O*^e>tfk(*Sy$d>U!@);}f>7_@?=y7?*7k$wRf3XzutB zzh@nVHgc$6Iht5b5U#H-NbiQ6-z)TB3$vo^zuS~YW-kjG0|5O)_6(u)!T6WRqDJq< z;}R&Yc8Wnab?nppyiLaMU`3T#a?nU7+zxR`{j_bXfT)F|Cll%YOZeaQbC6(dW%JsY zKlxmDy%)UNEGHAv%!wNBEjZ=^s1t6dZ-W9hM6@aZ=kcKd?V+TS%_ra6N zZ^^uu7_sK3Y{56Sv#w<6e>K`ED5-i8)9n==w!9x+jNo);BP_5B)0VyRe5R;-mC#=?B4Qpww0>QT-g2q`h53uf{7?<1A zn-}?Jy+{Q`ZkZzmF1A1!l7}IgkTjh5s_eFp4!cvihox}!GrZ@jD!Bz%To(OZ{g2O5 z5tIUd6HdgYfqReSH|%VmxnXJud~70JD?=XQ9J5~W^-=ZF{B@mS8PbAFG=SIiZt}(EVWOLXTqNt@l9nR4*ci# zWH+|J4UP0gw}KIx01z6w)y!ZsIw03IF#M?NN5-+{4wqP5a!27VK3(-qm;ts;SU1) z+Q+`1o~xys{jgMLwrJV`Q?9{{avv>m?nm252OBM?YI2~5KlG^S9;>L-;7QjNXre*^ z+aU>9wc3UHg|)m3P5v_5MeyTwNaV%GwYDAtGd<$LtINfmbCRdu{pZ<4p*>;oyS|*k z`>Cx1ga`CrZPh)f7?eSz#XsEWo6EXFG&L>!kIN!z67VrD4H`0nq^1r?UCP9X7vNG{$3tE=< z@6cVB73yd7Y=5XYl5u;y=Z)6}db1oLLd)LFh`p`iZ^L!ErV@)j^5AEpk&Je8#uY)k z9?7~HP}{!bVy>uDo3O&^G44Rg^Ir=^`h`7jgbKK zZ)Rx8nn{+-!Ly9C09#|=X&#;g@$IwX2K9Dubc@98K=u@k`|r*IE@a%%?c3e`=Py=~ zD%~?yKawi*BauqXwuKo#G=OO0G*5Rn$kiWCziR#WP0#wdJLC~-_wUvbyG4b6&*nbE zO0dWPY92QYi4b9I=}V4$yrzNoZKxx?Bz`Ek#^Z>ZDBp6E*S#uKE_!VKtBO!sS^;_v zIYwhC8%I<3Xp-89>pUi6-NR1Z%E zoh;fXpVH8{dU~vf!H!-=uaLCKjv*jE&~ezlNu0>klKzjbyA>Fz?V!5!xphG2Oq9>* zG^{T0QvEczPc_~aNcxvMqyC(y@4f}}tXI-$ZMJi>dEq7}=eUjqP3lyAB9U*BX&?Lq zoa?e1LKq9TIa5$O1DZ9esib>v_7$)!O0$gukx?2jU{v?u5K*<5m~<`J4G9Pz8olSD zna{|w(0$)|52>SfAPBy&_rNNHw-7rLdtTMypm<9oW_WtHKgyr&yB33@h|di;%L~Z< zQqh|IAp4{8S{9t?8*605%9P*hsKnp0{eHPdB*ygKz6*V0E`-bMVb`NawH)W(mHjGj zhy*&+D{p^#A&~_p9=YCPBCz1@RrBSOPf~!H*mdhQV&H;TRX&sW*25OQEs*H z8)b@`_vH+{FLM=}2dOw2=_#*vkdMC%;jKO{yW#K7_D24b)b}=WDx6o&Owp%HNz*Q`)UXAnMfdglfB@Q@eDNm=B{~LBBAEL-OhZ z=SFM|{#?%gb3*Kt+sYp*2`IwOg@QSh$fUENQLIPq2ZhNYpG)0|g=pguNmr6hP-%A6 zSkiSgR@dz}5VN%~FckQdR{+xNDl(csI@eB+tCOD6!Ys`wqn{`XMwORcjRDN1ihGZn!lW{dJFX92Cg;HDGJGhFphHTy z2&P8ueySe~vRAgkmt5^tFV}Yuu|xZBu$uae=~fSuQIOmxH`c30v=kHTZ`)hqqoHm2 zJeptje>o)x`l`%*&_>dBxGNg;rg=FY1+JF(FDf??*j*XYIS>JM^qCR4Ddd1*5h305 z9~>=K{PAS8*1cnrEUOA(Yrl*1ud;%km^O!CJ?lfzRhoR^Hl^_7-(g!u#*v#n0p?+J!-QD>{6rYaHC2E!3JuwTueB z0aKW`xWk@d0xO{th)_~*!z%Vu7H6MeY2&dk(iKZeK|cN}M1RRFrSWrb)|zE7w3m&C zM^wb064h`rtMaen3)+Bc&32yjHCUs_Ws6MiFX0UO@J$c)v3eF-;`A5L>8N9b(mM!G zRkQz3rAW%q@)z{UF%PlLd0!gH&6L1T5D+MA(Vo5q0?cK^~`?d=i**t0@+(fj(AN`8B9ycL9Nn zdvkj<5Eu%TG=g!U2mZA_`u9Sa?RUU$BcYQ2G4fXVAS2kCo(&3p5C2T>utR?{!@t`0 z_{QHt;rmcFspYU*!*%5s=T48_96wMQ|RraEF@VAX~%rN{DcHtaQd1D27Dv53~w&FGAv!yTW5uj zc9)YiL#YQ{%gcy=%eF_kW%gR5|kQe+mYuxl^>f84Y3PxX@#;c29$z{L%OFoo#+!32n;sVec9|3f0c@?3ER4XI0db3iL>Z>>s>)HM=uA_CL?Moj@01YWFCxRn|`< zX%7(V`FejkbjZtZUNGoNw~8sQqtp9U(9UO{id}9&&7-gWJEB6bUTwY??n{r+!uqWh zcG8C}5zlat{uclA#Lr)`6TnCQDv# z`+H281>Zt@4vW;oH)i{Q>rcSJb4qn1x1cG$PQvNsE{q2()6{=76*Pa`NOtKQ;32og znyygwK};;}<)S@-UW@Da(m-+8lFhyVJe=1DfVaT&;{6$0I*q%@#!-S}xd-vB^Bo0B z>S1iG-~2#;N4Aeb3!3HeyDWJN@jWSdsh6-CXB~)GE0_V&HH^Je0!_+VCs;PwEjb?# zBx()v33i6@v8YiOUptX*SuF%jyUq}4*_ouJ^&1To$ zqi3s&>$@>}K63}vHZbVq(MXKt$^P8>#wj63NPsR{DFRq#xg%VG&%SeR2K0$Kboz%i z=8s7xCLGtL1FC3Q)&+buJrAtQS7Ya(g1`;64PQi(-LU2#nIx#oKkm}CN0k3mWZ36= ztdX{Dj>qBhAZ(B3bi%m)6*#q7@w1m_2AG zex(;&CMEa*H0YJY;N6u6uio*(ptMeP7M*j>bo8p|I;c_>H}gD=%g?8ltThxDOqxD- z?$UrWQ&nf~eV()@7W@RZ`#y5dZdwmd>`VTMIXQT;Q)>LhZj6`ZF@y$QL>^CDx{6&nX=j1@$rojqGSX4|VM@pP{7muYKe;&)=+- zHQSKM??}M`^@xnM1*-gr=Hr|A61^58QOx|fMUpzzVyrQ^E!Y09QRD5EnDoJ(-Pl>J zJ_)~i7S}eZ*QMqh3x}hyDMbIMNqHD2reX!oNhv1STjkg^CFHDw(()Wv# zG_oslZt=^FDhP;IuBgnTZOzH2J=R?HAdO#c3bwt^OTenke+}c%I#7|+JrfY^b%7S$ zBSsRq2gyo}+{O68h0m|He5=Ax3gB}8UZPvD3(SHKb?Hyp+wjV2tTB{6w<;IQpLvxZ zXKR93ww`y7)ujt-E9R2KVOgA$)V#|`JwAoju+2T4-?NuW{P!ysmfK&|)<5*D872_a zO1*dnV^2bQBZ%Xv^3ivdJ!;kzedGUeXy>jji+jDLxlRuYt^{Cb@jA|uMRUfHohdYD5E6Og^i-bj|wQK0Q=-gQ5 zf!~|@{qwgiT14l&k?iYxm*-%UH^1+!!y1jSJeObSd1>ah-1cz2UExyZ(^q~wco*Cf zS)B}mHi{Mu{Ja>Mn^|@d&83uO({^E2?uQzG`oiKx19nFFA5qPv4DW@oY+|Ts@&N0w zQiE|wCUg^Icr1ar{d6W5SC#Icx$a`(Hlv(1!?qs{G*-=Guo|@&yw6rb1h+#FDklFi z{!Mk;^o|F9S|w5ZoRhdM4y0A`hn2-~j{-}CTl~rGF8 z^yI7)4`Qrm()fzl7y_Zy?_*9^QWX+w0yAPas6t!=R;LN(OkeWH%2=NHX0% zxHg2ikVK5>P8Cg%L@uFv!paY1R3DM&M*I`Pcl#$9igbc$$K0f_d!=c|J`mYMmc}tP z2J**7hWlUjob7_BL>=nX4LVg9CEi z_6-|3vOj=PpQl53fyKKLLXt`%tP3Sjk#WmR_uSa_f32b}%DV(BD=m^3heIKhHn4gT z1zhPtFJ}F8snkjH&QCtt`m%T>u?};ruCzita^`)kAFK^oN4I5?Ath>gq8Q2UgGx-0 zb|Cc?5J|4$<8ax2{j=Whb`)J->A?P7zLKi9EWT4odck++(Glfpi8Pc@7m>+K%>E2b z{Hzyvti7t2q+#{>7!L;5A`X=K{#OEfcX)qW((H*ZPu8J?oM!II^Pq0MBR5=qL(tKC zKqfF|_m*w|Uv3W{$oll{5v}V15-A39=^gG(21%0IGu8PgTOJ5Ow9Zgjo%Zr8_1+Bw z_YOBk_vA#TnD?(L=M^B!6!76Q{OV(g>D(nX;q3lC_sXh= z0X44sI_ZT=#&7)I8N8+boqm3{4%c^c2tmQAZ|8Sb2WD}SDj{w0b@F%03w&ApDp@~+ ze=a=8=kf*IRW1r6&Vx2TX;}jlSB=-l%iz`(rl_^5GQb`?>CPR8;vc{CN1`^xM%v7> zMxoFAZ52TmVlc0Q`+UdmEqK_htw)x_s)Vl;iVKO`6w8emABLUNye?@mxh7^u*lKSi zq{znbCvyBC^OqTV%OJMB)fI31I&O5Vp zt>Gl7>)hLX8nF^d$0nRW}UT>Y($LsP1gk-QjQ6wt_YivEKXW4N=`nC3^94^-;auhcJ z%ma0oxG>_Faf4{U{tfuy6{m+iYwkszTd!2zwUDQW}RBt=|4`-2>m9jul{bbOND?AX+n%!ONvX* zwwTS4R1+U}G9G+NCNBU_{k+Md1o{^R<-IU{rM;AxuQP<>JsfR|d@_k|Ny*`cqC=ds za04PK+WD``yuK*lw^Tb8%P4JK8qG9cx6`cb*CF!khTw?sV2)B3^qhKAf#urG#6h*n zYT#7n(u}a#$uiBgxLTQZwLk}M6rO}fMB{U%x0lSGx)J1=rP z5n@c#20ahr>^!t+I|2c}B%oFHZwMpu9N}tAQwaB@q1i=a&7GFz9u28Xu!F)CE%nC& zMo4Ha0f=_fIez=?-RP>FTkqmOx6L$G_hH#+-lV%|2tefGVVt5?kYXr02x;F~>}i)N z^Fmfzh&Q&iYTB8Z(fKNaH1O9AZrRkcCKhJoC&_hUsLwe~(YWmyzSuBk0gwk$!O8OKga7E0aAApB&k_ zX0yj^V{N78u+B+Sf~;3zvs(?fsa_0@yT>7%pOpG2fx#<|sY{qk?kq?BjF(5=40Yj* zhx<9FxpSyl2lxj;zf9V65TQo9*+Ul0{LcEiIzQwuQJupbn$6Ir3g2AJd>7VvaL+?% z(O$G?nJvmKyCRP3_Y#n0(=XlYX_^I*t{jV3sy;Dxah+V0w9G=}i_jB>j6`B+pV?<& z*hCK!r?4hz&|^g!lz{cTs8#gW)sZ)G=+5SqU0rfd&US3bFf09z31DjXPdBgVp2pad zCk9HKEiQ8`lkf#b3e;>eA-RxeWvOCFtC98#dZhi&rl0;BTyT; zX)$2uYk6B{d-6exnVtX284RVGu_=vFT-iIYm20qk2?$V5keO=lJTf5Cu9aPmyv7lY zJ^cuCTUd{;b37Aj14k>J*6}(P@ULnkvvbyR-q~ZX(stvYFuCro3n36}{crBqaw-XW zhWHMur})UKZaUR&{!hTlSZC8g}%ie#=jC5{7$1i1lRx{2xyHXH^l+5N#@G%oIerjZZG zu}+=lZx$y*c>qFT*;7%9$k)(0oO?T(>%?lakX1v%Le1!R=;41H%VbYghaSnO0leMh z*h%;55Lj~O5-rmXeKNjmNNwwma+GXtw%*g8d}aS>XN()bd0Yj770V!=N6u7E|4eap z$bZ*U-jv6_%@YjxAM`C~Q%4XRW&mAzb5pXAslXAdtBv)!<2f}XW#kpfa@mv8{-wKq z<+AbuEapNTYN;!+3P5UmYpvn? zR4vmfchR#-oNoMLaXRT;oA>U}@y=c}>tWM&hR$_OA)Z1-FonqbO8&nx^sb`KTp9#B z9TG%q{PZNklh?31y=KfJ|j{Jmf;*W@4|g?M^`YpGn6Se>&s7`%YM7jSq*+MWZt&?>zEnj)nXMR z9MBOl!mP@+m%)v`+TwZgWBTJ@=S0Hv+|K_%wGIhG(J&T(Le6PPh2ksrgtPcVG%}=!!tU5fKs3fELjE0RxnswNEQ70l9(4-#5V1wkn^QzTcBMTz7>7R+4|Mml?QSay8h{ zA(NyB5&N2cvyGdDD6%Ev46mcjKq|YEdn5nz`e6taZQX0FpF0z)?Ol97@s>}p7Gp&- zo9j=mDuYK}fj#$ovKnR&-WS0Y-7Tj*--!g*{_GySiqDGU5LNhd_A0K(2fb*&}b<61wz}Q9Hi>{`=Hd-^vE{YulUf zJma(sS}%>fKB<4wbhVQ{`poGwuj>o48B6VR;pVUwy4?}eWzGkuyyevwUh6H(#~(49 z9zg$Zf@|LqPw2I+-k3qDcs@%#6e?;k#1 ze&yRrL6hiBzE7-!sRu^xlzx2-^wD+n46Qz|dAKY62Y>Jfm*4-V|K##d{_#J){Qf`r zrcIor$*#(p3(o3BuY* zzngK&`3G_d)RXW;)Sn;@1st~^fA;)x=Y=r`gCR|xK)5-2Uls#hFvhqe!7;!R8n=Ex z>xJs6ZPHO*8JqAcwBELJaG6BPolJ%DD`eVETj_3ne2sQ}e&(Z&22@XQrZ3J}w+?=B z&an6mnseJtw<(8IJ*5L@b(9>K;FUkTb2`gbFOr|E-?7TJ9Fiw-=cB#RT8T!ue6POfZlv219WWg z@(zV|WocwZxAw2@YZDk==^NhgmD65YP`O~9t$RF^(Wy>0Q@& zFl$`~%eBwE80b8s?eQfKqoufvaQn-G`9{)wxU@%J9g-l@SWYJ@+W(Jc)kSoz`bJ>KeQYm+k2 zH{~QP#!)J+J1xLGTHNIgZh7>`K?_ag06Ba1TPyTC;`0EwmG9!n`cocfoxS(qE*D*l zlgE3Yyf#matP7`p?vvOeA9*8b{lKpuazOtP+0k|WX!zly^l(s^?3z%X11VnTI(YNp z^8LqWmp^&BFA2|+5Az^n?3XfgcW9bc2TO~U;fFTU7mjL8G7_5_z&(sUcUL(o7fdF*%cTN zvDvPG1d7w_WjDSAtbW z-x=y4bhF3=lnZ!_UI+B8>$XpT&%``vkJDold1cqh0Lrtn&oUaA2G%Ct?<{1AOj`Z5 z?_HMGZ-YCslM~zLXa&XU)uayUoAJYpPZk+Cc-d@%hyN$~=0NBmIK`u>2anao;*FEt; zp}YWG2M|0Rupj*DVY+YlSKSXD-PA48{H)yZn1#jWcHoSD>IdH0UiEXidE4-)edsOH z`sF(ZH6B{Mb7XIA9rEE*uZZYugdA;26S`iIb^`aQ@f2)?iVn0MCWkQ1B$*+WCY-l z(MKaGrZeM^1KJ!c*1aRIGVxIshwh}D|EjXX!8|&eM=^Vt*vEf2A8PYKGLNpbhai3Q zfpf%tHy3jbVmO9P}IBJ zLwo4eT#R(h2?FfFmphmY#7E_!-QB3UkPeT^0O39{zjm#^^{dCk zAKj;ne}Ha$cX#)E+DS9F9RAGR)jNL3Vf5d6{_^tjcaL8v`DY5dRty}n&O=+IH%UO(iXS@f!vDLhzYv%rx6N@`W z!3od$-=ZoW%&D1&DNkI5>dNG8PDLN%IbTUz0h<8(4*3JxYxg?#7&NIcNXNjooB^IU zbR9%8Fgb#x5c!AiIv9B2tHue~c92KTzXHzvKU5}4x%|KxuRy+b`P#T`f(Q1w{mh3y zrN@0M`37g1%0HAU+1=UOsUBq@dRhu(oc##7QNQ> zSv(7k7u@w%UUi3GnNp$c1%av!obkY!)`sn^ZtruTM>4!-@@9gJLOVYC9Uuf(4}9QL z{#(YQ@+~@G-e?bPEJ^KVKi=RWi+pvv(x$Jr7goP%Yj3k}y|%%z(N8(#l10DI>C3Y@J?QU{fJYAv zjZ-=3N7m;19)TY293X~QVhEqYe9-GS^uz=E34z031$1PB9gABIT)gRLA6?+z?g-rc ztXzLKwa+01KK-<{pRScHP9Cz6Kr6sOfBxC<-Sd6M!@og{Y*Y+Yi=gSvQF0WkFgFOuqzUeW9W@HBJwPbwzHxHLzy?K54)%*Qv?%a6` z>D!sdYv0%s7(Eh;>dNQ7$ACva8poYix$r|fJdU*r5(n6Qq@sq6CcPcL7_sQWv&`{LL%Q%pKfs)WULvUqPKVX%Rc zfzt+`QRjhfpj>r2+Rz-DO*^`ANbH{e6STkW%RjR5%!WO52Yo^Nz7KN4pY}rsU}DWe z7dq`{v1eXj`jZPosZiSH%jYKRhmwBjT9111^w8ilLE)VsDNvfq0DJYja?Y~bZy{&f zC++chp>6n1P@mcg?LX(8jORNU{hj~Wre`^YIA}b{0uxSirQ)iAr1=?o^4?zFJ0T^Y%y!_4!AiLB-+W`Ff2n@f&=LKai zQ^K_=eDw9rl;Js$$?+a}IfTtTa_8%qXBG<^BRtS~Cx-E!`p9F`ra91@z!z7mQ{_?H zI-=bnWo(S?zBR&!{ZxJvSib8!ZR|Lgo9Y9}qX}}vvBwj>XEJ~2GVatT_0C2QN8^js z@v7ffXDdy{HwOVenLj(8x@7z|iJcFV@B+27cWB7#RsX9u?>0^kACEi>c}Vf)f8YQ^ zrUOVnN~R4zeBk%-(Of|uy6Yof(w6_1Uk->5?joR1{Y~_7u$Z+giLD+*_!~uF`VLvc zH|61b8mEH(#bws>_OFEY7#VL$Th9AjD%;uR?eTu*Q z_;>a40ks|T6IK7`8kwh8{e@^1azU_<{Bt-@!S?SsB2CKjUz; z6^e^T$97_q{NV0-mGb57hz&-5m1n5Rom#HuAmi7vwAdv`yK&Xwcq%yu5h$ z`YY&ue1A9QPyRfo*wB2OzwL1oe1__S_rZT)%JWYojFc$n17-93<0WnuF8Gp@shGnzoy-HW;t^ls?swJMAkUSa2)=|vkT;F*$PmkiEXi%}%3J=z?~x-;d+VAZne6Lus+zFUijIJ@!Yb!7X=T;rbE8> z&qi+S(1y>*OWWWiUpPKec!z~zUe`^TmN;A;*-92Z{n-B>n8^$-+sZ@L5{zGdI1kQm?0xC zePd77>Zh4x=A!|E3as4xf}Kyz_Tl zK7sgY`P_K1K@KLa!ukIF^UE`iy3cqWb8R59uHbHg4Cx_z*Z24}YlOY!>zAKpQhmI9 z_U!qx3a*n&c_w%b_J)jaWd$e8!X4H8_U{nhGSA9(~{<)nk3uf~sICbZjY zzDfV|SJ_6ZKCZ45K=M4N<0n*g( zYk{9lJF93D-&a24;hSH8GJVEAYf^F-UUD$+twf*Vbqv7xA@xG}0FOpmhgbUj9Q@KS zQ$+eXv;2KxU%CD445P#bK741K^lV%KxcEN?mXgUgKzVgv9~V~J1^U5jI>WgS7~i=l z%{(~!pnAbWQ>YILJ;WDB4o8mP(`O$qzw>v0tYgz7eSQ;Et~KK0iw~DyJ-o`{E_II% z6KnHT)AaN0b-f78yKjfjW-cD`;Eir@!>2ZAQ<6T3aqS0=xdooS3E?ZxHnZk@yu7^p z`I{8piqJ(k_K{zM@QO2zN?@rV51ci!*X5 zO35l}Q5?gAGtM&rNy_ugHX9TkZ3p`LY|MP2cKM8RgwJz?6NtDf(pk;2~AN0{p2Ti!Q)#>D~?P{9&YHv6+KTXdFm_lu7`l@I{D+a-T@Hsb7dcXZjsAp(M#Lh zA)%v~N{TfcHL>Wnus^0{vcSdX;eFyf^sD)%)sw7jQqvZ`J@ixtn$X(}!F61svpxy^ zHt&YKcEpFt#*XM?!cG}}aQ6<4F-NDqatrkdkgxyAS-k22;DXAM9eOvV3%lZ}^^E`n!+= z4l>5|;cfgBfB94R6Q}8iJNVHXzBV>YTl7}PTiyipkF7aa&1d83c+mEanR@v*7J+*6 z@{{k2uYvf$7?Eq`bpXKk-Tc+y<)_bHf=+0hec${nw>AtN`Nw>r_tX!t5yQb_^X+R6 z`UvaFOWT288RQmc`Rq%@^3uqq(zYF(%Rs$29=G-oJs%E?4fpALo-zK_tN$(&!w2t7 z6e%0U$@dFgLzdt8C_G#Q{mHlAU;g=$2Y zne;hM&7uVa%d{Od_^)m$A9e!x?JJ-89oOVL4(;3iV{&LeCSU_Z-=qUdQ=1&9@G9&? z&-fiakakiJ_bJzB`t}jVifH)^vrvRax{Ew~0UpL|HmS&$o<#1hHwo(324Z!OL zA)mtss?=9*bjdt$rP`}ie z3;SMpxWZnYJ-$+|4@9>9`T1?i6JUdvEg*gf=9Izk5%*y+xB+xxY2u3iqKEp7M>c%i ze1wgy^Y?5H|D1iMkNrKYj{UJqQhxs-aWR`pd>0wwj!s=GM%U!p0%) z4k5yC^6;7R@}TF~G_W|~8c`3~=HvT!FB9K)m-~tPtg(p|9}s=^5S&@FHWtaZMjCso zyOi^4=C(W7Y6~>Pw~mTHfXP-TboP?UR@q1;S~VunROl z!{fG~ANeXh0O?we0E2QU`QmP0mU93ag%3K)a(r(UN`TRGn>l&g+gHOsX|wnovabVs zz?uF`Gx85TT5k|cU%a`2w0&p3>ir{Pk9h+13C^QlDBd~0(Il`R^&ewC^=-2utQ@I2 z6~7aK9XFaY{TXt#lS9&Mpp|se|IGgk+RuDJ^-0TbkOg;jg44$sg{566j;tHMg=Fb= z0s3M7t8?@6bP%Z(`p|jp+D;l z@FolTq(heU30~^w1>x)??dNf9J18zF-_oL!!?}NY`zx-+Z(bN1Gw;hkQ!>lIF2s0M z-&vQAWKrfXw3SsI1~+;8&b~Y2DbMB=P}ZieskrC5M>X$kvY9gC~pI|J~6c8_zw>aZInDD8P%;fRmXE$il4KTtX6 zTmtInzJj_tF8H2lR-YTsmci(evayW@)27aEy|dKuDy>|itGqne4Ah;sv<&aVE> zDU>I9gEv&$evY$(ddE(`i}jB2O^=&hl=)zk1ERtXot6xf0${V^7!X~E$hcqZp!Y2*Rpo6ytDq*K@j{f zuy{|Z!}rQ#?b$0TzU3<^yds<57w;CRg|pp-;^=F>?I#@*$u~Z`Ag(QZvvi%*U~vz= zN&O>gh5ErsUQW!f>vkTun|`I6M}aDV>N4`KcpINE%10(f<~s{;^qy#DK{}u@rhi=z zFNQ@}3BDX3&`m=dK96DL z++tz2#jvej{+t1_`9PPYDz;Wm?|3|9!vy93|0V6uVl`W`J3lPWJmtwb^G<9b7K)rc@{b0ine({rGz!qRb24uG=wOZYz zDX7~`lk6^5vB;Y5y>*AYIcJ{nJLmZS`>*)#9p}4ws|9#v#)*g(Yl;;shP}W2?axyc zc}ifoYNl`bhy>a21Kbhg734s0*zDM0{Po|j!1h{)40}zw=u;g|Y8}ER6hos!i3eUh zeci+sIcf$wCipKwxgFaQ0?@o{7XA|4q+tf0DOS`06q4LUPVJ>rhh=0R8NGER12 zU-}W7(_iqTP56~q3yvHj_8;0RFa&)rAg^BfIoeYfHt_rB0?0+{JG)ALzmsj!x+m%# z;WpIgJ8O&ful=139YWMk;${TqCpOw9$rOrf0M_>vbJM{JD^f zStTe@TKyXVf70f5fjcUw!R%#jhjV+CHdYn_N`jvL&VKvu;YxeFcB(57by&JD5a@oY zGa@BO*&uz$=z~l>Pz)TRQjYA0Z6bD)qzA=%y-1mSVGijPz}M2{RL zpBAwV;Fc0*sy(~`aC~On*9Y5anoc|U$FdOuj*zX~8#HL?!w&y54?Ziq1GcLVNaR94 z(oE2=Mnfm7l%Pf#Jf)}li1Dim`t{z=YMcDg&48QSV=JIN`ABd4MJ?_D%P|5!H!RfiAdEK;aK zZvJ*X0pxf?@3~`JIUXAq_vplS%ZASN8K`@MRJ&~>raK93Ik52HVd0#ao`1Gox~bE5 zbV3AK&BxLit)7qFCtPjS0r_(O0;cJ0EC#eirvWd zAp-est#%nCyl@?v*rILnz5bhDwkSU!1DIdoxv<7_Wta89~q9L}b9-bPn z@Fz!hB*JTZsOS&+H-DzL`>MypNcVBL|Zr$?F`QN^CyWP^kCNR*8YrleC-#J;4D@>|4&E2|YQo1HmW2x~mNE zryYRCNhlWku`K{D_82%#dJE)aU$<|83klJ(IJiHwE!2) zzEEFfPd=|DVOwOem}0pTei>|pcGlDF@~Ii#q6<%vVRuc`IWLH;+90uCIQ^+OQAIL* z%2$F8RmDi0Pzb(sWTR&RNSu(E_~}FX3BWhW6l8pm}S4(}xlJy0M?Le@^hDNPzY;U#w+c(?hmA$sL zzps3^t?zEPD>`s&?d`VRtNU&5+SRswZNFW=eM@U`cLtO9!oPUQXQNj%DJ8W_A9TCI zgv{W@mP9Xo#7V0sK714Q+{$)FUIdQVxWM6kmXQk>9|LG|`Jb z+8rN#d>!qg=jBpBWH}6NlL~$Or+Nph>vvz^$|ZO%c&HVYr1&Cl3yFjM;_o&l)ydrgTg4wXqB6X7y`l*cP^m$aj@1E3lm zNxiko!+Kq90A=E@HsRbc0H8}e#4iB6wqJSmx$F$gva4UvxZKAj`yu}3Zq-Ob8@jya z3y-Y)u3Hk4I6!Rcg8Pa#`nIY$7 zdO!5gs&R3KK_Uv61btZZ!Y05>!h^E~%Nzx+mP(Rxgk`-c)wMTlSh zdD?ls6Jc*{yDL=yD0MvsPLYEx6HLzR;8Pe2Nyn3~@X|6I$GEODs7(7ili=#WP&c-S5Q1*`mF+l~`VyO{5z8!T_9#ip)NfV#;q+H#vXz@cAq2Rke*vBGa z-UM0&b5ejZzA?yOqrQ>OwkRL*Md@BhhW>VP^3aVkvZzk_1oR`2a`7M?pQJtmwtzz( zK-ozwq4ixjm6>PgBgmsSGV4 z;?q1kFimRd;nsdO5af~UYx<~hL`M1>C_Rd=9#gi2Ny`SHGCY1GCH-OZBv<83rnn36sf9e~$SQvr$3L5a#i4|~ZBl+;q$FdHX*jKV6-+h>X zJF^!~%&QBYWEC)%;H!sR>)=3neRw$%dIPlkE3@71g% z#9F_i^-8+v?YS03(A&xq2%z&rmmOF` zy(Vi+Ym8>!ryLnN@pyd6nTw2>X@VDIy$-MKqw@mK3)w|m`3jx0KhxI$M?oZvIO>_# zN%RSDEQr>9?ES~Vv1#(Vs;o^T#D`g#ONnYmhD0%Xqnt1sr-0b802%@~@CVb;HT6ms4u`jePPQK;)_h&p1q>lRb z<=SR@{_&%>_EfDG3Zm}sfbF^Lp|d;%7l}oWVXsA2lCdwdQUGmI`o&}*5x66u2Z)|YH@b{Tn-*F0sf|Ta8lCVj zxn^V$3nBwRpUYi8F#C=1>0iMV(Ep5g;cEOxT>PCn2ZH$yNB6sCHird2`_mW0k}=S9 z833_F`-~@d%S2agZGJC0F0Hd*hQ& z>n=)|03&!#%K(yCBbEEBSYmiosJ5p$|)P_3; zEj}Lg0LacHAk~=F4@xb=IKbRi90N27h^!ZZPpRUE7I~oyZma{;NBp>G1JN1VLYJHX z%11x+3wjPVY@)V7W0pY$C`VQxZ2;v-wjlNqTnSd!2Oex@0g-ekKh(BFGs=uj05r6v zojkru9hha!^x=hmqzi3Wrdx%*j_&X=dBhFUd3?nLqWzP$M0VE=US(bd4}cpNm(T&^ z)vk&r;|S4v2{gCBk+KkSWxy2@KG*&*$&U{AspmNsj(wOw&Zy{^57D*(m#7V1AbjL? zOM2D^ZaD`immMXO;@D0eo+SDbNISf#dn4%!AF|;wa7C>0s>hhJbo9*+JPTP4H`y>E zAD{9fufvo2Y5gRC3oUp7Lle0q+|1W& zJ;uV1IsxkOf#s{eyg-Wh%^ed)mO~R@`O5va%OyWYHoR0>Vk z4sS>b8gK!maXX(FhYpBOi2d;{$jAq{uKrk;B#}=Ed1_AI$F}r?G{y&Y=h&e#*vK)x z>i+1a)5qS5-#Xk;W(|R)a`l?=)w)}HkWzXwM#WROKzyGzl6^9i)2})lE3#B(c@Lyt zfPG0W8a3G8=;uD%w)nSKPTRGc8iyP*=-a?==rKG2GVKHTR0s8yZTZT!vaJ8$0zDVUMXUnD7f10%IS!Sl}Rx-c<{hP@PRAUIC?3+u6y6 ze@&O=?yMh53JAVl6u?J{3}94LDOj|!{n``H7N0WpTlxCf;+Ul0?IN0!B z?yx_WAQy@4V30JyF1Sh(4&5Xi5SyS&Fvjjcf#DtMLpM?5T0%krw-Y!%2U3vVE3Iox#J31V!R%Ca3_kUMDQ z=X$YD*~US{{_Tm)KJv|nEXvZ6TciLab!#tvEt^Xpwik`4Oyj-`35YCFPB?gxV|$P- z5}xSx?Z6_L{7`h#D+GFRr1NTZUyxH*dW^9qoaO_7K6s(Qj_5S4aN%{XHt6j`Xq1BU zxXDQOTev!B7M_oNczKg`sSW$M^Fupy%C8uCsApA-%;gcehl*NxVfO7{zPp5ZZQ6u{(m;CaLV?ud!#y9z$9q`PBQ63vb`V6h(rOO~K`c)E#gWLfzpJZ~a z<||3h6CMObkLWZf#yJ{YQY;;12YS3d^fu_tr}e@csbrI&J|%b@OKc=;tcrIZT$Ec^zxw8HJzy=HC`mt~tkD5aPi7 z8GJ*IT!5VTa+_>MPmNSHeK!UWx%!yz)yb0Cdo@1{4T4* zJM#PP;@(5B^Z)(+V_vB5m$$_luznC>YB&zNaj37Q|N#8F3pY{7d`ov%6Q@I*t&=L9E4w z32X9cfHENERCF=Pxbk3H&_%7vFaPk58DqDF;Tr$c-)d-TH*9v6=0qjg;Sq z5cj2aQUJgE)^W#GK9&O|2NW{Xrlry{(8tvbAIlJCr`$bKnck#d@Q}EwBt6c){l|z^qqd!A_zLplsW_onD-gHH{ngX+u)q`~Vqc)AGDU^~r7Y3t5q? z`a+8^0DZ<6pE`*rzJo3{Sho^oC;A|veALQ;13F}rLl?gS#ZUh$sVZ7+?kBqX*XB#e zPjc0U3|>sO(4vkFb6X_yz>g@zG8>G63t2l1U3#fwDC|K(5UlBev0hQ=0sBy8c~K>!;16I#IQ18Wfh;u+M( zPdeN$(dSto4Nr|bG2QtA=tz+dL`T|4#iO!hc!N^8*4*4ln0-C*Dz{u)TFLFb#-2ud z04!jB?3JxNpTONR+aw%w8o!Ku(J9N7gQ+%(c}4_#=%2?|E#tU_AHU&$XagfJI;+o; zynG=_E;H|*?sG8eoNU78PU|uU6Uy`oxgH?ug7eMx^xJ#w2agn! zvTJ2?wQVzBL~^WXKguE zA@PIWRf3WN;44)Tz5no8JN`%u`Edo2B=wFKT_6fl9&@0a+bc5DK)u$_);1ruD{I>t zP<2{tBQHm}KL|TQK)&)O(qx zWB~Ze6HV>dOM;e(SkJ=D`pAoKmNm+a%)-SlmucqJU*o`?5#~T2uE>8OpMb+gXvX9= z(NazyD1)PKtQGkTJZ<>Z^xa?hA-7(kp-#JLFqypjCU|HM4svEu;p>1@IJId*%B}nvKZEt4TX|$fK781d-*Lf58C$lOei#-R-Mw>teq>J&_NzByynJ;J~M*LtVHXamSGg@sxgb zdGQ5r`UPmGo_XW>t&Ln(JAVD@k8VG-be(qh37yP-L$7a0Jn%o z+kml*eA6<)`y@}9)AtzSLagSu~X*3VfA|ADYOr2YPHweNem@6n|c5v8ySFQfCa3Dh$eWpm^M;X`V4 zM_wSYYRwuSXVAI()fgV%$>=F}H=~dE$VO|uLpl0lADe1yF<&p!CqAlROc={WUwFx( zF|W#8wUxZtFRo)-mxEl-PbD^R*Cp5Vq9Z&>;f1DODjS~|#U8${Oa0{VXp-TLPwlaR z4W@YbSf-xPH@|SUpT$=Pobc1H#A|S|eXOG&d+0Mbe|to0FNu#yj*m~(UOezd9trt8 z3`&{L$b*CK^!UVfWn)Y`aDhwuCQ-7gAH*cIKxhHvAuGNB+~*h2QqJ$_F{PH1_7ZI3VOzqZ^p$_n zu~0ur{+f3$+wW?N4WV=2dk!9*p--9jjGSuBPc*LVMQ00sGw1|Uobn!$*brXw90*Bu zx30#k+VO5B^KpQ$*NRbI)&4yaBn+XTe3`xr$0iOK_pWlJb^gR0DigM zR;MEItH*8o+T*sa(;XAVi_RdToTwx}x?R@!BbvUL;h52e8cXPf%sjf_jsPo}|J zrdXL+;|34VhOFcxPX*O%L+BD@!2@Xaq>0T@gt5vmCN2`kjXtS zJLn5^NefN*v;&BUj^F@d&Ch^P)|E1C_KQ&To3g*k*deyKul*1U4q6iBTu}hA$8tN) zHXBD78u5`+5lF(sQ!ebWCtRQF3K6v_F|VNo2ptwOAG(DC@43d})uH-cvTak3Z}=k5 zhZB`q(8&REho)r4FC&1D9qC`{d||8Dl+s$iN1!rfC^-1MAhaX5l;hg3o8aWcsw@Fow0w{%_Z%P4o! zy+p%+jXtSGvg?>my72UX`xKby#()%kc*Zg?`ZH~$;DE`OU3Tgt9e#chL{~qf%z5Ws z)~~;p^rRem(lP``d{lXs7oHm9!dE?gFvewoD;TaarP*|rp~+RY!P_^w-rr03{wxK+ z-?F79Dt*B|)Axl2+Spj*J=+18v^xwszfPGM(UnIxFzFp)4Uk7J=^26ga>CAWOyG50 zhs6L35fGZ>0JMP-4=nn^J?a5Mm;Qi{&Dnqf_n{w%upzQYEO3#VzOwkkpSXzpF{cgr zX@>`(o|JK;%pu8}Y4-!H^XOE_!jCS7m%|x0jCOE{ z%{S$?bdeaJ@XGDj0&b4^0&qZl78qrxEoJP=#|M!W9ZBe6{6>Ayko-`h)|>MS?h@du z;sFR%o1}3wecyL{h*1LPZ*obl={w(4*TE|~V;?eO3$k-Jhs4m}d$) zrs?Ih*)Ekwz2uBpE)-%H3HtchZHkk$1qVbvIC3ERb8$g=Q~8c!YJX>|t!`5*Uw#4x z*>wn%zJAziPad}?M+fathZ(+Ppc9GLHSX&2E6}6;%ZWDZP{pFaQBS)%-0r^gQoDNR zX4~G{ZF_rrZGZn-+r6^au3o?9dAfJyifLK5HaE5i^tSSsukBxK%Tcds!d_cfKws$8 ze{`??J2&>)%YSXVUH_M#h)|OdC(~i(OgF-~lmp8+>La*Kj#0Ka)ZypYrPITnqsJ8U%5S3}V^0rB8W@RI9OFw$hd-*InUI33zPVfq496QgpR?zX;2 zfy-bzXf=5o_chT(&!^f4xVjKu2k zqrHDrfM0;oPGF29mYJKZP-N~piD2YnP=Q`fm^h5p8$G;f9+puf9t>UUu`QJn{8`nw{354s}JiEAUgGNRR?AcI|~VBe9m>=n7*P5tgdY6 z53XNp&rc8Ahu{5J*lq?iwHiApRmB%K)}h&V)m9 z`Y}DXp(rYi!PS_I`je9^OL^&I;@0HNl|kX>6n-YbivXH2pXitPqjRc@ybtvRniCVB z>ye?LCLe7dVOa-|93B!tdyNl^%ghtG0E=SE;X~H=Wc$cNUNVaZi0;U-k5wcEXoD^} zFviZ%zWz~-p)b1B z`4#{GUu*@UCmVGZ?1@e?;0F(_4;aevedJh&aO64Eu=od{1Mq(~*vL<4(0Y zHtC=pd=5R7BNtq3vJClyy9UH$?2mkszh;W-y6=I}j>v^Ja#J56?V+~}Vpy&gYd9Hf(wNIlCClf$9nB^3~4V(d+g3fzW})UC})-vh^=W$9^C=PZ`2VQ$^iAG z0Ojl>BOQ>s=ax{U@Y6=zlB;JPs|lEwy~y5pdwr!H`rw5xyY4PW!Yw1IfPVGPBlA82md#mQhYg;+18*9Et~J?Evwpn_?kw zS>zQA$dm}XR(U2PG#*^xN^|LhhB`J+&lecucrc!RF!%-Vbw_vS=IQ~NC8uNq%Vh=y z8OUP@gC7A|LiXAWKDEj(-1VuGv20{ARkQrX;L`_MuvudI;xq#Kz>PUJamYaaj4LTF zb9>li^w~`ljeVnH)e5{hkyyY~pY-XUbA)uB;;%|J0>Ifc_lbdS4ws8 zHW_0tksO?eV}2+yy0G5|6!#Be;-K(j|DlDZ;+z=NTxJo|0)u_&KRPKBKNtzU=ac3U zc;8}h8H^(Ujxo%~HPcnUl5d5}7i?hgM4oNnz=YqsUch|l5gtwJS}ZxF;yd?Ac)p^@ z+iS(>7>{2q6M20M(Jg_tDf;on1}{02P1M=2H*{61BG-XQ@E^iv6(VC03G~^ zU)q>;fS-%eu<+c14;?l|>&u4Ldd0Ql)O2NEWJU)#5^_mEav=5q;R)?nrVTF21QY8J zI3E^dQ~XK}m@a?};Yqw?4MEmLLI1N(!wFaM*2XDHA-d1$yy}z#=z~77k~YezhdOP} zyA7qQfL6yYW3O_JgP_Zx=8I`n>X)cXKRos`M1rlg4Y^1*tIXYDz6r&bM%d#2o3E_4 zSHHI3R<0jO$ZT)sV|kzpQjV9(O~R4?`AhBh-g(--iyv3l+phL`jdFXqa@Gzci?}>t z+*Br}EJJ1Pg4CTQ#=%D>)u}Qjbr|6hj`!aCS^NHbKW*>6`(AtZo%h<#?ruAH{=6M) zPVz&NM~6r4OgT3e77O-u9oXO8-ul+2f_GPj>}f)6NHH7!TPJJnjhFV?<~NTOtg|!e zOTZ2wWgw>V7y!y}5PT-1P!-M$uYqDhaUfZ!cWlo5L^eOT zlTKTvCJq5;S|41*5543Qw!GMjfpTDot{f*LTvbgBn3SLbPmIv!iPzg|GR?G8a0#Hz z>Cklq2rBF-qcfAB%T+FfH_`E3DBe7{>jAB?f{E*py_ZGz zmv}8Yh$Mxiq8C}=ycw%bR2uq%;#lKf*Mo0~Hs%U_z#_oEBJ5JxZlOvw78*@A7C(8l z7r@D!!8q=^@oU+{p(X0S7s?KfMfssNxWc)wkXmnn{b8FWg>SnF2hT_Ypo2aHOM5J% z@9_9HxrB#S-L_oh^Y&2ifs6s0yyd7)e<_oEL8Y|}xok3#x2XdKwBVuVV4%t*4?O%V zwOkDNO~Jy6pm>lkI#X+$`T2chLvP<9N6CjekyMv+h`M=L!+IjqR^H za`o#wBJ_bc)Oy(M46td?ztV|(+*SUlSayR6s9~4mv|29N0$?vP-DlzBGk~(?_8dGZ z_0W*!{?)kPZYYXQ37oT7QV?Ar@vnr*UdhApmQ(h?14`PUwm#QH?>hT{6jVgv7wqo# zh$MCfQZD|%r%rt>rczc_#WCFzYX>8Bu0!|s-k$ySK0DY7@0n25Z)PWuXMiBtw zCkg!X#=DQ(&T8GVq$|#4gPo|!MxAnQg~mp}1K-<&^CsT$<(fH|!38FI0qyQrFOPJ< zNb8BNcFT{Rp*?X?GSFMPmjQhVP5}CpfwBBw21Yv=O8^;^(?$&_+tjW}5WVCC^;GUD zFqWeyH1Nd7%fRhE+F4|Xg~*8v^59Bdjs0i`7ds-aKIcW}*yny=5x{3U(PunT_s0+h>5@T_nN6&k%GTJz#tL2PDd%G` z>Ol1Kegf>aT>QwLhVFCtprg(D`XLZIH#xkhjx7K(Vi$K!;0tZQI5uwK6KA1`KFTCy zAPai;WoVCV;CNBblz>NO61<_KY#9Q|+%4woryM|NUtim_eARp?i!B*Xz;*dBdZ|+; zNwoxvN3O^V;6j5w_oLGS*oVE~0qh+6L>uMwi*jUA&l~}0iyR;_fbg*|#}>vH@JC9* zF|SlIj&^X74UF=@Qy+0a%_4PP{&eaPI&3BZqwG=Mvd)obY$wMr0Dh!xJPZdy!`|gg zbo9?Y6$~hyy1u*Ho~w?%?5Wsu-sSt{e%(%yPj_&E z$WF>QB!+>=<2ew6=EK3kL3`)DciSKQ!5_5W``zDd|MZ{y7wyMC_+k6#gAdz#@4wrA z`m=Z1+Gn;lzQyOwTT*gWM)0a}UYN3WaoT?Um0NA?a|*&MN3syB^dDcRbIX9iZi9$2 z#iGSzI|gLOHJ*+=e%KEF=|=ngXWaQXZ^vA@=rl%|uKA-RiBniAKvj?oP*%laPOu_Z z|G}&0zFGxW517`KeL)WpwN17-FPNx=gYI%&Ir_kNdE^uARL0!MC8)q(9!%$x^pbC2 z;tp>G_}VNle1BoxB!7uNmeYeFP2tuZc9uD9{3l|80X(Vz0wQCRn8p z{wIJ;3BS6C!o+fCDjU__Y3LAi(m0g^US3qs$3In_<&n@u?D|TZHgeh_qAEYFatl3T z)lORuvuw!z9>2!XSIqoVoBMzd;8&h2bALRffA$YHVI(-&zSbXpMmtTwr5Nirc;jUb zQtoni&j4k>ed>3Z>=Qa7de8DskN>o-xlT;5c=@B)E*CJJY)gL_i})}2*v6d(7Dg|y zIt0^}MLqnc)gp^-Xg5X6p(s~)w0lEDzLLM?qRO;IA;N9(&T}k3)o` z4I5%d>|5v{k$FU&c5DmHD2w%Q!81Y~#|BUr6_~G!wsqeBq;Vi-Wd?FAqfBy{d5Ija zm--(a$${81+DRFCu@6W+bfF30x5)+pf1v@$kqZz{@jK&)PbmA@l1>vHKJ2TWyOU%4 zB~Udst*+KxFzSBBgmq6keb-4=;g6|3iGQ7+JTmETfVSM#hTa6kJ^lwLKE}50JXPP; zwAm8x(Ze@bkU?3VbSy%CcX@B|pVY~e=X>OjMprQEG22d_Yo^`3utHoCP z)Bkj%{qFPU?a8TPO)-MW>Q(ztx{s7Rhb@!%B)%n~&qdnE%j@W?d8mTt(e~C(Ti@Dj zYdhO*1I_k_WU0?b&kx(Z2lw0iKYOqJ_(wl!fAmLx)c*WWzu&(1C*QRQPpA|6Wd%|$ z10J8RwjX`}XYK6q)45MmCtmm07c28rJ*Rewz?4)x2vylk&+8o=T^ zq-`-=iHXAa?ur8YokG#u$3pNi8f70uxZ>%_A>^{`?+;JWZ-9eI%o}aBj5G+UE%J$N zaFwuwNrauyjX5yN@fBFNH$c4(hT`csc)idPzt+QSD!GgXJmbO~u&*Q}bg`k{Q=*iZ zVu1jseg>#Ju^f_Q zsSXgOUl*q9d>yoJKuXxpOD>~(w84is)$u?M+?07<2$}il0hsue=x1V)9N)W-@r|T# z*dqtrr|!!fULzNtv;iZY_mo%{a{HK4wrx1ibGrM)P~(&ox#p*};{jv@KoR!=cswTX zGZv`QpU9zYmRV)SZ%=q}7H=hX7aB>kb6(3^~yi*E7jHd%9DC%_L)-3ot0193l9Ljt;4FI3Ykg9b-Y< zT5ES+y=~kEFNHE|@sg)J!srw`Myn79n9BoM`Z93^tkYnVZ5`x97dbL>gJU9Tlkv2e`1cZjkmMdv&0YV3k zxC3hJN*+3yL(uy!ob%FcYr1R_^kWXBEoJ?+Bd})D3zo7{WZEv7V_TF%&tXDn#j6mK zk*mECA}=kB*K^$HworZXa#rksBT^9-)TyRL*h zXo3Tv^K&P}abMKK>o(bm?C1s;;GlzVESI-bNf2g=c5zCv>AM4lX5g9zzlk zT7JeOfO*c-))N5VxLyOxG(hU{3wqNh>z+2`u1pYnlVWG_Mn*x}MjpzSKz)k-(J#Ox zpQ>V*_}$yzZSDFow?=H%C**aAj7#7c*=X+{Kl7VVnKO(tANR@Q{>U;1YJj+z4oidW z?oZdv#RjqI@$Y`(fbs0vvvzoR=yL?~5WkQZm)N$mv!gjO9kk1FRGy2`pRsEG9Lts; z>BMyZJJ0bhmY8nJF)B`YVW)*l0zwNQk2?L`Ty2XDZ4#O^oW9g08=J|F(Xo+o974(y z?c_{)JE+qD)z(kF9mcXku<|Z*EYnhVHCQNSotE6oRUG;?z=*$Wb4^x&K8|uoety9p zL=_*9!LuAKV&q8X&RHIPh#mNl z6g{+&`|1~q244U(T}KEaYfOQfNJY=@WB~LOTP)wHd|Q4f9V5hU0Gfp+j_uUMFF7;M zGOb!DbsJ||HV6RvQK#=9^aM{WJj9oqmt+@Mo)Wn~cCpN&i>&YgEDVY9v}Y`B&p?l! zdj6w)WxYMVa%Ifdfq8&BKbYn*|)cU%|C^qt3e+))Q72W>Ib%9-{?QqF5-@Hvkyg28tnvVkIl4UGj;IL zGtT6p3vfr6*kcRZ%fY`3y zm$6UrTW|nn%NgjQ|MWjTSWcsFMttg)KOA^|9zdG}Wb7gjDa@Hs z$7mM^gW!=7Jc&B8_-4!o7Xp%YdU4#Yysf!hZY${gkpqln3Gx{D?apUw?cpKc^1=^m z{s>Q=Zf6?aBONqPbqc{gAT|KOjo^7O_@6lES&+S}S0r<#9Vy12JbB_nh!4_o1}`wX zdiAQ(bzc-;oM=3C7-qF7shUj38+B`D&tBfif#?D%XURD&g|kEc)`R zk}OW}@cA1PWCTnHF3Cg^r$$eN%M7MNnG&>tP=U(?%5AF9MaTHSSn?6Pf%Q{3yyowd z!g9OJxHj?wX;1RxrK~pm>xn`TcO4VGYpM@&Kapq`eeNoN19BxwK$rgk$@OAZyetsD z`eLDgM!E3{WcUK$YGZWGf8(ZkGJs%QsUt^!Wq8@1w(1uPAb9GQ*LAxtANW>or-cq3 zUL0kYacYZC0+HvBijb+vn?6Jq;6sMgm>x3vrOC%{>i8QDjuq&5xm4*&`~Z}#UnxBY zr~}Liz#f+Z^R2KoaW_ca^Bkze0ftomBEiM{LML;m#*M=*;GfHvA9%4D-^B4pH^Tb> z#5kl=(6ShT=c~YM6sO0NUV_bS_Iqtq^~_3*9nYUoj<21|7hIK5=73=*DuJ8);l5NI zJ9y|5`cvoyEQ)vn9EXf*qo38j(54Six84PL-6diCX{RmmJkcqyc3%5l2}?-6qCe+% zH@Mwgy7|Zmu-qJ!`^(g9yK+C+>SDcoD{UT0;q9|-2YCBVYrJ&Y)qhKwq(0hWb9vO|Oa74T1VB;4=y=K3=r_Z9a|>l^1*^ z8`rtO1@AU2>^ba-P0o^eLJy35*aS`LfOYkt zs(=@$zoeQFwK!U~%tdwp+z4e?rJ}0|Qu@l~iKokVvD-+>0#ZB$V|(zk+}_UBRLUKX zuffye+rr9OQ1G*Tmr1Fz$5qL_QypG5usY1tLX6xx^uSa)s!e!gDiL5U2&dqpnVz>L1Jed=g2S}eo@ZB zpfspm1@kHAV;CQ5WsXH(PpHn4E@{Fe6Fs6;xel1zVbCTl`8oWLz$WCca!Z%5 zXe?9mq|cu<^YCn!OR|mFCK~K!$-_6c3mGCdjzgVNy@FnJ^hNZE2g?+W1ReTFDKGlL z!ScE;UeM+{AtGgI0cYL1>fulVgg)cvgX>TS@GUV=wCJGUwb05NoO9agLUL8l-4n5! z9y!R?sxg=+# zWxSEg)p~FM^Z<3+IPj;w~(c6EbEBmX@0CnT~eg|B?ezSe%?Kj))m+rRDy!l3Z`*UwO-MDkRUB7v=UAea3 zwsZ*D+SzRT`+I&*&Fb2j@Vp$P1A(Puiw*OyB5J2a&&{cujwod(Ce09lq9>ig=67*~qq;!4?#!;pq4&fk^jhG+|SiTFDdm#Fx zu%0dtIRr6_Ok_ewdu*6=_3~)TXnP=w47wxdMc@FM4kVpM^--2>8Q5dPasuEBZ*a&< zc{vY#c+eFe1=6?RyHv{vANGwYKzqi)la_XPJlp26m~0&&vX|Sex9c9;JmIV4zhy_a zj{*J^NWYLb>I}ZOP74u0p7Q7~%CWKkxc!ZWVHvW+h`vTBG z6M!cEBu6*xUPE*uW%Z(;q}2^R!kKkWcJw+xJ+^?)290def&&d}LSGlT)y|?0@Nw?S zs&KSH!(7+m!+nNx@*We!A@XClbz!d(y1@gKu`zbUHUL~D?5{qgjhr6&0u3B>+R<4g zomZ)stxNWyo1Ue8r1d&6)X_`8lz+>%B-)XcI*@gkI&JA^U>tKGyxvQCtOMX8X9ViV z2#jTbQ6uIY8v}poxXepCb!_C~U_*zI6<&^KhZW5w!0sh`raFFla!uoLBK;c6@tGx_ z{SdD&Bm(NIJZgW#8lPYz z?e^VU?e0rDl-#)5UU}uE_WEm|X}50OkO~R$&jhRQXVP{eXLBI9SY5QITWjt3=s>0z zqnV8%a-&ba19SsF0TW(>M+Z|Ur;fwj{g)oOdL}^B!3>Zmws5l8 z!Q`tZ>{r>2>1lEl<$kg*wXsJaU1Pw7hK&WtXZ$k2esJMR0SSOhe_|(Kf2r+72@Uq6AUM`=mL=qqPxwwZ_S3q0z2pJq-@sssXnX%f z!-hzryh>T}tF`QBj7{hMNQXD2uCGinpo@0m_iZU`Oi zlMHA(Jpa@`qUejQ+e-CiU6uz9T5aa66&f2JwuP5O=s^qc ztr>q40$H+)1=YMt+YHi};!oD%KzvCa_00(j{}>DKrtH(qj(MKW*40pnUt-_6?-z4V&b z=YNes;ljgSL0{-!Y9PP%*Ka@jnyE`BiyDi^q4Z4z-&P((vj&-0e3T7Pr z0Kk<`<}qbF!ISV0qexUh!<#o=T00Yu;t{q!i15W0gZXk@N=F=U+*;9I~td+f0mV&~OsI!vtBdqQ~Vl;=Zu{)4+8 zl(#px{9y9N`c~W8%uELKhjN^mn{ENgLog0pA0O6!||7vIuh38{oG8Wu2ARa|YGsI=Sd;;S-Iiw3x}KQG#|Ukv#3na7mtAZAe`;>=|b&F`F?(QYdfg44Aa%5Am4)U zL)DJ})HpCZmONV%BG)3dFvl|4& zh0&8-02=0>b*K(MWoW1yR})vZF+MDykzF=g2LF(wM(a^WXvxpu+hWIxMv9>+-iilO z4prdzrP*Z|`()chho8W)7S{M6qegwa6A@hOtj>r=Hu*tf)nY3NnBI$_M%s`cKsK_b zO|{Dpuk*|$K`v^>IS&nYqu5Xx*Fa>9_Kan>gA2`CeZ&?TV5BACiukv1Wh)7AoK|td zjdxf4++y#G<41}8V@Esv=E`Y%d1nt3l(Z+}Fk~bR!2WFcB)*Qz3r8RAw~yaBXlwjD z$|`R`uic4Qe8w;3i#7+Q*hb989$@SXcR(Fu{wqHH-QC@R!|m`9f5Z^)4dU&n-oMqC zvvZYo2-(`+tbUq9kbr~P0;FqAllu5{(D|A{~PES$rDDCeY3T_)eR17b~+vP zde(y}j$pVb(_UkML$tVcpT$AEm)^m=v;hSoPccHx7k^^pRCr12~^#Ch=$Mz@X0pW33 z%>=0XCqHFDUbZVR9dtTP?yy9@`T7JNbrxK<0dPDBum_y+(|8do%Q9vN?=tx5a>;W$ zi*UC!@=0a8aHtyEfwYl4rX8Og@S-Z9r!Q&`{|MQfeD^^B@0fgNLozIf1E@F2S!U#q za*;La1*r3qFX-&oX(C>x1EA&iOUPpfeU5L*M}5$df+J@$vM$w~IPi%b2^YMW%cSs> zFT}sp66Gs zGd88K#w)r3%1MFcGBV7IABgH&05tD<4o(-39JZj#!6SOhe{rxIlj+R6(bfKTHNQWtDT92_LqFSf%j)ft9kUFeh3J9H`UxYs_~m2=(fGu zjL1p(!AJXpn3sH8r@Zhydr~oue-OtF2F`Z=IEDcu5H1wxyl@2E>Ix6QY_|KnnGJ&p zVn~NTH_$f1a(x-lN8*5B=VFD7lnHA-?5y=$q}6FU6$kK?KH!c?M4zwTm;v7swA>ox zBn~#na6bUiRKJ1bB^N*olcK9LWHERVa66H{da8GOIJN-e$1uRC zo2HR}Y@7Qv1DKJ9^4Ooq2rg-COWEG+I-JYbBh+DGZui(JC;`ggcw(Kz$AFOy�@; z$I{oEIi)j8mJcH@h8lOh$iC;_$SC!ME>#dsc(aO zlVcO<^xPF8#>^{S+;A~Z_m%NV@Y;W!51G+RnGHmm&9i(6hztPQ5yo*`hR~AZ3&)%L zJ}y2*Gs#!Z9f*uy@Yoa{<|(qMF)wqcCA9EDOI(AuC+L6Y2cQKX`+>;!M?RY0MWZ(6 zx$=wt5dj;J!pSd5;%mk5B}EpSKlN$TvJCFds85SC-yH#mJldTTyZt~SEw1wo91a{g zc-W@y8y0wE*uPp&9UJNbA04xR*FmcE`vF!z#9RE#Yi!A32tCl_t$d7;k2TUS5&*q7 z%|1WDVliuG^|{6hddeienNTvs)xWU=Fi%L~1IT$UAqTK!2pvEfS&$Vie0|=aqWcHn zqp`9jy$hKH9N-u$gTmmV+1fd`C;NWBNT|I8cTdiG}-c6m%H{~RBcskh;Oa`uUsslJH zPQpk!F@HQzw!qIqP;I3@&H~8I-yt*}z)t|QHL(LJlUvuNIxFi8EG#4-dg#*#)yEl^ z1ITE5auSPQaKLC=XeMAmq2BS;*8NAR_kmPpqg_r4q+BxQ9GL0A&0`dNd|wbf%GI32 zVP(=$*|Z58`eBA~N&-eZ=6>{X=?l5tt8w&|m$%Bqit5CnCJ(oa0rNwqna-kq=`M+I zv|(2*b_J9&hP1_QaM+UJ9X|r|T_skNzM`Oa`ba}O#DDrS^+!(FN9jCG}as%LPv_6*Z}1A^N2f@4q|1TtC_EpER71W zAU4-Mejc!*_rXNTZWZ3&j_O zzhax%vH^xJ^Nloq1BW_!zEVuxa=UK=;L85u8zFKtjirXOdM%(l)&m$%>K&5>Rlfu*1|@foD+n$4 zXMDY^L!3Y->1+aGc2Hei&|ZMNT4-2I=%i0)oj&=C09re8fO3|wKZh@HxxILRi!#;{ozR?TOv0fuS8zb2$Ky1K=UGeV3YwF zw6S3X;&Aj$WMWnEsX*VAlYmk0D3dbdZ(Oefj5BvX;9sU^V$t`p|4c0WpO2zOdM%wU z1LLz&^VGWxe$Y)hsjezY4hs)**@SH+hZM4IL&h}#&hrx9o`A6)-ay7Ve4|9@!ZQN( z&^hmY41LM~8wxty2R2f8>6joN0R`Y??vj%-=9J_6^f?QcF9w+00v1Fb!Za|JEUf5| zl{uhACwlxfUh%M$l6&w6h;7rsqRX&d>Q`-I@*Q($y*4(lG0Ib>-R}TV4lg*8{Vu)S zB|#j=McM%lOY{#I`%9UZp1G%%1&*&9&tHu#pVW9J&I6Q5(9l(RMWR&KqR)_cSkzA- z2gc}!mR~HtP`}|#8V?LWa=?0e3M}I$n`SfJmhrA}e6j3_7y9749McYC76?7#85zL? zW6WjDMjT+-9cUZdiAURm-`IDAC+6(yP6K3Ypo4$3g>qz+%(6Lq8Xv{%sC;#^wQH~3 z0m1DuINg33upr(M$e)CIJ6&n}8#miy4%>=Pp2Hy)feRgi8ecP){dq<&wgs>S`#2DJ z9?0?72=JF182LDc=d^f+2scq@Ub1fb<^ieqk34bi2U969M_GWM$0`7Bx|$rz?RH=fDqyVpw5S~23>>_! z_v8mOu}zJ%CAfjmh`IbN8spS47B$oK+ogfnwrnHRm#*YH&<1Vnn)sv>81bWRp`$IZ zj7vRvV3bAESO+`@Jg%}^?c-uqqOj?*?iT?vqdN=yXbV0Ckb|VOIrrhDF9>RM3kL#; zF<>zYH$CpnfJnTgtGRKu=$-gRw5G%YoP!nOFgi@)9=x&K5oIB>4R)5>Sooa_Up<=v9EUyf98Tau21}Yo+6NTM3<3|;Lc{vP zUBu`okADIz&cs(1Q*!Q>K!fc`0C(3JCwjsr0QmP;WC1ij_z?G<7kSW=V}h?-rJwgD z0pKGW7;PgrpInqXZ zi%oq{s|q+)gnPK&PB+flpFKX3+;#0o8|_q0XHvqO(QAzqUB3$#yOY>I5Y4_y0&KJJ z9J+7Zys384s*UdF(*VYwKJjbMtds7m1aJowA3;yMEk+;&jIkXJAt$km^>(6(|NPOB znCYa5X@3!56zS&yywF%ymqSJe&hGnjyG;gF?d2Hg>j2;msA@;RZ#JC7z~zb%Izmea zslJ$a1MkU>6I5SIZeT0}@kv4H1Hh3Y3m9?9Ywq`8yCiFtO-=$b!GPd^*y$7YKofbR zT+{UgSiW+uzF$Od@M)PPLH_QGIsjel7QeO887FKyFPwB0m%cQ z2lAPJXqNjE$U(r+=L6se*^~zp{!!<$EMU}=!^{Y2Bj@AV>TA(?;T8CWf*jNYqh2ny zI9wz?#Ay3y1CTY+17mrd@9`6V@xgfTBGC?Y za}X$gY#Q~14`3xA?#Yv=v*EhE(*|yoX<7_+Q z5&vZT-~+#Ua_Wnhof~{)#vKgkigpHfq;jCIhZ??i`go-s$*9NO3|jC_-Pw@*;K{lB zMIUUl%5LG{H^(2j<41`@_wMel?Bh8Pjgc5O6kqhEWYiBmQYY?m2L?cf`^ePuXQNWb zMgh{FjjAtvEOWBT6UXAH+2xMLmtXRS8lV#P$W?f}q zj{~R=5Op6koOjuR(I(1FX!=wiooQiGj*Mlxz*vsl5eLMU0Oe&IfU8CvcRiLN_Iv5+ z`Z91y*u4x>n_yWl^`y`QLYsD#TqjtKWAjMh3J8!-gUE0oT2?{EsM=?p5?p_6Q|zj? zQPy&QN7?E3fRdjj%RD{reHr_)?1%I#3oGp5mxg{iDr!B{s#y}MwY>PbGS-v=Ue%hX$ zowP$;JaCbM-8>(23JKqU;(#f>*y{07%uyZ_<3}Dk5{C-DWk$T#kww16Ps9s{>>ejh zYEImEUlyIqTzPRSvSC=<7jT{jVR(4g$&>%L5d73NL=38UJ^}8ufDTYj3cy31Jp4Rl zgELjVB4_Nb%0MNaVqP4dn1qL;c#1Xw;LZr|2U-xX#ip+PEJjcZnEqCux((zwcX`s3 zS>4WlGDpYq?=k@3DQ5zVWgs~~8T)MSjGh4e2qUj~dOP82P{x&J>|f?z2HKH1$@RGP zDPY{(SH?VaV;(!k1SFsMdwB%#g_~&<)=m?i4SbZrIQA>9^;LcuqW&>1Df5yt{OOaq zx`e#onBu&q8VTGH^j$%ZX|JGH$#)y*vu2YggPNv0XSQtse1?tVA&p##jgG~+;*4<- zKhdbk?!$uk@==)O$`%!>EJ*D5&`p24Tp%<7`-lz;%%ghj4J|n_hXhMuE(*#%{gFO+ zkix=li+%N1Ks_H>0OUxBuGkA5WnE{MF7&8x95krs&O+=etBWTII_OEIrxrLCF#ELY zvo57e^59XKgd8E9a|H$sypE6FZ|VSc=6V1;awfSQZyO}dItn$(fS*G}cmdOO`HTMX ziRB3*yKwU+jvN+rVvaJ;m;gR7gkFifQhkNeYeA0>@X$vGy2&kL0C3nB-9QerC&yZU zd(08v#4T+AJo)TDGJIFDAKcSt)5TGO(5DPUcWj&F^>o3V2-!1!d(7Vs(V+O7EwawE zh*O-A(~i}nJ{dQaiEH%wfZjJ$ugCDKJOcY4fSL2%kOKR^%gnd|*4yV}c;Iq>)P{|= znK}n8@j6LY%I;6+A^9gPl=}cJ8H@+tSb2HtsI{xlTe~J=KHH=t-6n&J-R8_^$pIv( z9sKyDefP;m`)K8qUyf<(o3cs%eyVsn<+c9uK>4l9kiRB-eG@_+@sZQjH7)h|JE}h8c+v{1Vo8nz69}Nr5)WD&l%2C zL+{+DLYxC#XoE`*jAa2&3GXsMPSx2Aq#taFEEx|>^#N*0s=%nfGhHSu^<`e_lXH5# z0=Veyte(;iP-mb5)ZrsW0?RZbZ_>073~d1Qq|lDCmLdGmgjV4tdswv9KoGDvn`+61 zz%;Qg+Y*Q^0mn^;k%zQgPZ_&PPVtUyN%{G3VF^YrbWEt^JQLu4sy?1U9Zo&{4NzX@1y|#ESqCu6 z82QZOg@`^?zp!&z_9!!UBp=(yykwWm7Xj^|X9K5K($vtNNmV~@P>~RI_8VZBDcNDorD|xn!cE4knxEL+hJ-KM9wHPZ3Z12xC-Tt zMeG4`a7ESx9DoL1E(GdX66DUZFWJ~}8LPCB#y$er!L3{tlDwlLFqQ++6+Mxg#Tr@B z5W10m8Ni3ecbEbi6mZx}dp?3Jx=N`7(9s9Fx7@~Enk1ymG7FajOzZ&2QwC-mJ5O&z z7UM>}Y`CmDUlE>m7i`pGUEi*W-70syX5}<5X#;OtDG$Kr4n%LtEa-k8LkDoNWuXNc zS$i~LePGW(COn*eb6wC0%cE>q!t=#X$Q7lNB>q+@| zq)aTn@K1ge?Cui>0fgCW4!3;lgCeO zH*eo?4DW1dPAR_V?S&4r^j(2#eqP(o81C-xwrl%W+y34aoBa6kZuUN1^(6NBN3YPfjuC?X>;+_G;Vy+DY4#A|KCKV7hUaV8l_z5tndt^4Gm2-*tK8 z;=OC_hu=SJ_q1s|*W~5|e1iUd8;D!({tVhI6+i*5LU9mw`IM*ssuiBO&tu+wGg8mo z=Ae>{Dpybh7jPHg=s1Oz%Spg!M?uFcIT$I6hC!QoEUA~3d$M9nP0*UWk#jkS)x%zb zu4q09*g5+MJ65>Dmoz{3qf(yGr(Es5Px2pizfRc{mjRm4kFboZI|HKa^rdZp-d^J} z^jGx$Je(%_A$$1e!zY73(ad((T}seFR^v1u{=n(K{y@Y8t%YeE6JYX*8VwUH`c!2O1Ye$r6%S-A7SuX%^DWWz2!(DDMa`R+#!AEW?n{#XYdWC{mA zkQm~#dh^&HwyN4~3c4x};BV3yx7mna2-Td$8;A~g@dtG_RIpf4_LqKa^CrvzN&o7_ zcvk9z#oQkZO1WV2muR9h{zyGQ=vHjWR%|9jF454JUZ-E^OaII_)EVaqU$~mrEA953 zJMG4e8|}um>pF1g>R4CNyIb4s%9Sf^U+Jngsr@@Q+uLuv*jg>|F@7%cBUi~%2 z@l^;d1_P9+U>W7)*djdZk>EmyfyMVe*Zz+me$bBimXqw=+>~DNJ`(8(BIL&stUhs& z>L~gr!$b-OAJC3pK(Dp+jm>uF_c6K(QYR4xB?a>n*GCuma?e6WU z-}NljmE9|r|IT|qYd?GE-S$K@tK%&&J4XT_<`%xcJFIzhezM!PFZfCSy4^Sd9rplq zST5T!1JDebd@-KpG!Xl%?HYr?Z8x*BVA?|-7{C*0Px1&4=vF#%KbE$>=79O-{ZiLiQj7)U^Plda=^=wb=2bH_KBw;c7Pw#WssM; zoGKISbH9^^YgwM_!l!*%2kqerL}uD1P#}+EHbKUWL%{%_CO5Ik_+^m}ttU)ZL0f=! z`yGFFf?5n`{=${bToq2b8IwLfj7y&LpzMRLpq@pMJQ6aDtKM>}TZ9$E+|@&@CzJG2 zW`Ylpm`A_+DpG$m)FF1o=0NI`-9Wb;NW1MrzWR`UBtA&v*B)n{*-yb`u2~lSQ=U5w zNdQ#f1Mt-W1Y4PlC5Q2;w(2(!Ii4E)5qW$LV6hj&*o3&L&-0uqIoMgYvT^$m)!Qe) zsGf&+>}Sg3gM+5)a%=FUOMT)uG1wa@+ixoKqB6?48HBD(ysjWz@B zP|yaz!@*{jS2ExMM;<+q2ZSaNJhK~jdc9=yip!GIT(3wQQcSAvU8ygkzZ8h zupt5M>GNmpyF;Nnq$4ZoXY=kD$Hxi5UN?Z5tN+j!|l+j{AC`{~+AJGs8yj^%@Y z^*4XJedP;ZYR8VFvJJRoyXF^n0rJ3NdT37GK6(IJ7Hb;2K;$d8-n>+R^|ep}%e?7D4Ys(cxIfkp61hG_Wp zd)A`|4^G<^3BVrDRX$IHyxT=eyyOlkDSZ#bRscH}=cjFJt6u$YAJ}%u(HJsU_^1c{ zAHDxk``OQa)}B3i-hTR%pR|uY{IK2o;KO$C?0GxmZV`7vYy^vfbnvLzE$!UgV&hrb zGX?)&5aI4OkK4{Q4Wi$-jd3*~hQYW? zZ(K*%B2}3D-2lx%GQT<>RAkT02Yt5ztB7H6RJ-1~^H5`7izQw6j?lo%0VgBr zt10Dv4n{O2fV?&~Eh^xA=<9M+s>7Me%DIxOyL2`7C8F8`B}ulPn_Asw1H`88_f>wE zLOkk^`{Hp&qIeKxd6lratVlFeO98Pe;3`5$>|Imb;1BS&5QXwPewg~(|K`wA_?qvY z+no+x`%gKGy=|pkO_T{ZTV*lG(>RD03g~zy2{O0iyO7GgXIydi@wT5`zz=u~8~XZ# zEo>C{PX8hf+ep|Fdp*9Ag9JADl3%`uiz`hbTm~;r^IE@rWclzb1@AW1Z6BP<6K{dg z03$v?d*xj>zQ8t(Tg9~6)Yq3@eYM@XakH&xb2^dzr(B_nmXG`|HrLx{-hQk7Z~piH zyY}_p{>}F0TW`1D`TPG#`{56N*gpE;%Q*k$WhF?UCJ_6gc8;gPo~rt2$b?O*?*4ke$fZ;X~gr7qv# zwFd;s6o9;ci;P%2-)(>R?cMgD-G9=K6|thBt|@n1;u13#JNeHm_l=UIU4KVTOaK6R{BoOM(Mh~PZXevM`^N`he#qZ$7O6#B3D|LwVczA+~pLQ`SDkhXnJ3L3LX|@Sdlwk zaYx^>f0iM-lE=QJ-~z-GSlSpPCZH!F_|60Uq+itB8ni4gv;z5dlnJoCxs`=hR}lEM zp4|{{mkmUA=>b==b5%~;97jt!Ojn6D1p44#1QnYz)E$Kx7rxjONV)8*dajs88vw>9 zSDeg~Wjc6?4dSun>MB+l3l0FT=t>?o^EOUm1U~@KBty>eONz@8ENdV>uM+W zw`us8TFIZ~tIltJ)toJ#fe$_2k3Q~N)P|#^dil1X_@!&Wy$I3{z5TaD?~4rSl{53zs>9vjMQ#*;d5uX+?56TxLd;{1w zDr39(h=-wH>8Dc{wOn4#lP=GX@^P*C#S9H4=%6|E81kYr>f+zfnl0-RJLcoyQ5`E> zfI2qFFfIsIPh0!54?faiPh-*LSKs=4;z*`C8m93_F3{(oi*n?Y#KU@nuTGD7hnT1| zR{T@}crKz=#k;+;(Qe>E%1_OV%uaIg4vnLC(QffpMq~$6(TZ)mMH5SJ6R8 z5T|?z?0^B6GQ2nsuoF8zxQ)}cA;UKnEa>LZlR(*5fkT;maz2Yg$0_CuCE08i^+Fna zIR}L6qOF0rj032r49vm%NtkRIL03WbLs&JzN%1cb`cWT)&J*e2mgQwbi=9j=lE2j; zfS&rNf!q675iHiBG7HtT0WEc5gy;pv@(AvO60$=>H-ZO7nh`L;HW@#rg3vEdcJokV zqOjPh5*?=Tn0MdFhm1isG_Kk>;g?=E@A40aZ*b699db-xIsvWilBd{Vsq6f1mmMV= z8I{j`MLX9KdwD_z7%T8VK1LW}l0&Z8OuPH1oQ0G%BiMHAQ^OLQMk4 zHegy(2jtNPjrDXlGk&Zuv?Wzv`<)fmsx=-gEPs{H3XlCj=+md*lfMYkM<8_Wv&z8( zmd7UEZM*x@%kB2vJMBb=1y!YdUG4IL%BKtBRbwOE>#x1hUb_8K`}QAyyZtZ!SO4qw zAN@!FvA?o@W$$Xcx_3?eUiX0nUH)wzT~%|Hy}7&XpNN0&!9BkRgKt=#$mjXB*x1H5 zGw@;AUNK&&=1JlL|3VXgkNtDslo*rL0ov6I=g6Y{7X4R9%?*J+v&+!+rM(HUEROlc6N3h_uE^$ z?Z%B;lTWN)2^njj+1&otdf)iDb-E!Pd?a&K8|kW6+~c$3wtafuUgx&THy3S94iUG^ z5I4EY9dHZ~9C@5I(vElrg+-fRB>nb&`;+^N_L21ap;S5XOwG6m$<9S<9{C3m)5C$i zt!__hBB;E^!(5#B#9ays5IzZra0D2&E+GW@A-C0{_ z?a{c=6UHwOOZ%M|_(_Q|B;GSt_}v{BPzJX+UsR04D_?EM_570BHSQ!lKWu;F*Mz?< z8tkC`IT6nz_4RbU)(;{f|Cw#|Ou4MP~&~>vpU|$(F`)ZGF3)o}TI8 zsdRkeJ~AM9h6j7mbO{JO9wMp%D}(FmuTHV$1Oa(BZi2~49hTcuU&b?WIP|QuklP*B zUyw;PPYT-7UTw2H?B$jbFw%`V0WktHNI-Z}2U3p=V5~=8>f}kIY#{ib2X6P~I_qJR zTLyw6fic2z{e|GDuN-n}S#UoMmTiBL-r&YISeS@`ETRF+9dPho($zO-AUd+xdb}^` z`gHroaUzwBPxd)7q6+}$I{2OfmPgzU^yJa=X>hr%7O;`Wll|xLM@Ra#+&^!Iy-a!$ zjQG%{4=K-gkc#%wt=Ucsj5NS_rv{*&1G8!6Gy3Q{dXzXIjF1@Tjz?x~?qZC9JY+@Q z=)2K|7Xj_~E;)TlUj3itB&FYJ3yl13^I|Jd?vHPTi*2D9WrROK+h_y4(2V7gKd>yr zSFn9e0Y*J;w~To%K##(!Fa@gK{^G)NC-M0e6=ts(#9{@RN`A}Cd`wf7Xi^jxqmJsyOmhmaNIUeV+ zx-1_$^pYj$U-NY6dW1K9F2klPMeYWG@gY)lOiJl}0?MR0~*4#S0 zmz>!DX@FkZ$+@tH4Ic6*PoA{XvvVCLAGD`WpSQ=)o^m6m9UL9{B7wV9CVJkwy``yaF;qN;S7{cWTz|7+m0@s_+}0O z+7FL$I#nQy!9O`vJ&j}HL~;OJ>XZZEfb=;*14Ne7u(42&{O|+dQ#YN8rjfdl4lv$0 z0*St$H?~&aCf!SN%f`z=GRv!A80&#)qAVrAWznNce+oH(@dG#>1f!0TW|wOZBM-Uu%W#+u5R=r=#G%8_p{UGV2oit{YzU0~0^*yDLF^0A zo;F}QxCj?~WQNant9-&bCmNm^0YXFKDi`kR;~WD4Xuw~xrw1>zve@H5f+lv7Mw{Wq zPxyc_AyFp}4SE8TE&=7(flT;L7JQol8rBIe_OJu{xf6DIU3n28i>Gd&OW)wNp3to5 zN_%soZSU?_$JLuR+ScA~+rM$W#*2fb@?+k_i){jKfCTDY@8=|IkeyJc-z@ynGQpARDz1w!_fZsWbt|2O={NJm5R0ef>igU%$p4 zWNB8l^M1IeZC9$c_jK{k>)QP!4gBoOC{?3#K)O)p`6gVScjxb)wDWZxy>ssz6-$51{!J#4qnR@%S! zwQFtv-~Ols zW#OYPDo;eND7dP^p|YMbj-!pw8huB_3#3ky3!MUmcW~(_lYn9KsR04zAO)bo7X(3F znbiP*4-G&Wr$PtTfkSZdp{IN&3rxpC0&RGqn?d{%%)?SX?eb>oK#Xc|e@?V7EQ}{m(q9c6?%zcU}g!! z?f#VTo_AX)N$0rmRR7Y?Y*6zU(M#jdNlbToXz>SiHulIz_Ckw_+tjzvJB9>_0onlC zLR0lDmdLERf{)@)|8lBw645=!1U@Ml$YWEE9YFZ)GhI9=$ke4S$K9*Lg=wU+M2Tmx z&34#4_ul@}L+l%}z8yf7Uo{f?`kaw0uSLhi7aIqOkJ1HA1bKW=^mFLQ+ zkB|*Fyd>)zVhNaRmuI2b-M!M*wzho;*}HbV-FfM59psdL_U?PygpQOB?33-ajkd*M zMPqgF=!xarym?);$Ubi$e)yqZPR7+UU+d*r5a!0QWE>y!)zB^RLR!yzz4_J~?Umbi z+mC@E5>5sCnGiVL|#i!w?g!8!QLNv=8R^ z0;h9Rt$70E;CFzFYJapR(DtjpeDq0ceI%pE70pV!p|$X8J8geWWAS(YJvHnfh?JT5 zNxSk~ms(VjVm`V!k#ml=+oQj~(f+&NIcvw~@}JsxMq^b6#Sc#o+JjT|L9v6q{IV`G z@a4H5>h7_P)UMAlLs@-T$j&!E|Jk;|w{0|rz{bi}d-VLV$I3oXtZwh_Nfr;R>hQjz zIdgI1SY2qJKGi0%GMwvgP1ciRvCfe5eJ955?Ltl@CPo@(07$`5)(zT z)T%>?CW9PQC=D`YyH69C0TCU+!>0W;+Y*@dIp+@o2DyPtI+RhY#RVGr2D0Z}2RxjNIf#UJ zgin)i8T=i1CfzoV@t|J(G`X2J>sRi{*>Nm(9xUo0p{Ii7^s|qR!1#VJfEIZyibfCi znp|?d=nzaIuy`7>>ATKiMBkLTq(lrwu1fgGv|3Do@}e+ia!c7IsH;Wf*8)}xcemHH zTv@7)EYRtTpcXvDO1kA$e|+eW9B&F;PxRGKjN?8I*x11#hJ^-s#B#|ga^#|~`e7m~ z)PMX0k^bA~v};VN=PJ4%wOFwU#@FENOW^^ovC_t0Z^}N@A~W{K*{GSX+j zW0t!9J62@t?4zPXEOqE>oP#f)l2Rt00lhOFd?O9@;4aI=PR3Na>^B;;KB~a8H|OxH z?osP}@cYh~+K2}q8pREsa>WJx6R%=%d;3b;+S_YabpYAe-u4}eg*KcsT^)b?;XU82 zzy{t8!Ps1({rJT5p1tPf+qeA!tasjdw>|SaBy|8TASU+*2u-uh&N!@z<3dLW`BF@a}|V3#MUBkEK2 zx#W{eK5NZ|ydzp-X-)I&bsa+1brAn#zHtm*TiD{_O>H+cr}@6~u7ZMxiC$Cv z*3Q$m`3sQ2VDcHdLncTa?*z;@&_#|6Yv(d>?YJHO=LhW%Pd3}Bt`^RPTj*5AATqJ} z(wX`%S1~cA3m{eU3=tH`~|`%EVRGV_!jJVpky@!_YD(m2YlrcwW`8O^nrtE-&TSM=aSc zd^h0hFLFA6ml-@jJvn|!8JV8<9cr#gmlih05wI-Hc@Me%K|xCwX~>2RKV=r?z^Gdu zD>~18Ez(*CqVq*y9@$}=rPPOcWT*`sDLRp7O{Qba8K2TOg7py#!`RnBvit{d7=Wv$ zz-<4rEHr{4QsS@Zq?SX4+t5clc-atH^ar5s{t2Bqv8l~x>*}@k##?W-FMs`)+8b}b z)$Tud&<+m{+r5wOwMUO1o9^V~*!(>Fd2n!GfAGzZ8{+-p_kY+veE+@noR3~~P~mXF zfrW$0>B*7b=OP9jTG<=4E^Dm)YpuEnU7Vh__uhN2{pd$O@;gIb`pm0-85)O~f9bFM zrS{$L{b?VM!8gj&PawWn;ZA_)Of7%A6du+1!UN+%f4nLCE($H>FZb*<1P8Hn(>%Xp+b+A~)KXmCY)1ezbVZkkA!|8Tgd33cs ze&=@kzu&(v1@$o^<0a3v2lD4R2X?~MwkfHt>~cg}wA*_8VJR0mz97(;z5cn+`LMaU zwJRDOE;iQN#^y%5e)E>~QD5KG;beQuZ^gZK{d(Kg!E^uWe%rrxqwQQ#`PwzxWd&A3 zBr6(u)$!peC)7Ii9jScO7VXhPs5_|5fYIIX2?s2r1yTW|p_b{FTT?K3akuu%-j z%4!cZ(T}$z=gC|$mKPFk1Cgg4+7ZTym8%vKFa?XCeA4Mu9@~JlB?l;vbs%ys>FxRg zbz6FtRd+1}imFj&av=C+S<$!LHu45Sms{jek35ve6x?Om$iO!xEB#D6<$!sG_M$29 zII8UN7Trh-M4rb?T7?*sbegIWmdj(`?a@#RXKvSoF- zqrPsEp`PjBqlda0HBP3IJZ7DTHga$QfBdMiAtw>ru1OES(ebM*0!onvxbbvdK`qii;q@#7O9vI5Z=nQ4R0F{?UdUqM@^yfNs_ zb8XXCdwVi}ryKhLL9xoxb(PtLU-%2{=G{AfV`dH-@GbmRUF6iE!7s#=Uw5=|5eo;$Put1i zfu@1{r15snjsJ@i_w7vk!|8&zaDwMZ!3E+R4?O$|mOCySNM3p66|SV)Pk;K;_80%+ zU!2A!zQA|Eakg`Rghp26Mt0&O^%3%(CE5bW<&K}(qzC`f&fbJ9%k1Q~2b^*rTFkQ2 zb|v@PKC&4{o^O%Y)i@>5c-&;QcBe|JxAV1ja-{rtw;i9Hx9xSlt+QyGYnvEpd+J+o zI#B7LfL)0T?6Pdv$}W8GixL5`>vs)F56|cDWfi`X>^|ZL={n$99NDtIwpHs6hZ`N- zcyM)1hnH2q+^i0B$aiG>Do}>_JQFQ+KyE)XK{s^ZdG?6=v;MpdWegBw?n&5bc`hP>f!&Kf*#Pjob7R`XJ3xoy z$-_&|x}60&DL`=f=hXxBRf%;UIl!2YGLo=AG}M9ACt&lT!{}0~XFw|GUGID&LxGv*>fKg{hU)Px z$!+}*Dg|z>I*)AKTd*p#v5sT`7NGLC9fqvZo^?38@lee%8fshoK+kA?WV{OAE{ z$tauWymVm)5c-jiayBD)_?Tk3oka{E1n-YX1bl|fvH;}H7BU#$S!el>s;}gkUlLPy zi;5Th^eytesHx0YIH?SO`Z&fu*N4cfcjEN8pz(+qAzMmp+qI#~;x6<16uxhctjb(^n$M@q_|FWRV9F zZzJG8>%=F@b72(uZX5Hihk9^KC(7hCwOFG|Pvk@|`Pc{Y=%k#K`io%1kG2#~*#tzE z{Y7|(S0qZ1uS@HxQq0IW4f$9!9&Ht>^!=k^ux z?s?Ce*aOM^QpBgvT57z;yDS`XZI0qA>Dj}_K73%y(b0)*;2SsIqy^|8u4-u@UZm59 z5}vuKaU#kcC&VkKln(aC?2ht>1d+pM`!UFVD_?=1+mRK&%7^MVu)!S`mDkU={i=+s z&ll~^t!>L`=ZvKiVy9Fw>W@?`NA+{*KGS&U!144aYwell`1wisJumNLkLQJNL_XhE z%D7-tAQzQYMo+C(%#5asAjahC)$8tSQmvWHZ`niNSWhgcd<1}(Csg38xqQ5{-qv2; z;I-`_*`#Z6+0bCD>(sixsuPq1ot+-H3w7kJO&vsjQ$levU^~0v$pI8n?}{fdiEwE* zP-m8@e!AAqzqj6g_nuBjYT?asxQ&e#7CyK1*M|`#8?dcTuj;%5i4p+=4&54bRG|ik zpoV|3%QkeUxGHYR<<=`S)21p%Iw&WbiIoaAJKwZ5fx5P-J_f4?M)=4fC(#GvdUUy2 zj3uuiv`GOhPkqD(=C)ZD;Dvp;?*qTQDx1 ztmqSq4Q=sx=~ACfQGeZc;S-OfK=m7IFDWos4m@2Rho>Bl{6MI=(LM8~Tzx7Fz~Kh~ znX!j{T1MD45SGt?P(`og#O~4lF$PVoGWoi$hU0VSkpVC+OmWt~QO*dlHLoogH~P>< zZt5=UUp;46aU2L;a@t}~aBK?b0ceSC06ZT*I3|=kCg>-9Cr0wG`vP1(4g}m^{o}vu zUFVAcp3ycS{btQ_8+Iygc`4by`EUQ-_Sv`J_JQNi|Lo7&x4-=zKaBd6uWRZs5*g%N zMDP*BmEFDe_`!qr;KBWp?Y>~oOdFVNVP>h+rUdw6b%9uA&A;N$cAAAj7g z-niaQPZsUvS6^=TK9U|0T*&5Iue{QJ?XUc$_M3n8ue2|I^Xu(%zxd_$rC_I+im-EPklf#2tNV(d!3(AkQNoBffw_h?X>&fZtV{rK5Kt? zdLr36z^pUJHroN8Y>1Dy&f2B28H*!&+_NSmHqtBknAqFfztV2K{F3`21z@73hHSk$ey1Rl5R06M~edC-^u0tbNb{op+D0JH(n19r|5ZUl*$0J6}MNAJ(8 z2SytLWBalVsRtsDe3X^`|CfcFegp85wAD^`X+~Sda?)~r&Y`z z5WORS8-`<|SWGb#*9^(7c^rp@@)mSk`m*t_Ga`MY%`4v?! z7~Ajz)y6JTle_?)Irf(219Q1A4xJaBX~SP5Z}20GIC2t)S>srMWIr@xyoN3^y%;O^ zA-MaiXt1g3zPr-tmu0X)k9f-Dft1}J`lrR4tMRNAK;!|@KSJa?CtlT#JRoBfK5_us z@Fm9MQy(0Lg$26s0nm`ZlXC~dc*&@*Fc&X!(*N15S0Jflq+=mwRmvSXjDlr~hH0mI7a_R&>53@dc^r#)399s|ld*|JE+c&=PjrJ?Q z_N(nTe(N{dm%s5<8L`pcz5j80esI)QH@9>+S#5`s^~RUK(EhFe!GFK~H~y`E)8F=~ zi{IHNV;lh3RP(ZrV~-nBY#xEQ1R~3aClc??kR}}&+Es z7Rp1Hcw)0xcD&YZ+_~BwX&<$nn|uVaULSu-Rt^JzS}R8+hY1OW2Z-&oO?{$4_LHYQ zaY^E%OZFEM(krwyuQ#^b&Ydj4-!P&jjNG#2%7D-5sPh#Z-o?*%wfT7Fu^e*cTVP7+M?~>-LgwKsWzQ%V;{fOfYEUrSGH%Td^RXP zUm3_L-AOpa&a&JxIdu~90P@t+R#%bP{E7|$mo&;qIrz(I<`0Z~z{p!c){`JK0qXRH z!~l~A&!WBz;8*QUTj2q-q=9V7g zO1;16(J^**+TUi#qSo6Psj&|MwmIXqFt91GA&d~6^&2xJDn(NuF*XKQQ2s9Zx?%&!G0C!&&%BibV_)I-SWAp$$#!TN z_dx6lAL|&}O^3eTMxOCWe9&+6Q|*0*k2*S%rg&$r5Myi@q6wYzGOv(I+|p-FP72=r zpsM&lzsFlPNKk=daZ78=ORv4^!^ER!&)WCC|L5)9_kPw+v_2mk9=Ags1guvw5Qohi zDCo=4^CKS^tcTADI*dLBFb6PR{&Bovnhf&CdCGkkO>G=Hvj<=kFVgZs=j5zCIXY;& zySwey&6|Fk=jPVBzb>5D|MO-~b-#B_3?JWr1zE0&2JGNRwkra+optXt*^CB z^=CtP-W77Ta@O8_bktt?+qI$D6BvgalJrFAtfm0Go!#?*OZ*|ZGm?GpX8XNwAGSj+ zE{nCzcEsYMPMi^7Qsf5|#XucCZ0GAje9ccia2t;CWVL(|O}e3grku-1DhNR?^&&5N zF;rQAs5X% z4-%QtuQIfz@A;s17{U&w%Tq<6!TJ+MB#! zT7~or=ZV|-Dno9KN8u_*U-_!^*gw6^i-Z3Og!4CPT&X_zAu#h4uiMDGAAm8pO~beb zpbvQ5B^O~V{_{smoj@=?gctdM^&<*?0ZrgQSwmN2zHUeHmmK?ny8PtLQ~#KUwIIS= zasm6T#~!?8-{+y3D{`rY=A|H=Qi{rUI4-yT1F zSbdf(E+9M&ROVxd-R<4Bdu6xX``~^1%rPII0J1-RiqEW{GQNZdUzt{IDpwzaj`sk@~r*JZ~tcd#;^Ud zFNELu@lVyJK4~mypEdQe_Y{FTZC_Eu=H54tdpT6?T1#k?uDT$>tjCx5C+=gqWDzMtnkBc>c`5V`u*c;?ZZcF?LU5a+&(_z0HVW_Y<@1g z9=XnQR8puqmsGwplg)_tjQ+1?GI+620|__s90o5f@vS!mN#f}#@ANnCr9j3ZC<#RAWg2Yl zy|mHkaY3C#m9FS?uTt5B-X4TF3Fvac$sZF?kG>oXSa``v(dP*+$l@P-Ent@+@_?~D zeCQ0G`bck^Et_EeBtCWlBj1aln{2*OPh{k9NjWs6WdJwZRgm&16I|+nktc1T8+k{7 zWm%u7dS3jaUq@NtS=Iq~aaZ!j-$b=D7$f$^UnFPHEm4|Ul1zLwgAKvSIWrX4#4rs)ppeO+xpel+Hd`hf4%)1 z|L*^|{X74||7m;qOW$aJ@ZF!bfA**Ew(tM=UVHz;C)zvqw3lr;EzYz#sXz9y5^{Oj zSZwti@U5nKOxy=S@<8nM@3W{WcM}7&hi1_~;-Svn5OVtb;K76T@y8#xci#Pp@51=w zx{XyISbR6<@dL}`mE-(I&Akuq`6H)$I#gkIJ}$G~VH`1tF(jdjb{`yj8NgoVh2v8= za@v^dzC)ri@1|Xmr&o7Q+BRPXN%wlcoQto3aUSLl`Nc+ieDA1z{OGhDN}pSNkY-G^ zuglNGRqgeqH~dpD3b2K-@_|C_(^{qa#^&baU+5T*ah`A|ggYR}=AmVB=n;?3Q_94Z z5Nj`OZG4Nd$E|XOJH$zqE3UQmm3Bphp1?}G=j-h&e@h}*MAavh0oX5nm!LOQT&Zm5 ziqJe`8StX%8#s!b*8aioue8Gxehu-g9Vs?>!WIew8Q|1KM|f$K{z`XU!O&4eft&A4 z)MU0!+fp*%_hKu0Pk2v+;^7Vnd0pY6pFYk54a}t(;2CfE0;O_xPTDL#bh2L+{!3?{ zR5;G7SWnnk)R%Z&cB%RiyC&I!>Q~t?;+N$BObkk)f)_v4Wcj2FToO4+f#}UdE2(ok zaETXL{#U$ort0%Q|2MvpiTDe>06A6e<8K?FtnmfBIeBDMr(OT(ccc;)40=)H6L{-t z1$^Rzt8n`qxop6WDoN9LdK^{rK^P(W;~r4gN^gE0@;R(K4o$+HHDWrxhK_}^`d}Vn z#l+I5VtC<(2b|a@z&7}ZvEuLXCGb=ADq6NNy{t6x(YKDj3}&p-&{llXflFABg}cP7 zvTX*1PgIAe;;!sSN;_aW2~8bu+wasp=_~m~XZQ;V;5@gW@AA>pWdOFtH05vP*^iWa z-Jiu6hy!xkYTQOyMhjSH)_uz!9Puw{8W=Vt$`D45P1HZ*u|Zd|-mo<`rz?9(x7yDB zFSPx;zud0B{MGj6mwvmQYU4aOd?=aQK5HyiueLAz!ryK;uK%hw%V+IaSNi^$bpH$* z+YbGyHPNoZQ0zjt{N?_3d-%sD#sFT3|E%3;Tl=rJ&1-+H-FfLN?Zz8lZ(se@ztJ}K zUvJM&_uKs^Ywd&kr|sbfi}w3}|4-UGKYrehp6URyc)4wFeW7ixeYWlGzt)~Tz3+IC zTiVU*I>=}$F!+E{O!ySwf4pZjb( zc>3ITQcieHyo92IwZXA~Iy}f&_kK98v8}HeB-Rmc+B$>*gdcC$g@^TmIsXkEbbj^b zO1t$-TWxjA_bN&mvH%R0bp{2&%9$LyAXoeStL^E}HrhXXw$c8JqlZmLthVX>WVPMD z$je4`sAbQUUi{&^e}J=I7Ofhbl_ni=43|M!BR&g!EvV~FHACwAL$gyoSr=?l2m6hg?{9n`qcYSV_Gp{{HRlPA5NGp zE{lJV2|$~#@s%7F62&Jh;=>~9#&tWeAp8I}P_NIQ1^ud(LvO`W#lWOf<*^^E&CJ(X zCT-OYgdc!rloP0O*|>}k&zP2B98d0k1~w%(KH$L-^H9<;@=dZPtxp&@{7W96zg^dr9s5Wx~ePW^VN2Aa@3wbf2xbgXYKG{(LVR~-)e8a{nsV?<#y%DHTQ>KRmFaQ z^|{vQimP!Rj1Zp&>QD|HV_1nUl7si_0~WgSKUrvh;CYcnJ2~OtQ^1>6KYahA_T=HC z_VA;R+eaUKD0>h5ORw~kSI6If@W}7P;Fn?_i|(bDUYZ`R1ri^?XfwV}e5t2Sw1FS* z#D~5<%3wU#+jSjuuHV^eD|`||J0x_>usq-UAC2=lhmfXmI$mp!85^R2HB)H(YdY$( zDeEBdM(id20zLx{_5t*jG2o67ZM;Yh-vSG8l1V>YSIqD+9JHlR{bzpSSA3E~m<^CH zpOOcq+*0DnV%&FpzEEdWU+~U%DeMMcI!r8eKtg&!fb;soW8VG`a0gZ731Gw4S=*&` zL#IX9(BVKUTI?ge2*Bf@OOwCz(zg-3I4#MFv&Vc{7r3+omZ8z*^qO00z(@m(y2xW^ z%FDPHrSOg^JgN-5WlPojVyJwC^aKA|j+$LpLetpm^kunE2H)zM7zW&*5j?wwSnM$B4bNp;dZ7cps=OL3wbO$OZ*p+H{YtLHi+^lyZ$o4H znH-oVzpChDc^aV>;#wqtI*8A@UsNtAA6WO`qtahC68XUB56YvQu^gKNY4f~%0YFd7 zvOE%PwnZZGQ|d2**q8Rm^W7*x@Z|W11q~kRW8cx`_;C!?!GZTw0Ezto<=B~ed~?~J z_?dn&mgV0{)z_q=tA57DOVI1sN!+te@zFl-QCMHUs`%sECTHzL8$BZF!3w&%L{XQV0M}M{Ib3oyJGuU{(aykB> zytv>e_+Oj8rMR}mi>}zvN)JE1|AQa?xV`h;;&AmN!60u*p3wXejV{5HH970;#))?U*qq=-CT~6T1 zs1gXm`FWj%;#M*7&a+24PS#zTGc7i@L1Mf|aiPzgke^}2rg4uP?MwfX^EO*vLihOb z{+V1WJi=l=}HYsdX z-js3N7T#Q(wzoES+S>6!Ta}=j3c&x#|NN|N+!eihql97DW^WBZwQTVW zz5m<)(Qf;Z=GjLV$L+Z$lF`z=ur6+=wRTFtYO(ey9vn`zFrCskM)!@&>y64dkUKlU z0}cu)cVJjFCs#GNbE+%z*W= zGmLxy^^!jabMyp0$x}X9hRgO`?h{}g%A*e$b%&?q%sMX1qJ8EMgfBcJjI?t*3rxYR zlX5MTw3CNdX1Yw@vAH(RTHJ^g^C+%sdB%Dm8;llcm%4t*VZvmrGR70VCchU@;!83& z`@w<5f%!gOZI-RTe8p^=SwIA(W45F6xnH(li^udLJgQxDsg%*guS#OoAj?WbT z-jEY_JtkQ^2A=qF+9(9^T>yIP?uSz#zVc$JwxY2Dw?{|XQl9)W0Bn*KWAGY{PBG6o22xaag^oNvfMl>VHkUl)q2 zlhyX*pX{{{9=G>E|cnV>z@%X4VcU$-fd1IuM4 zuUj(mJAZGxeW11j={w>h7PZmD%gS&hY;;g(IM?$OHxA^ zujYA^WFsPwN`BGKeOQK3{s@u1+%oAL^aqyfK*|0je&kQt_ABvuqMxnkV_P4K6b;Ef z&<0=Kn*Et}Qa;85j}2ub~s4LBx|t2(&J_UIbG zziHE@(@x)rVat-;X0fP&e}zja4zE14xu%P$9mf}kmiPeQA06G(Rpv9%&`%g|sD0ND z`%%RwXJ^Mc2-8P?0-xs_%4T5MX3C5YP%+5?{Izy;zTNl{xx=GF=dZo>X8ZgXzR>=a zzw@`+SHAj7?U#P#SKBXq>C5enw?E%*-Fdma_p^_5$k=U*qf?zxF4}WlE%Px34|rbL zzakylaI`M*u8Ng*RTs8bbg=n>?5nk0iYFVfDRw$8yKN&dpG4q?B>6G4gTq66oMb+T zM3d*J(r0piD@BRlMuM)s#=EfZu<_2TFZ&}I4wHPGzz@s)$shhvJ3TtKU5w|>?vCPZ z&A(NHo^{U;#iiQLna`5zy7Vw^H4lonVy%B29;4L93l3}8w06<{=H^q!4;01cn5r<^=xD(Y4-xLg$Ql}Y50Cni1j1%8#b+9H3{4cJD}j_c59 z6Y^3ABFj08X|J=;F1R`qa6nm(G0U>G1=N$$C*zSRbs3{1VAPFQvbm?8_Q?l=@blHq z#0nQTp$$0XyT3&!JGcW|n+3Mzv-O4M2Or(6_QMJ90y2lLwsVlw1-};j1M>s1kwjku zv;NwU(0#VDB|G+I@ri{JQq`^;xP)2?0HZx0_m(8l|u9iASwX9v&QvqN6b ze%#)E>+|jE&AaX8w?5lmed}$Blx_~Q8VkOWvJgEF%wpi;VxztM>My82gKd~1|0Ew{ zc=qND;7L0>90u0g-Irgof9%696F-k*0gk#qDv&=HC&%sMdmpvqLmkw-5%VojKDMc^ z_1XmW;oW!nWnUdO1o#hrK7P1z<%*N_(TJ_GKYo2-P9H_jw?}NR%HWX;enaE9tFgWD z>b`jz50la>zLBQ(2`DGAMq0&1yLt0wd-Uk2Jvpu4?eVyZ#%EUcR9%r=@`=__^t%so zezjCA1GFVJX-i)$Lov;obf&0WXzua*K9}#^ zyK*P9Xd?N68cjC^=TH7$>+OTJO$AVEPt`%+0a5Fj%3SR++|>P(?ud}yYDb3>HTqc-4A8c#m!09W5Mp*H)0zqv}Kt#}2l7ypFo0KNIT zJ|>VcGH*?DrR?u8^s{IHc|eB0@hJx_<`8+|^CM}#%ZU8$OWZX-fJgroLv8{mKA|ec zV{7rbxdU8)^~7f6SYF8nPhBNVWo?+9c8q)9eQ;2hZlL^zKKeE5#UFK-hEn0{PGPl| z?t<{M(3znAPi#8>XN zV@H&qQ9rKKFQo324fj9#p#9`WKWIPw!H?SazWd$wy?_4g_T7K}C+#Oc{84-NC-1fg z_a3(GP4Q||;#(h#*VSv+{2q?|t5@4K<@Y}Nu-*UoVcXr>_FaZeb@S=N@7KDHN%94m z?NTbg8RO(DbybP)Bz}eU_MJQBH|?_PI=G$cZ~;V4#YfG3{O$Fn&jG%2>vyZj-n#oQ zI<;TDc0=}Ux3lAu_VmHy_U;dVpz?9Ms(wI2T-8ID_}(X-TzTdhz=o$8l>1KfBWY-o3T!04!C@e zm@*4bT_vowr$50}5~8D#nhegFAzcaLrZfObkq2Lfu4DB*R&ZhwjW_ILgM+lcp@UvZ{<+zJ%a$vb0x|A!Q<*=B5=a#QG z5bIWbxVlAFQec$3+y+puI~`Ro4IGe!NPH^iYQ8qI9y8(_<*L$U*I{MUL)oO zVAog%YD+3Sw3*kDImzn~yqA;?kvY-HXC5yl=tmjJ@imDy`=rwWm`0~^enJ7 z9vL@)VzpI&4Bkk(e~BiwbBBX(`r&KqmRJ?dhrIQ6qrG(fm)o`7FSWN{`^#-(cilfk zc5=*{LhFa&EZR1ge#R?y7rww(xJt-&{9bH|P6r(6J33yp$M+xVfbl_l_V}^CWpXCF zFP>_%<@t*zPuk+~lXiA+)b70ein?)mEIDN7;Klm<)|;PgU;5IQ{5o~+H1I6J?#@-m zvHj#VUZA?aMIjt=NLj-pAE10y2ONNBZ5Fx`ul~(6BScnYa4HnHrHjVd`F^BDnMQQJ$|t_ zwmSZx>0?PsU!n0wvh3Z0%%RZL$J6zY_=qO~-~igWljJcM^k+guq{&9Uss>JLe8b$I znTcrMixCs@wEgDpMZ5EBqTi4b`XjlOmm(wVmRO!*wOiK|Oe8V8y$e&m@&~K!yU$kJ z6CFwpHK}+L;4uR!MG7&Wt~h0}2#_PjpJnzo33sB?43V#L{SQJBkRZX?6hUE1U?{UV z@C_kKqcc$h1P3s9Zc_s9D;_)NGF^ZTMMKi(9ulFYLW+j2Pog3_xa7#5Y;!itfh=wF z6>=qbYat~VLNm((9DqF_N3i~m8{0-Q%AI|N7WnvP(3cq>^tobm|{|=Y1m3vF!4f35$R8QAO#Td0p3k+Wg9A z<{&tPnHH#t8vVIj1Yk#99kR4>RWkdj@=8TB%PAX*&g0wXkniAh-L_XvJUBO9-K$Q& z_*++^^fQvu4`vK^1(-AVOYOqv>Jyxkm{w(y=bkXwA+LCy@%u$=CpPI%w}*DhfvK-b zJ}ijW@h{o{xZF8WtBLb0f$i@ykPlFIKV$ zjjy#YfAj0@i@*5g_VwTR_4dVI`bzuaH^0$d`|K~Y{p+{=*3svDyM(<|U8)0(xb$Hr?`fUhKyFJCfd~+E33}^BEUJbnsp%s5ye%679Pk_LpK@H0ypqdR?)`yi&h}tGBqHR0ix&h!hgo`E;x9`NVff~*k} zopR&_KCKPeV;MP#1t7Xdzei5h>94Dk+>syfftXOk2D zcyVkz{sKxrrHo_ABvpNm^8ol72Ql(cET=>56?gBv+}3xteCwRA{_?d;o{PA5?_Rri z|3Uls-u=3(amoXR+fXR48#_-=+6NzeV0wITLx&sghHP*1&6)z+0X_UG zQwMB2e(T9Gs|0>+jSUvPEB*@eQU550_T}~DlAJCZzme*Bn?C2{2cB)|ueons2H4FYTmP)>Y=Zfu7K-}nb*wQf*{4j$7>XZjaD z)-C5sD}4J%zQae*n~p-=Vx0{h7l#u$ij&laPjOrqNic09@Us}$E9rIuXy;`-Fo+cz z2lVob0AdL^(usO)<;)+!&=1=td^(zx0R?CyrB0qQv_No^bA%5K5V<2xhduRs)&qnt z$vFOWn&3vBW&WzupLx(GS>*jTEh+dw=u1Y`KaB<*BS1IOF4qC-kvZxJT;`eSiiSG$ z{vCZrRPZ4T=_Ek&LX8M|{;`Gz<0YquIVX z6lqZy7K_QI?&r%r0Ucjv<1e{oGqRB-8rjUt<%(|9eYw9mL`5&00Qk@(XQLV+^B#OY zE+dUF%Ak$hSF*}U3$9G1li}fZvxkZGO)_nd`s?;y&Spe8@&Mi$Tx?No+& zs6!e&libLV|M3eqQ@Bb5Xv0;DMg7w3nXd9#zyrh_SGoKgd;nX{e7L^+u~Nz}0;v%r zecZDx8|$04dwXNs?io9A8FtpbfJ?z_zj`jXE=oLP7{6rZDVuQ@0Xx|=z<>IK%UPq zsEpp$f zcDHvVZMB{B_gqxJn#aN8qdGYUwf+5Twgh5#ls_NQQ zA8D&hyK9&5#`gLMp(*<62OkmC!(RY-=?qw&>=^r<;00!xg{K~#xeXteuZmT8Xq4k) z9K>ep&ny)$r3+pbmRUY9>IlT1;ED$S7j5Za;ZV+k2p!|jKNZ;w!aL>W5{+2uBSCys z6BN1FSff?qz_V$)-sedAOkQJEcr-gk3`i-N6^k8hT;+Z-mFPVe1kmQt0u&xb@o*=j zvC;LuQR+fjwD2*&-!<_kwyTE}x-GrTV#t^vf>%?+Xt^wiBJpP7kE8I34l784N4mON z<$R!_MPL8uFaD&-&HB?vf|}*ceuKLB@lDkV{PjrT!K`tMS^w%)_V`emI7uK&|LS93 zVhpKh>vDWzV9X?+|LCDM<$X;z76mUA<3es_WquC_D(XPgZ5QBkY?TC+ciZX39l2>so99J) z^!QyTm*d~~;1Yl}n4czm<{KYx7MesH{KhwGa660o|l*`A-Bwj+r+ly2^p0DNqM z&k2m0d(uOj>IUJkKWBlui%~Cz^PLRMPiWA=$8q@CGBmG=ht1tR-^^I&aHw&(_0pYo z^Y$&pz?F9M=8bms`t|nt&wq|JfleW-@=TuUXc@r|+0jaR_~2Q)|NgPLh_h*$x5vq6uUX9s%5F zDAr~!Qa)x;rN*knxh-_qK+IG3oKSB9p&9K4++P8Qejog)D33j^G9F&| zw1HHYCLfkf>idc|P9OGbY(31zYuVPK#shEQxeXi};K$0?LrzMJ#0P<~KR{%duJ@a- ze%JFXqr73B_i;_Ev)}^upEt}J7a;s35mYXSe2+<&gB?J|1Mro$A5!Ik)2wyH01ay$ zx`+YuxzFh9@h&~c7uNo!yzCR`NIdSUUB(HTBVJG`{dYg}R{PR7f4yCO`_1+a9N@zb)W+nx9T!(E~N{Nn^38?~Rd&GzhcwLLvtZF{@FBI>u=#@6d?V_O^BjhEYr z#)0Duau&RM1z$){#HIiEE%OK9tF8Mwfbi}L#=}085gah`gN=trd;_Kqe(>3MqU8$T zHutgMn?Znk1+5I9E-;X*HIm#(c)n!KFW0Z0U9?@5H+OUZg&W(teRaEIw%2&?p$sg~ zX>7E^!wA7JjiB7x2WZ=c-r$+AqVB(*?FyJox98S6*q?Zry0tZ{KV; zU%uOJ-o5R^#kE^E+m&lq+pZ2695TpxIURRD_&ASW-sK_U9lmkJ$6Gp>?CtEfzx>z# z8b4sS`7Mm%)Jc#qefXvzwvo76xXEPDsq5_YxV@>y*KVD){V%AUr&j5Ml3hU=P{sU| zgX>_t1}ii(lN*FrpuTsjedk9f?ZcC^cF=>7`Mu!a!c~WO{FzkeK{wy#u^l8K${u(X zD5jGm$py8bz$#on2-U673aU=#GGBv&Kk_D^t)~$pV@?`$0(uDAK($xdfu)Lbfj4q5 zYK2SCkHKGbm-PdA$cQ#*5$Qe)k^?2DSJG)gctE2pcr!V6~mbp+xwxU>&fAqR>sifo(Jqt z|C<3BqYdivhN1u(Pnso?b-1M%hQ`i?f)EA6lU?SEIB{u}MBw|`9=EYD}`%P-g4@zH*J{grRF zYgfOdP5jmN{P3Z_M!T_^I}-GBkT395wS4(e{lvFCSQ@%g&&PFK;I4Z!CpP)rn=Rf# z>apNi9pF^3^jS7~E0(qu8~o7yH622}qyza^`0|I% zR0pw_K`Lv^>-nRTgzrWGCu?o}V!NF^-fI7kpFC-grHA`L&|({JRXR97YscVNFH{de zJ?g`ayE=4mCqst`4jNbX_S6mgH%Ijr)wV|BX#6kx^3qS)c#_{ou z-`ODkjHiQ=Tg42rBKk?5PlA@U?%M+RNB;nkxoih@U|El4Xsjl= zUc{@SDLvEUFCR{2hgEjH!q+0)10eVTkl_VMqEhC{i*nsvL8hz_KKe}ChlGPj@kzES z#V23O9`jL8fc2~A^q&QQtD*GYc;XL!B_4v~$L^ryjzHNjt{&Iu4lgjqF<^Tq9~97* zg9&BRba36*VHNv)l;h1(dWj8hifRiT>xbV{0&|zct!3sOeP&4D zojuc+wF1=*!Z~xA@5cItZ6bk>#FeXM%a)8IHXbc*3b!x9?Y6V4oM&~gX|4YTj8ffg zt+C_z3&w`K6C4QIsSX{Y=RF}?n@@#$s(!MO@ofyVxIY!Q@(~-7Ha`4za{jh#x#ipU z*!BGASYxMyj5cz9%Zo9>&*$6?(4j|$Xb;$JJ2!5%H{N)oJ$drXSKW?3^>;&8zrJm+ z>i*TewkeyBWG^3e@NJT{v*Y&Q{@?RAGRq*w2<93)ehjVggLsOgo!#GPw_knL8{3ly zd;_J%5P$GSRyH(#6Noz!yhMy=5s<@G_v2@O)EB-+uOSyll84lKf}SZC_M9UE??od!$bK;reD8$&9VQ3@Bf*$%9;n;IuNkG zT0Zkb`Kn^|y2fr#{e9!&r2QLrHrlWJw>UtWOoT;mh<|W0<1Ia`ju%g5_rX>>`{{oB zZ~wu^?ctHejJ;=_6?vmQTs?13G>)gl&C0si90es)V6i}tx77I*#Lp+mFJJt|*V~2; zrR!^3_6>V6)4}82=P7@=^R%JZ;Kl%cV@$10Wxm1Xk7vZQy|HC~v3!(L^$W!~iaG-i zBLl{Qpub9d&8PX63TuYQJa`kB82s!s7dzgA>$>tW;fx4E==O<%ceU-(c>#PiX=v^R zLCRxZ<E4U5`YUo;JV1XIK(%T+*wEJkx6Zq5`A^MbcE<5pLn}G7Qo0&9$2o2 zcLElg-f!|+#GwI4J^C)&vn&^gZAnlR?~7q<@sJKON4|eiAEFO{HuT^ajX=VNbV-IL zEB+2{Y7@R>(f45kQJ!Rd$n1L27k*-o#h1g+2#HB;!_H05tYp#XY24_sjYMpD@~Iqs zjy0wFNTTQgWG?=HjQwelrdf91huzG4m)w`ytGc?Y*Xil$>De#?3@|ew1Ofv<1c3oe zil%LOAxK(bQItq2g0_QU;e#BGP}pDWaQKr#vMf=uDN7;|CP;!HATtCQgBc8f8O#i3 zdhhD$uIgH{a?dy4%=G{FKleQE&9|xno~oO7JNIn&+~v8?^7`slatc4N7>`j$po~H7 z$)G~k5dhOx+Q;9OcDJxroP;({J+}>fTT%h}SoFJgDkI&|tTD2ren1;%S_C8)W>uLc zx!8cGZftZ&RK8WFHYT)mv8jPJ4NPbTK7B&keATlN8zE$_>VxYy6o8~zCtK{Y~_O?$X*c|w~8r-7U z+cjV8kORpNN7J?jJ`}-1J9Dz;MIL&zL2q8gB^-_pytzWZ!MrwVs31Gh=iqWHXnEzj zcnoAmEq0o$k6$G8h0V>}`Q_Dx*M)1L9h&Z&O@mi|lH5l?sqOn7-7 zkxcx4n|+p#%y|PT8|A!$y`q6-19)ZI)5dYH-2dQV+0*`oDn6ZWo5?_Qx%tveeMR|1 zhNuIgPbT#loL4#e@ODh%q~6({4G3ifKPaqL*&ds*iOEg3zpbM2HQRQIjjTXLn1rhr zP76?74YljLBfpqC64S#VG}#Asa zDA0mWZ?wzKNqZWYV3{miqqeb)3(q>^pgU#g4`6J1D}N-fc73$Rh)kNuEwGUz;XFY5 z>>MVLEQ@@Ur)*tXj+gZ*XU2aL(59OlNqeD=9~N{ zF?x|s`k9`F#0L@=pgilCP%)*=$*AH)q~-}(pYf@j+%W^%Js2VLxi|sl2_41s6EsYR za!w@>rw*Rfp}LL-RZhBq+t>aFk|ym~oZCE*xCF{H%(}Kf^raQ4qcZT)w)9a3=u<{W zdgfO*^n<~?Cd1&>PQrvU;EuPjk*`(Q1vKvdOGAqzD@(&cx%uW>enpp)h#OBn<++=m z`cGNV583eZ@ON$*sEj_ES@vdz=TG?MRu(tfgU|2AaFWeKpuQEVIRSlG;CN*hI}heA zl`EH?l)kbAW6dcxZCEAz)8AMTE`2GX!Vi$G+FAznkih3!zay~e;q4`KfVY3FPqEi5 z+2CpE3trE@Azlit{{ScIs|AhGOLM)l>Nk_*1Mo&zVnPc|o;3=OI^LJh3^%l9ViUDj zxAta-f@r(0oz1aQ+P3&Ww*jEgPXN7&ey ze)DhdjH@h)eY(~yR@EjTn;=Y3OosF;Yr>&!p}(vh=Tq9F#)CJH1J7S1szM(M&`w(t zgOB3<mD4eUvJGD*3;lF%UC zhKdleAo=(xO0*J(xNV<+&2iYQpR})v3tIdSE00YXc8lF8{9}>|hX}qg*`Ez(A?Y(o z8r&{2Sr@b#-U>OWvwXS@bwJw=X!Gg3lx3iuX~;%6HSr@s5PYINWvHHSqyz+AAZaJC z+329QH4dcEOM!gqK;E(HTbix>#O~W#2V@>P)Ak^rX`oFjnfvZAAEXw-&V%U9oz!sNR4* zSWFu|0iVDWEi5YPFV2>WS1$T@Sh$7K<8zf`>=fT8IXN18^TRL4@~|lj?)^J=%KJCp zE!+GEoHh%?#d!VFI``(v!pd@a?!}K-mv?X7iW3^^Ao(RX8=>&k@PUbr(v6Ey*(}G* zk$BI|G513Q;kEhTmV_njseQ}t_t7UdgAG)ygdwl;Xi?2%d~kqIX*0_)Sc3Qvd0XYWa26# zx=4!5r+nP{^nuBEMjOb}a(JT6mvrYg7bj4xpCj1-AA?t`GtibLO#t};>aZ=(%#cR^ zjM0HQAnl#@$ujJLp2*IxL36*8&w}vHBcFtTWC7|f1il1_aL2d0rmm9i9jT*w`e(E+X0hQ1^8)4&S_5K z(XkVn&?G%+C7SIT*=M+m~F$!!EJ33lYG}kQ0LSRvb=*SeknuisDR5g z(FMReV#=MGiW4#q9qc1%owp5ZYY-c(B_OhrnL~Y<3D7YaQ6mZ0glM$5qM;Z%!4$rXhF858qt8 zB%{#N-mU#TAuwryU(3$w1Aetb^}!YrC-_bLGJO;oPWbRa#cB1jzD*kzWpo*{FjSlt zEL#n1c(9}SQpeSo(jMc==K>$HM4I->bb0zF(wca&nNr~%VNWJNPmWAh_ya~%x&C)_ zsi&7)pJRu|&#&WS=#IShHOi4eU>&L)N(2r`=BDZg*vjDuhMf7tgw89U$Vr<1(@Yn1 z{j7>`1#}hk(SyLV4=lo5f%~?E79H~Fbui`|9Y;!XD#E5Q{RX?TFe@*H9M!WBaC&fB z=KZ5}y@f&fg|GaD^5=i?E9J-j%#W8FPd(>nAW-4f+wYb;@7^w3I|q`yuXSWWbb4iU zpv}OXHY)NB9#BMfUe8^aUy{t|C*fs3elO;epZsL`$VWa>?%ur@rvqkF)dz>A+PxKEkGh4G1_qt3`kCu{LP&b`8&r;KSBQv>ra(wjI~k z*Nu}SFMK9)^vggZEl9b=^YsI6<2VtM0+KaoLD$MQaLNuucA7h;uXx3qwOTFJFFe-? zAOFIxk63G4?RZ1msONM4T&($o&=o1Any8%lVmJ@&=r7vL_XplcWS-ckB#N;oX~5%8 z_1Fx1lIHj6@B!$~o$vL28C${E$BHWC!6tslh9~zKP(x|7q6K>(`A6EVT`T+L+NWkq zZ-aZ)Y6mCDjDMIG@>`J!7|#mS0)cUBKI*daxb)v!E8o6(SZ+#=QNTeW?zKv@JvV+So6pXPd|4CU~-HbTlqBB#S@c4Ji#E`Xs2TjY3CLk@>k2URuo6cFs?%L!bVx z_EJ9eHht_eC7S(11Ktm*Y0#%HIOtz)D!Tw{{UIIvgCJ4%ahNyC(+`p@N5o`W+cOa& zo8Kg>5PheKqyqj3F1`{ESL62+pe}Vy-Wi8aOlSjb9g6|~e9Kbh)FEAN2s}?v_82-q zmcgHtL#2~JjXNBV>!byLa9lf~#a~ZywOs;oBHZLn4`-uXDeTAv5uZP&j>RQyM>y34 z4GYqk+ct)PeS;QJ*XOETHikbJFLblYL$N@~jBAV6f$=^!l74AV>9U zYEVi1IPxFQTq_H!FP7!=m&?aK^NKbePnTm&wA(woi z%$L>W>t%QQZ7nS!YtDlnP0kZfd>OB0!x8q5PN0f~+Wx9C>`9D$c_WB%j11_%FkC33 zQ9QrHkHIoD*Vk6d{d@Op8;Ei6ItNcxt}4H-&DEC{`{ly=gR=4r8wUIj-V;AGC=I=s z3uJfs@A2Vm+5YaJ+}t}UzxI%gqUchsjmUx8^T~Fco9B((9(t7~Zoxd`HB|oV7aNpk zEJQ!d`mLCi^$q*6?WcA}@;lxb@))O$fKC2fwGqH=vUt{qvD>#z%~g5)!#s#E6{hGkw>WFcT@j~r1Q zMnFmLW-FkK$0O;aCFpcgOt8{w8-|;d0ckiO+i|tQ)iwMR4{&`A=3VQuUDDzxjO(xD zmGwYO3P3ouL#+lcXvXBzLeikVr8f;(H^D*YiOrI(cc=|+CyV(9pH833v#qz#HB5M& zm9q`Nq>lcie4=CGF%b}h8~s3=s>i)9E|31||0V)CObbnbkgiPyGgdyur*;AFkq zD^3|RPF&5IVJqd6A8Gnc5~N%DL;#wWrP>{cvf!0v=_>D%h2FM9&85yh>5-f{PEA8GG`JN6q)o6D zF`E(7!rnP&pYjX|w*?m5Cc$>8u{YZlJnjYU`jQa0GdYPuwlFI5Cp3up)H@I0@*piI zLu^XcHrC6+(xPug@QoBZtsqYYBF)eDpOp3Uv*pr_mFm+InGD#a+Qv4rZVK`p9^&14 za8T~<$?l?$zx&tlRmZKEv`O*YKf8=}><0OLGhz$=Nvt3Jjav_p^M;Ym))!`^j zIe7v=IW{Fu|0S&rqaO?jBn52E>%cRCX^@# zFCp8coq!gP^29M9gYw)CWpN=e2_+5VDNh^b5zBAgWD~|@r3WNk-#%0RjGUTV9D`Ts z!pCiuhCe=?v=Xc{r#8ZA7Y#TBujn88K!4Oec-Y>R7I?HL?=s^`2jryh+?SNAZ4HU* zpgc54w-YWf!!tCBVT361mlxLrRrmQTj`dc7xzx{UEdAL5a zkqsmlub}c;B%g7Q+brTGKBGNdkSgYRk^P1oqy6|;84o4$%m@o4 zCq39iV%R3g=glj6Y5|_*N7)#E+N_MU-uVGr)j<#2%?*Ze;!%Bw82-@lJgPD_0F;+< z;5SufX5uynI6PW_k0g+?3=ZNW$D%LMkC5ezRcr!%_qF_D%v&fL`-cbo0N!!g+1@i> zzLCQ18Kx=spZtUmcJX}?q+*E(StP60wVr7A%Td|yY4gb(fUi0j(dp(|4Wbe7&GB4W z8myG<(XiSbiI^HYe z!`br8;YqppB~{Z9`ow_Jk~PXm*QC=5^jnpuiw&J|RS41JUb*w#QvUEzMwEaYtDX3= zhyNNtYV1j>GU0( zd0Y(x^3!b+4<0a%E1*!`atNP#+5=8HK1(R+WXO$VPOg-NB&1#ATnm;#0B%fJ6L9-l z9|Kusw&U44x2@omio06~L^ZT+fNYlwn(<8B#a+E=@gKUiOf586;>s`_kG{n#K-FK< zse}Z)!iM8xT>ep=NB6`NUQh^dNhJXvaQu(P0_SVsBTZfatKP`mUCBUOeQch>2^&P8 z;L{;{^uODwUfv#)jq=HZ@<-Bc6M7*d<2JA8sGp9rUFI`nei}knBn*HTd?@dH!hfpV z;6o?r5*sV!ut=i|{--No+>#BR;ux3Wqz*Vy!EyhE z^7O|)RX*|Ym&(;^*DTlF5AK$?-@H|B-F&Z%4p@NeEeY|?xdpJBDNVWa3M}e=4oC|h zvL5X3`K08K-(k7GSvDU$EW0~sfgziE(<{hU( zCupW_&MS$Xvkb8P$@AZG)Um5P>n6Cgq3?LxhPj3GSQ{rbwoFU&^ZsZTxW|Y4zTB8m z-A3=Ud`fot+)A&keNvmJq3l!rJ?0T&d<^E~!}kI-hkej1dvEs2Z)$`1J-&83*Y|}F z9e!9wFCLUL2H=7HvQNxXIPTBXgAM*zS2--kq;q#~$5YH+it-cfbPmO6$SxB`73`D( zh;wyfX=@n(rueBNA_mX6HEo0h@`JH?gP z<9L=GJu*hyR?dzdq4H|$0ZAJ5WGGh%>b0Fh;zGH-p?5L0bts|E3V3ElYSq1guZd94V7b`WB^>*ftPgH>x=*^nX=Em_ z@TwEN@G`eigRRZK+KH14Uh&=C;Wo~=?2q@#gPoJIwbv^bF8)CIfuH=Jl>WxYWgFfT z;YwZYZK%)%+W8PAubTt5DQlNxWwcHCsUrZ`2^rfw>jk(8)Ny+V zQLLNi?ii1JH-ne}Up7JL%kSnKju zL!@;llb@#c~C1lS%M;J^Id4sFQe6NI$${+>3P;zPY{bH3mEWpPuXIza_WNEK|1 zqWZ7=Q0bm%4Ji;)ZL-Se`U~aUkKphavKj*$MKee`flf|G)`32x%=sF}AAp3M_~A*p z^R?6RwS5Mb96(M%2SN{S1cqHOl>BNJQyT`8E?5|#BVg!;GOUVxC8E5Yt)^*dCp5|G zpmO}6Sb;JD?cKHln=Xdo*#`+jRx3-c@`JAB7Er@NRLMH+9VVfI<)}a!I>giE2`x_Y z2B7O5r{z|xG+IYn2B5K2bCz}sl!tRy8ESa~<&}^DoWyP0b@BYYY6(@5V$Fjiy5PCOCms=G!|mB>4}JT#!0@J25%xGaZX(A#(Sa@Vd6_nE@V9b z>*U!&>de@TtN6%swTvGT=sVLA59nCtDLO*1JdE9VFg9p+P_MpSgaY_8V^lohLAiP9 zFZH%5>6!;Dr}(#VhL{M!4@kX`6);W%rcB$&c68jX>et#=1rvQJuk?*eQEiiaz4+C! zlQOjZ=&O0gm~+)GP(qKYFJofXpU;OK@;P~i4}mMZ;1zj*$sOqU`ENk@3ihHDI`oCO z3DxNS?GG)gvn$dgZr3n;VZ%em+5Z{^zKWGs*j60nAC9&i2YFu0W$w|WGk2BI)##pC`T&Xot7%jLh@ z-7U9I4}z!s_*k3e`?C9i1fd^i>W9>z7;9CG6X=C6N*AkSE7^DW$BEN}`}fNI5AOQ3 zj9)({Y(BWJ@(2EY3=c2w?Ch2g?%Y#;zwB)9l)XKL-JP<#E!!(V-_H!~#~VhZs{{d_ zG#j9SV@b@r&Io@zBZukL_pZTLsA!Ma%O@gJKskMR1}LlT02^VEH*(}yDvyf}oS6iO zF)1h5s3t6PX@^V)0J-x8I$adElSS48neOO$lFe|ozO_|e6>%lvO@z%FlLl4bC$9`= zfeC85EFjBM{ubaB{GvW_aw5-rZV`tb{JJLjItpC|O!N5@<(ZNtP~jgsCkBF=VUKlpLq(rz-vBLUw?83@P+ek;R-XH2vS;Is43bZ!oO zMP6wFwM$$#-98uc34Y|WKGVo$+AZBG7eHA{|8X=P0okvuZ)51Re$0JfKZJIBiY$Ar13J2uX95#y zmbG;(?Rb-yc$JMcF$RyxJmWT+v?*s9ep=|M52bhkteL~UzdaeAyEZ}4-78aI?_ALP@IgM&S{IXa35blLo(H}WtC zL6>rD$7$2N)~X8|^JTQer}o2+69`+n^P)p)UTK2tG2R@PJ99_n&6Azb85#NK6E(F^ z89cLJ0rOYDe}MEOfWKK!z`jR3b0gNa2|h?aKwoJdO5WSsE87nrYO}GUK4e3(<&Vof zc<{gv>^^+BS>Ac`t#b3Nx66C)y;tsN6L$0F&Cn(doiT$9#wjmpf0kDueq~RL$72?F z6{wBl1}YiHp5UPaj)JRdy`fDfFrd{DPh48^qB7{StDAIUc3{Q;u@|bkNRh|!vaU6L z0#4cLo@sbI4zeD|bjT4hLikr9?<%4(gUJxBVYs2BABim!|f^$;&=ln#zxYikGa~;Pqq6E8G&U|%*BXrd6BPm zt$u9`kakWu+@esy#8<0Mtv=w|hlLj##z`IU2rvH)X!%Dv=(pt=w>(L4Bu>mmBrjsX zY1?2MWVd}JJDUM;T3Rjm^sd%V`f0MU0kW^rnQ@XlGtP8N!}zB>6M=169HTtzdFv%~ zujQhh^bfSCFI`?K7p^{4o_qQk_aRrb@7=mn4mfqzW+5(Ggl^Siobk2N-}#;2DZl!w zziOG02T0j64Zq9-Sx<mf+H zdFoM2-X~$T&JUK#I@Vowv2CPK@=H9^P#Mt1=-%SCv4hfK@3>WkUTl7V+<0&jLKuw> zwAREX$(u>(%8vvhd)j01@T8nOw_N6y!Ba6~pYi260Qx}indAk?rGD+r&6h1UJ*tCu zuHi<(28xk0b!-872x_S|(wRBD;$c%Bc;=+dcA@V@m)O9jSn?CH3_KnZCd6q+_$Ky2 zf6_dt%JAO1Qkj zA%GuF6_9T+`1F9#K;q{_*)4=BPa33SdJ72rpkvu2Q{+Q_f}vhz0!go{tI)L-ZeCq# z3U!=302ZKt(8X7|ogAcT1FeZ{6S*P{q+`oMovcunbY0#XK@~c$=EOi3Qx;@{RxJnG zG>{uPqH5wA!w;@?k!I4AB%bu8oAnT0(z8sIp-<}WDy1n>IqjjthKl}T(;H<$1EAd* z8KI^2Y(~7%3vms+8AE#l<=HN2WH4`RO`SNm&p03nkXKF#)}sP#+d822-;P(TD25gv z*_rH;CVi}tAG%qdkco)|+xCklPi!pHr+f)e%>ccmnPq1tb^>XYlka58Hi;)`oLA`Z zrtnE+=x`k3gduT>Gh`m%_J(b)bUV(7)4mz<_6|M*ZDQ+%4>~5#7^823Ug!b6*i=Ov zbTq{Z2OYST)OQ}8^DRH$c2R&28zo}e;j72vcouz?F92^qkiUPU0bkU- zJu~-WS-$xB^3?M$l;>Y~R<1o;{_q>$F5mtA*UG)yJLT@356bvZ4Mm#}Z=)fg77i^Q zJY(YryrEq~Lh3kyc1}Ro&>b*I>4)rW7N7)%M!XSwz8C%qKJci_XCeD8@@>CDkCG0{uvT zc2LV5a1`|CA>E^L?#lV{+M#Uc%_$o`=9JlTsL6*IpCmR^UBVaDKMJ0s2;kTGGI*4- zu&_`D^L&zj!MB{2mKMuUo2X@8JFXjcz6aBjF7xw)vbZ!~`t$uVH;BW7-kf?vJX*WY z&1o)C9rR}XgGsKMOlBAw10V>t<#^CV${0ug$L?5g+{EDKYMgvrUpoV^Yy!#?CkIsmGzcwD<}*%P#Cz;#>oc8z4U(@lt`i=320P$c z+1yS>xB;tC{f{c%YD1SYp%6qp+YX}64n4)_t;+b(u$ zz;WQFUya9OFI+rdz*{8?!+F<1m->)F`qXamrlYn`NWV;5b0wX2PUz~Ufbz%HK|gc~ zAk9MG+B{_-jST40rU`6P)Yb9uD!9ld8!nDU{!Yl@spju#_T(KNmCI!!c<0`JdGnZ$ zv<;LG-}2pyy77`Aj&c(XAR8MH?CCPuowBUYM;rXyn$|%cIL%Me=cyCF6~wbH{y>{H zD*$~p-`7TDw(r9LXz=YD+JNJSa)m>kKWxVW1k=2NwOd$*Pz3P3B`t1#Lq-FIMRsRD zcCzVD3FumNFgV@hJ4o`iJ$f3Cj`RmgcpW$fx{rG5!$tt7Gw^mDqx>8 zyR&T&JT@jVsK9gRM2h9suY*3R+e%g|$=4^Qswb+5JNxXb=9Kb5oDNV<-bk7SEiRpVGbAarX1+{cxtU8ql+ zu(5DjlQ*xLuAblUq6WDCRNl(c$zFqb$?mB?d99zS6Ca6)j+6t`Wk|@n7N}48vOfHr z?WTOL%9H>ua*eg|0J4r-66hBvCC8SFANpGvNLMYca|fnxM}QM8 zj5;etNb~SB5Wauv&BvL35kF4TJWh4tH}WqHtARh$PM`C~LLsOInFxtaITrH+I-gxz zUj$^=yJ9w{&75CFGYweN5h8)Ds{J~<*$5`DKT0!xc+G~^#;;}Ixy^Bze^Cy|4wfgX zw8P6ug2^)h;{X`J4knFkSkZA@2M=|b&)Y8v=-5ISOE!=a*u)z&@M){qo#^t~kg{6t zlbZ_BvHDZNa#Xn@Psfr*p9s8b4YcwBXZTfs7UcmG=SfI@0cV}7h3R|%_|ayXFXety z#X;?x(1C0P*ajqP9>4+ZnD(5?UwxADro(2toHQnKJjNE8^&kjaa+I9Gc_HEOXS5Z4 z+P1Px()B7rCGg|QC*=!y!?zN6vyQ7$UYW&mj4SfKQYEjr(@PM32hF$w$>$A{M~AOK zeYT^|DSI4bdD@4(Z@>9;LOzXq)=7;z^1f#~aa*@duS%Yl0n*r)@#Dct{lrJOJqEga z%(kO0HU*TCC-y6s_I>mYdAaJsl{s|{{Ge$WtG)z)eELue)aSySxWq}vGO8_h&&8c! zs5VMmAo~EIJY&kEE_6&h`~z)sOlKSPA^?;n4S=nR`$O{^i-{+EY*XbzPh{?{_%*+< zxS=QI1Rm5JkB@c2(eo?>BId|+2a<>-eI|N>1usYmxpV`tJ)^}CKj}KP!+q_wv1~9`Xu}3luy|A zbp_we5s!zI<80qYf9HBbnHP8Q@aYsAQuN|tM6vk^hi|4JlV3~T-L>ssfBp6H=9_QE zX_Ul(>J`bUl8UYX+B^^85>7V zJ-8j#?~^ZOpm?A%pNjC>t2-9P3)d|NNecZ-z=qXIaTW$>h?GH_eqbJ3KwG>or&%L9lsI!^)vES_SI_DKs! zS*qBNfZNo7e9UtMY?b9LWS$9;tDs!iy_iWh>WQHRuo3Az&XeF-z;}Suahhk+%d+V@ zAZY+e$1+S^p~s-D{3O38^%jS`prc#UwjJY;4S%JTxIk*vjsv3bzn~9fooUuIKss~? zpUq85oDP_fc`(+pEulRTc$^&*D9e0q#`1PY_7m+hojkHltCz2QWs6WhWF{bI=v#5M zD0#e9Toy&3lS_q~mQ{eRQ53!$nH(#CDC!S3l0SKZkIhW_INv%+TX->HBGtxb<@}AZ zw6t8VT)b4)R@VG5;QR02DG%;!X;IXCDExfZgE)0&0&1VZ4-wFI=6Rs%4p2(33INt2;6Lk9a^9~Z9u&P{j72}Z%9v? z#k7^EwKHvyFY`cLh!TRYWA!O^258UxmFbM>n=A*|DD7)=gGBL&{2Dwuu4fg=SaEe1D@-j!97^k9sp@*#e#LVp8$BIED3F%bf-h+O|R0zMhY!$kMZO~JrVUNs5}#r+ho4_AUJGd zJRTIY>G17FaD|m%T;=W0?yIl|6Sv2cc~8dCSrDCy-|9pe{m<{AU{_91-~sM~DY0`=HNUDc5eytDW`yqTf%ErI>WV?AhuZrCjJ^f-o>=CSmn4EcQt&x@Qs z9Ba`Sb8>-~g)TYH*i>^b-Zq?Jff(CJ+#XLH@VU3|UecAYPgPFl%5e3WvT^+rW&P== z$}`VAQ|6afy{P~3AH7~~z4dO{y}wnC4&!0a_9P5|HdnazZ|Sb3+cNNVR(`E^b#1XM zugsUZp2~ZYrLT)q7C~g>Sw4l?(?j*!k;X@CP^g>XeBF!bB;c1=mMdr5T|tb>9^ag} zea9EM{9>y{v)2s!D1KJ`nCTfi0JeEeg9g3vtau(vpWcG=ex5;_Ic^mse%dm@ax{7R z8Y?gc66n`%-N1k3ukBiq?QseGjRpSxy$`H^oW`qMwx{WN+4|ZuYoek4r!Np5`#=Mp z$+zn4{G1WX{@ZKi!QOm1I+kxRj#%t9mmY{PeH*-D-tvVSqeyj};P7!Y${FMQ#6WK9 zz(J7J)n(g@*T@-!rlmQ+x8a1}AI2$2PyHj-)){VrWH};@Q90JZ#!CCP11A!~8E;j?sDWrV5Kh&CNn+1R`w>6`IhbKq##Me{Z75rd z7KW#D#M`?sl=I{k@A^EjO-M`j9I-T-;pW=NQ(-vJY?NVIf54A)Mbu^h0)OTG!@kvOH! zMY(o|fqaxrY~h$eC>B{ip<;2H@`_*MHne34IiXGCz<(m&M8LF3UM)~h*|fY2$gE44 z&I6RS?XY3mbQ%(`1x`D$M7I$Uc*3cZTl!`Klg)<$G~6!QwEU2p@soi%7VNz8biG52 z-vlA_&uM<-vn<=TW39zc2pO1L#72EWIm8tgI({|Pw}vzz zSm3z&9ja`3exY34SS=gp*UE6AuSJLr9JeWSp?b{o5BrW!PU6+#>_;!|&6ogEhU^dC zD%m)H(PNPDLfrN*Y1-sfd<(GvS9-N8X@lKq*YYJj-M+0)XmQ#!xYIPqGfsIR6ZvwZ zz~+*Vwz-Ya=VuSf%sh6MfAKD}##cv2I32WU)lvK1iD;KDL`1&XC%vA~l+1sg|!dbSh@P2f0=a>Qj?IUQjKDI|J|OvAAd zn5Uf1fKM5r37*}NK|CO8!xHO{HY0oyj|r?lGx>Eyz_Lk!lnYvvnNF4o(y*|i-kXf3 zKH5y6&C@n<3Djj-LZ(~1gf>k_&_2tOPqvAC*db3-+V(9VL#Nk=;QY%#%8+qF%Je6} z<8a!%bro4cyXok7;V_Q@(`Y6R_nRg`oS6_F9Ru6+g~yvM$qQV!SDO3V#xt$37jeqa z$_tQp854kLB)IRYytK7$wJmY>g|AjM5yVw6v_wPgJOJgZev^lGD$91QKS4M1$)imt zPFqfB%Nai%u2zsd+30S&)bS1ui-_Ax-)XwU-SaFSlh(j(x%vYqI8S)Htfs@>p-bea zAtpWQh;spnO>@G8FM2$VPL{RtSvdl7(Mgz2gUf>2wgJZDak!j9oRUoB1~6}w$=^DQ zNM?;;Wbphc+%xm6@)_8PctMx`v`M-lKhJ;c`=d_}w(gaOI}gh4{*J@p(ShTgy?v3; zMWQEQc*aq8uYa!0Xkl1cy;Lq-xMbRVCjI6c@0MeJXl;*^<=SuJm-4~app{}!UnNCf z^h{m2t#NqBvkt5W{I~|sYRG=WrE;*p>pctKcEaCzb#pK{El1-WZ9?`Gv+>C}8l9^T zHjs}9NE&T;WPeUb#d~9Qt-jJ5ko`a%X@8Nl+MIx&qDSJK^{vn~d1nk>D^JK7vgU>u zXxjo4ywE2%P{_sYOEzvB=hmDT3p~5xpZLe?#&g=(4Y~a#A8=cI0s6uu%NT6<88}gZ z-`==9n9+vuRGR=viO<4^+d$?aRQivylsWGt0NrUkV@>t86?W4c*qE zpz?0hqH#I5(d+-RScKq1Kz$79NKn?rK;l;>#t;P|Lq)Q;V|akcr}@T6S&v|4L~ z3N_m5kJTh5p?1)4pd6SsW&^IlVm$FGMZW$|`(^v&uV%(E&lyC&eeJa`3 zW&WJ8I@|+Nb;yWd^ksB7B-IW+$l&s*BM&|u0zC^XI-p?{>fLD+RLC+WNw!2dj(1)i zoSh7lF9FC&Cm!Acl&;2d6Vs~oX+$Z5lO%L9RJzG4^D!9){+YTcpqDLIWP?sZn+B+F zc?bSkS-P12h^v3*2a{UHq)E9dBJY0Svzfzss1)gg`Up zrJs^s;1r`L5HeJ|)!>N%By2y0%sp9F>DtA?vYpZZ5LSekc6e z($Mw0ZN->UY}}w}Kg$NDBYfOe5h(a@s9os@Sfs!gcKs(1a{58oL-JJ`mJgg-Z#&b( z&BBjc{{$v<0+{sdPsXF~0|y{J`@@JF*`M8*fa89vW$4VtlZP(-BWP+Di(S+J z3xIjKAC$%*LOUtCdOTfFvR5`AJ}mnO+IZ|f^n<2H$DAZ+zLiYK22~aZ|2797gF4(R zBi_Q$2IH9enL)XD@wu|Nehu@N{hgh1^X9Gc{>|Iv?(Mr}_x^U-eYoS3l^Ja!fVe#p zHUPZgLm$e}N%|}dc`GG;9hYxo>_g2mQfwA}zH`!1nR#&t) zHZDnSEx63hwqx*4`;c>q?2O&Gg|xo0?jKO&nF>BB&!^edxXfz)=XYcLmWD{3u`>)6 z_j|)K?90=SH_P7k`!rL($%pU-PxjR>7^@*l_;Lm8fJ>NW1=8N+G{ARM67U;v@TKLI zRV~5;Ur?W0Su6MN-7SlJdqez|=H|=!qto)2KdXiLvNncVXl((@jxLp;q0LC3BW$CY z@xfa8zrOK68y%X_wy*M&veTRKX~Bb;G9` z;M0Cieah$HdH8v*C!LhfQ;%8Yhr@YoG}ySTXj7;S$ifmrc{8)Hw5*NEuq-Vv377AU ztd^CvH8f!mMCUQ_`+l#4!`)q`{0YKoapwsU-yg;~RKCEG+kw;y9nRXQ0(y3aBBPzMEF;8M^g`~$Y4I|2^|-y_Y@a|GkOS~6sJ|-iqjjoPQPKnwC*v$9p2o|3hBLr` z7D#e;WzqwXGZK&21E-}A^J-$6R{~|(=Lv}y5Or;Pz&fXVj?VUT#tD{RVOp0c5C6|{ z*Adrx@AMVgK2AB<@WzBc@mKJu?`R3gPjpgV#pJOg@<%&e0khE%v~4rporJL9FowCj z@+616wLe9vifq$@aaCV4!V(UlmL<(; z$aY=Z3Y2FX>V=_`SC#?U21tB(g^LGd zeYQ`?$(@OPx~>J<=b!5^qwMkm=S&w0j&*TLvT^ za#c-S~pwJNJyH~kXQJGCRnVAHwNe%UzpMA0chQuqX?q{rUqOo=yd`99M&x6RGN29X0wuSMO$tm0m&D6{ou3O`?g~Pl(n?u zZvbWRXywl`;!FeFx;og4kHN8VqC93T#*Sk7Qt$YrEL>zGskx+~8FNcO%8@WLR-elL zGoxYIx{WN_;E0xQv*4d1L*F4|j_WiFyw8Bft2ZD5xX_k%z700V2AlS|u@aG7i)0_) zj+6Tnq78aU2I*vbR9ce@G1^Km`A2?GwEHQ4z)nPraYO(F3y)GNKb1f+-n7Fzohy4g zr{!QrJ8dc9QXvrl(;)#(C9*sSDKJnoR)Dwjw92P{9M?+2lk% z@F_b}Uqj{rIvilI2X5d4l?t?enx_CS_*b?~naLz$oQ?*N@}!r%#zcU)N~Eg*Sy zI89oV92*CxuM>Id1f={7Iw13LJ59q(xJ*C+X^Y9k;*BGl6MK*3u1C|zfV&_wb==R* zXCt7(0!+Hq$)HA-K{WjunrU+=4Q?Q(UO?W5rOo8E&1yG|2S0!(nz_)ofPW-VCyksw zrf(D|bGhvm8!%`RBVQ~N6PnI}uX@XjJR0AgY&7AU4hii`ev^Bt@Kmd<#QRH|gbTg&Wl>5YhtZf~W9FTGXU0VU)We9n~26lmJ^K1)% zpM2YL=psV23*JEXH^8PQb(+Sai|Id35HaO&dHHBHz{v@yhz>n0Us&UdqCVDAor#u@5pSq->O7 zo7Ck*vK$|?C=GlCzqm3lS%+nLd9n1heh%k(=ur6DjLa2n+{SD?4zyX~nHAB^@d21# zY`nZ+WqAb_JAZDy+J|p#?C02R!{^N%y_XcHmajx7w*e-*)#j+?L znLFu~ea)Nv`aJExvko*BiR@P(^>V%Fl22Z%t1Dpxt-oxb;w`B-+420PI;fD36CVyJ zX2SOR#~Xu;ai;K@+4vb1Vb@4=Tz^3Vs2zD5reU6Wf`t&F=u8-jAXhZP<#p%62g^JS zp@>n`jz1A7bH0TvAkI2s^5SQyF_*4Fcq17(D0eJKIotcSHeyXr6J5z8_ZsoauHGK$qA+0WDtAMrJ#Db&PPD&;gj14S1YflugT$Wtq=7c$}3Z zfjW7C2u|wKrY=V(>lO4m`a}#?#bA&Irs>npzGmO5g#xz`fZxj6w$FT&#l(SqDQ9}g zvywC@rX3H-#Ve4sjxvrB0n3b7vQKVQT7VAp{Z#yvZ)JcUAvV0? zBQ)r?AB)Pq0VvDZp_26&)StF~Iwr&w%@hpWDq_ zN#Ahs36DuzrG=KEwF7;E&c<|~1(>eDcr~9moF--aHY9lds`k&inA5bl!cXbQhwXuE zpBp&(;IaLHe+vP5QjaWmECnfR>svZN&{qG;|Ixy+%G5&Uvu@^83ySQ^1G0Q@YkTXk9PSOv;qGD?A8C=& z#-um9QU?8%G8`_I-u%!H#16DUnd_;o!fDx4U_-K{v9zmoiSKprtG1j>#H+w9ge_zr z-%bcw7(WN2_~iM;%a^(hD3CHwuC_IERy}EJUrJr+6Z%W|glwBYyTk`FejKEqq>U37 znl10K7G?U7O%%8tO{sTv?OeG$Tq|R4ZSe{!b>LLm0cU;1pbaJ$3On~l<-zv8=L$Y7 zrd^_JB>wf((WufiDuHD@WJi2> zWDMyzrZEeb5zauZ>tX==Vs{}wIg|`XCuKo9-OfODVr+MyKq}bAsn;|f`P3cAdg^d= zr)7ySUhqp=nVt@LRgpN@E^!mGeo_{TVghv;XBwC;&pd6jed`bjS(k$|1NrIlgf^W3 z%`ESDGiH#VLBay|n86Y!0j`cKTsk@@g32c4u}t!-uFMppeGAm3EL}T6mZ{W#Sp<9~ zsq*k+t|&!OgMy94TrcQYzS>q6)Ih5PhAR_+2V(`k36i>Chx~vZ&n%_v){(RVxcDh{ zAfI+5CQxUnSJJUL4OxO(=$L(*khXE)idj`@r?3ZpMZv_sh`mv&_F_?@td*ym52&o* zFI@_xs%1+23EfFLAjg$r`k?a2O(2kE1nVct#=$oPwxPfqarBmbz$2aVD-)5h3GQRn zb@hUj6Ln0df_bYyz~u@rHySBV(#Q=;E{NC%KHzzi!KOiTgyo_={jRb|!#7S{j4vDl zSB;%4*av*(HwC^p*_#_`5g7Q53i!D#ry5G*FGw;zI;;xGrw-3thwl)g;{LtNk zWLzj~tLGe66?i6Mb!DNPzpx@&BW);nkaE`^j2^*z;v4aW)X7}NHzgiE*z^}vp~c1p zebYw35nnFFW2)X+j{SSRLGY+!5^fGEYv&>K^YaRvD2Pt>HU2=%oIyJ0j>O4+B1UF# zh|vuhIep?m{^>fF=g2W3&CXm+4MiUU>Rd8Cv_)0VO2 z0n`btt)o3o>2#JUP8@fFI)FUD2XwFuKs`JOEjX{baQ>(#Fi4U|Lh>PwqqeiO;7XWo z2ZVglb{Z&4zH!ta4wc7wG&$3*vt!tli7LTmm4DVfP9Dl-t&pq6fb)dP4|>UaI({73 zUlbAtTIiMZ0cg8SaTny590O(_$1N{g!jrhuB1!-HS1*riKUA{t1i<%gF@7QQRJh=% zFXJ{069o1Vf3z0ffYn)meBNk@4htLdAqRK~NNA|`=YvTAUNCKEp0>|CahsOlN~x82 zbC&@fx`kY+uvhpaakf{nLNh7I4oocFEkA7(flZ4F(>_^kwvCY$#Nsyw%3w_>=-0Oy))axhW&&%Cy_WG>{WEINTz#9krm$ z@QL+0x%&#SrgL+Vy1A`nm&UPy63$qg5&Cd`IF$Wj{_<@#%MuTHV@rAnd;lNm=v5n& zrksz1VV!56c~YB)CHo2A8W|nLC*y^v|6HWSDGM>(OZ!}Sx3}4(9F%=+RPZP3GLDUR zZ;m%-*gU4MB3H-&M8AZL)^Bt~*Ojg99mS{R^2ICu6;|rvVMsavU*r{4>`Phn8T{xs zmBhjbp7H>5Ny;nYvwN5ox&B?}o z<4>}&_F+$KfF&tz<%53Mf^sZ_?^3T|Jn?7#Q3ZB(n>si6LaEx1jkE2napO4=J1ZO< z(&zXkKR3X$Z_!uKBP38Z>th>98TZyP2{vmLR$g_KgGXRc(II2rOCFF`c{XVn0LCXa z{RXD4YpxXzN@2R$k!4@Y*sU%Hjlv7i-+R~QRP@Nw$SR6{Z7b}7r^;O4xnuZ*iJRwg7Fkn z&y_3rRtMw_nAj=bSR>|78!H1xHOGb82h#$3^%u%^<05XOjzt9UK#ailUWG8!AF3glvLSBe$vD$2;9OxtkC-0k16m2RwHteUVYe8!=;QuSZchup?XJ9I=%X$d7Mi12 z1DOS=%Yd!hzRog$Gysi+lsW5=3*K2y0HDDwE^g7tise_1FP5)<1Nk;&ejZY-{iv}O z?IIRIx92iThEUmYd5Er(A71d~wOoHGRe0zg948R;=$G(s=1`Zbeac8tZmh6O0!1@d+?w=%lu$fq5Nvz6j zmvn$Ala6v67rV-YDjss;YbwIID3`SEBjvFvX(qlLs~sO&D_^bV650yMv_a1W8AzL$ zFBerRvlXEyoR}xzYjn2*m$`teU?3K;iJnP2Ao~bDv8v8=2=KlEMr3Yxkf zFk`h9eQldb2VlSlv=$b%iCHU~oA+F|urwdCU{}VU{a19<1bPF}SQze?hxa+UmnpRf zLoWIy`phYGR|S2EZ91ROKl`L@nPs>e&tj+!x$%SA|H#0&w~u3EwZq@|030;NTG(f_ z*>iu$O=i!nm+zcDUH--E^JVeYyXE?g1$BWc>~}#E@M)1Yg`Dip?0vG_y>ndttJ}BB zL-`AJJ@I`gntQ@Ma9`r7ick&>(LsgyQ&Pq6?9yrr8b47mKfh2GmzS*ry6})QgvRQ_ z-dx|$dyKUn&&kJ+6|<3JzOz3Hj}3909*G~X(b|^25{&*99)SJ*ObA8_A%Sp)&X{B> za9qWL@5xngs14YG7|?G(0<@6mW7wl6GfcWSfk$4KSfPfo$9H5fWF?zD}xi zIzUbZK4wSkiE#?2m7VnT<|lcz;pA9@Qn~=t1D=p7ttnS5{AQT1a{h_2ecYWg*Ux;7wLj-|=wSSznIv8@SVbPghYNHk4iH zSj8<(AZg?{Ax%JJ<|vNK3Dn1xakR;+^R_L4@|J!=`Wce8_4L&=WIetUFj=$!>dC`9 z^ITm562*(w99N5iq>(g359zE0p>0n($5rBH3?zRRjaC-gWqW{h8<2+ugvI@MG%g2w z+GNl1MnnA_7xl?Ni&ak>671~XxDk2ASdKG7*f`=iiDBbXWmMlf7Ni_No6i0MpnkfjCeo4kRxKipQNS{kfT#0T2A9VvYv zopuJZ<<-q4&BNMw&9Y&H$E42;(3IWAckcSlD1Jr-@EcJw3Y%CSEuod=u4*&i!H>XB zA>?D@QsrsJ#W4>89~}6khM3b3{FKdI{A55WBk4QRuntIgmy!HRpERmVyTz!};+kT~XLXAq8Jz(@L# z6hu4!ouV-fNpC{CBVx#Uf&r%Nf@?lw+Yh5q=aRE+Gq`z`8OU-5g<}O41u)X_5)Z;m zs$4|?&`DZJhkKMRue4mI`gD5pP(8c#*dSN_3Jg|G&Ul>|3FHQzKuJTzam;4!L3Z|Z zRGtRn8^l1$;#h%=1jptYS+-NF17BaXZwN1s9%%zLw}ppzVcw{#G2CSDX@DlBXMGB4 zP?!Y%0de|3?8xIH+lfS_Q%Anav(COE*)4a)r7lC@)R?x+tY$Kii%pf&vZ-xuhG8Ec z{eq_0vMSDY0Fx9s#1A>7x6*EFiD&{%+EEuFPL;&Xb;hdY++q{B4Bv{e>2^Z&!=<)G z$dMuKOq}!xk;fn9LsZ27z%`>5pdmcfITwWDj)BV*^#AB+O{y+x!r%AY+3)pj(z%`% z2+g-JFby?4MIXsLI^0vc1CzlneO(E2ig&QDjfUqeZ5TA!Ge(fXUp4gwBOGWn(GenA zw$zRffvH-91b;iy;s`3I2ApCrc8*W95ETXaK6$=|%0?WO?4w*TvI&uE@HOkXzWPIL z=Op`aiHBTgv;gL>r~8r?{!;jq4T*}8-Hee9oGK@J!-EqT`-SROmY2%*_Le_H#=>dP zc;m-F;~6eqZ63;|JoB+%Ht*jwEst46L7Oc3kcoQiWITb#v#MgO1Wxv)F`6{E&_cRs z9l&W3H0dKR$SUKjw3N-+Cl&Yj5ZFxFx^T7p^xCk@4eqKQ`+&^`0ewVANqlH_`?>Pg z2YcmT?Ch6q=}ylwZnuQLjh*$6R+fyhAUWY2{iM8#=>`Her!B3Rw^?7gARTD~y1T>Y z_KEk){@%XIOWAy|i2^D=EJwTsE?mAtb3D?fL{#|PKBssi<=KeXmJAT}F?8`u+d(qT zt#dnuggRL2N?@fCMx97I8`E(Zc|igX<>bAdc0?lj(!#K;Uq3Cwi{Sv$Ef6cvmOvRt zg45u-M*t!M(eLeEEVtjDD|Zf0%WVw`zr{oqO4xK5j*Wy0tDz}N8N$evpa&CK9TIncI9X5 zDGz-ndO6p$NgDLOeMfnmH^Bp~%L`Y!(Ud;!F(GOK^(3k=&u;~2pv~!u>DlL=El=Kf z!jlta{$>GJerij50v5MKN)zA`av}pq)=X+l3?X9z&t*WMHdA1O5I7vWGnV~Ui-w6c zi`Aeahpz1EnG@jYVO;4kq1y&*YAl*2SP`VJ2*?B2UgF6l=`z*v;VAncGAj>Ph$@MA z=F#e6+?vkv%q!t2%ZU@nBTGn(yH)uWC<2$oJ0{f$5B@SSbQ5S(E+nTDVgidY?8u~o zgJ6$fLR51}NAaZ1MDDyTqfE!gcojeG#P|xb6FM1(PK_>#(=VfpHpo1Yn>g-?$0m%r z5d16ze$etYk;M!d{P3Xo*8OFat1}rZq{GG&d4Von=K<6vjK>>gcVE_&Eg3Ta?T7Px z&OOH6Sc?Fj>sKY2=#LNOpZcf#^2)M*&*NBEs7E7gg?=Yq1Y#4Sew7dMToxM<%ddX+ zaXE53%=$!QVP1CWAC&%3w2${B^pWU^*Xf~zI`X{F!oqhq_?)~?aipin`6A$W+?W4z z${AO?r^nnDS}1GlYh`_XrChvtUSs~CEG$a4-f`JIysd?7TWyxSdGV$V`DG)9r)`d$ z+OTuF!iN6fU@yMIp+%1k&BKQe{hKw+HGY^E+iJ7M;?A2zawpJT|Uj3Wb%76Er-SRt!TjfY|DBnt9 z&brU0P`)%WE8&T6U7tWe>xaFT8xbxoo<(|s;PRa)#d{FkZ$$t27v)sS; zpzQ4Kxcopgr%hRN`}`Yz(x?QDyg(u0gUY%|c?g6>f$WaEPq8k!uVjtyV6lnPAwh%N{rv zFioF0+p%+;0JLcvdr%;qb{eMnd(a6lZ;4Dp$_-4{xB28nSzCU#P3T9QR{+2?U0|AC z8-TW+Gy%HIg~8^4Gr3K%4IEFr^9RYd<)P>)R+Q zXVdOUOXMg5pRr;Z;?dKF3mb=>p);{_%AYy_q^VE4kWPG{#m|`f#C3i`uEPOHBVR(| zb0iBSE=RXW+O`G2w=J-Npsi0@ECi%;KFM+*@goK&j@1*uoyJGrY?pP!arzNJeYS1K zTf~#`L`>Nni=^W06WYYQM;X40J;G14nmG;=#dx7@+L3h9WegLTb0Wn2G+jPvk8g=; zZLdG}apvU2NqsW5pH+NL9{8b8`z@c}6~7$Mj2m#k&2Kj_7SlK3nRJ-rc~0Y0{P@ie z%2(GGw881?B0@6vIC^NkTUO}+?_ya2XM`+OK=AGgr9vpuDE&UXOiwmtJv!wbOnlo99; z`Z{iDoO%K0f#92WZkO?3t$btnborg{YvZW-?pXYMx+zey%xa_e{_UIPR(V)X<}^q7 z!E5<}c;+S-o{Zx7*l3Ki?EADU#8Nh}uq`okIz5G&HaAVlp5=*yE^KP; zRlMGB2Ju#qWZ2u;jh`0S-Y#=%z1}ZFME^aJii{eoiFZis@;nArqoBmHj6N^!YzTT{ zbaC&rtj+F}bFZi#WCet40oo?;A>iE?2rZ&@mg$bP8~>&@g*&CZBjt~T!$dKbqcR6^ zG^ERF@m0GzDXBlzGCCT1j@6DyixL}|iL&y_4oSHKDi#d?=0b z?Bqx7@+g1r&P|1~=xiIcLiE*Sa3*c?$!od}I>I}2&|N37qKmF5REA;s7k56on4px>UU43K-im4!}>_B-qZ-X?Or_ z+8Z?`ZC@6U+NPbPLQBmfAnJeG({w0sTNgzg=}I3P(yqgEG~u5yJ`g8OlQN)dU&kf@ z834SrlPwQkwUckJK#V#yz+bE*y1-u{#&i&q`v}wmKY$Kpl~K@*<7RLu_l2Hv$jxRa zWLDscb#z$^1>ax@`M3qcxZ};1yjo{6=c;s|tNpRAbh#Bn%y^mW_5JM(*zNDJAcl_Z zNeHK?oX|lB2pMZXlXsKT!gG>```Sd0@Z&|5iT><-Sz225w?p`L#Omsjf5II{KjEvK zyy2ta#byIbg#EerKqoC~TK71i;RIyF57&84Wo!(~pnswC=T@ZiK)4zwl?LF=SR_3j zY%c*P9Gtc;FE5p+UwEb*Y;BkQ!>uwp+7gdF*>hiu`8}oCFwK<3CCNMB%bZ%|w{8aw z+bH^r*!D2}@aG(7K=c(gGOCFV0_itF9k`HK48o_t-5T-BLt^DwSd1YEj&&{ zMh7G5eOwMD_xEP5m*el=Ezdu(rlF?`e%{v80>>ug!J8Z9A8sF%yNpTx$>xy0Cxf5J zuUH3tF(D(`&*3B6@hNsYu;+@HgM6E`#=U;LjU_I^hcXcM2pu(V>R;+X9X3N>+pV?$ z@b|b#$5(25($yY3nCnf5k!!m!oDjezj}R6fwkUsGmS_9%VwHBiSC8h(6YJx0;jMTtrMt<^qej%L5*ZtWpY2;ndYO)Tbsl9( zdv6*7ST^E_9;K6z^nkd!o3xqch3=Cn7>8CJaw=w0#}{1Y@`%-tzh~$Hf9r4k+umF( zEiIN;Uwu`B>d-s=9qsVH^PTUi?E7VBch6T!zxR9JC~v>>cG=dBn91s;kAAd#;uD|n z!%&->53D~s{J!iqhHrIr%VEBuCutzk(GS@l)OE+8`tJ#{;w$04bq-$i`9u}hLz4{$ zzyva(Cs$OSQ<-cNGKmiP#y6Ni2^8bAzW43Xq!P8E9Rs?R8J3i#ti+z|L^mhM&{z2? z7xqE0w1LYMoQ|T3&!iBtWz6Km?IrG0^CZ8ta9wR~;A)EYngT3;3mqTDXv-(JWAiv6 z;JPYv;v+lUTvqMa>TOeIAGs{bYl*Y1Ej%VQNhV&>N_s%%vt8{KrRf9epvjn{Z7kwp zQ_nbV8>c-iW_5zC{TH;E+*#~{2f$yCG3s3-Kvwy}`1pdZbU8E824pbsmr}utS99YP zN$VlU;umw_lh1sw(cE54H939>*yAN;IK8XN%cmikAh=4CBoqcHeB#2C@b&z?pwnr|nTZ{^o zSNVZ6V-^2VoO;+IB0xKGx#9Hbjp#2dESH&q>^1vzxv;-n)|Vfue&6mr9?zB6zA<0^ zx$L$ny+u@WWv`61$=neQdOA~vZPd9lY3s7U4Zah4q+@any0i^j zL_Ki^1NN?t#4R=kk{wt$0Uef+ z2G#w$cgx#vy&@9uq1klgnTe?*3`0T4&fYatsw{@PuRk=$*C>% z5ff-jn|PLhazcV9T#FL8PF0+=L&R0qiUf&RP=dZ)Ml`sX;2};C4!ana2lXz=N8~q> zlea$jby0v#2+tBANAlrnnMLaKG;d3s`br<*RAO|fF~Thk*#NyGM1Lb4vWJgN<|E?S z(zi{03sSMLG{Y7@ez+d-i2)UOHi@x9@^B9%$c&0*fLE~hz>}}mK4f+M!1?A{-r=!3TV}Z_0pRmtRLtDwn z6~4&`(q|d4%$uCx0(s#Ajd+b89mwmi^Fg1J-^x{u;yIe#-Gj2UvQY-=-`5_Vloh$o zl~vhJn~2$?UU~JKC*{A_X7EscKtK9-aQgjnU!B6b#joEZpieoF*D-ii)(&dD+Hm^I zvn}`{edL(=E%gx}0Ga7uNupeyzQq`*=2EfjrS{oxtk?F#ies7SgD2;QZbB9Z7)ps# zdzLy|LSPhLkCiBjPs*BXb!}!rn-T5Cbai`fFfRZ0^+9>^-#jcceHF@yJ%LIu8=AP$ zIm>{I4QCoC252bffB5&Vmfw8sLHT=ICuO8bo-eiTsv~%xnYV>lB#)J5FN53i%2GGT zC3(1Vq%ofJbdLFmhj?bW2d6p$)})z1SVUTIxd5D)93tg$t_|G+|s=p-PSd%Mlkjax-1IR#o>Z;1VGDV-%n+d<~Blpl3 zpd8-^7{s)Jw)fS__vHl6tGutlixbk;{v%#T;(2hwgs%RVDLER&Uy*~)a6+b{`+3Z>(l zb~MdKr?yROx&z1jlxEW~uJ_z2&-TRBM;ba&$Na^K!{PWP`N>i_kyG(~5WcYhKI4E5 z3~7MdK1WA~YQuwqyYesi9G7z!&YL%%On>;`K=Nx7pmH`REZF!Ly78(lwEQr5BUk4) z)iy1FlaPA)0dRcQ`c3M{_xtg2w84-CN48hD^UJwxYEEZ(uB4QCZB|63>}wn|FN{X9 z@#dM7es9_Gv*_`xMbd6#bmd}%Z-ad7W6zd1-+ZfV-QOt((l5StO@DH`X0Z&1gYxDZ z-z^&}3)<^^yX@V6x6_G!FtQ+g$D_@BJlCl%d3NbKzSDm84^G~N&j;iD{Dacj&bNLY z2QEKqN8AD*(qpZKJM!}k*-)Dh^c)O(6VF_~ZXxVw{-p0dg+T-%ASb|tU=jnHrf~|< zE1P#ifDeTU3E`o5#E>EF;La67i_Vd4AjeB0K}6)kP74E$vb65zBEiirZY|* zfow-zrg?Df!+^Hn5oaKup~ZnF>4b?K3{t{Gu9%##?Sw|aWU@;j#c>#36`!__G9gBu z*(M7^>#mM{j@0Y{Tz5^d9BJAvLm96bf zT}|zk_uhZEeC_LBEr0Nb-zeYy&bM5~LInQa{*G_Sq+i~=b<6$Cp!92B^_M``*H+3e z{QNJLPk!>1@{#9XD67jWo^-)M9@;03RyS!|A3jBY`#NVaVvY5)&``bp>$3Pu}}sMxR%|3E}9-RxpciGnSYtfvTR)EW_(}TD;*D-0vswNFYxnursVBgh~f#PUsIm;;fj4?tDpt6Woeh1 zQyQF*E%8Sqp2;}q9zaAcZlCijsx#cmDFc-;Z=K3!JuSApC8LHjy2v<<-@@VK9Q{(h zq@B6|_&ce1mS@hltcU@|bl63<(tNVKyzD?(jDeu(ae^-*r1;SXi~#mNtxg#OG)X{~ zvv5*1w)LSEsW$<|Q6*w9lm+!yvI*OLv@u8Pnz>Ax8l?l zpdEMwk7?mkmUZ}cJdhh_DTZV|4G`&$brg8GL_;C)HJEtf*bi(8&!K@fYkw6a8#fpC zmhBn*ESSpuF6M)o-Jn3j7!!|sD#0S!N*%qgG93)O_ z>@1&-Q@$2bmXs^`Wf^gXgv9I0C7UNSb!h_Sc0N_-t~Wy~>m#%t12{_7Lkq|>HYCKR z~1xbiM+{6VrF^-Zd|d zZ{K?Rwep|+-~ZF{Pyg{hD&PC=cTJNv;NQOUo_ugr*4CHGv(G+TUV7=J@{x~xq&)xp z^X2;W>t%5`@6Aif4j?zWoz8`RX$PQ2vLUlNS5}b+C+!CiE9W$jlO{fyz1`ZFwhYp? z4+t&q1mw$d2DtHRUAsxmcHlzWPsSUl4;=VW4-m(Xpw$lunU+A#0xfRC0;Y@KE3kH% zf30hwEdwVfp%$OG#gjCuCl&f-U@^dtc>tM{SLO?cv!*eG4QWrG1V6xhm9+2EBa)}( zoj+xeA1*t?e+t@mnT9W5ofvxvC{7&9C${^c(zNaB_+(=Yn3r^wH)tbsSe|_H2^B_v zK|eQ>q>~ntxF_q%KWQKbJ> zw6%RnBc8B1nc3Rf^FywOqh2{W95@`GAn$^B4mIw3Wnq3%*ldDAzFYv4Z|V&X>Uk-G zve;BepOYh>CSXU>;BiNa=aSIj>F1vIoW#76dIm}9QP(!WV@vq;@WM6#AIR9iT3GWb zTSCel5MwWOfgXKDn~p9%FFBZx{42HU8&1>NL~=29z(cCabHR2poGCZg*UI19-YDOE zb+_Ce%bsd$pO;LW_VH`O87vDJe21kkM~DFBBP zlue-aDLT`K(w2U~9zb_HhCalxIr1Z&Mv6&LGN_L7Mag;Yv{x?mhuX>LYE=W|D}&?m zbN_)VdU8GOM}+E54idCy&|-inBcv^|EFsGcG#X3L?>|?*|4$y2|HC^w<$<(268<*B zP4#i?G~=Tvb+?VeF9|v)Vhs0OOnnrN5voB+$Nf)a2pk;29VHx#Abx33)2n#I&;Wu? zbRM)aFCwl_Xgh}8WlOJxET4wJV*)r!cbY~zU&;qe(~QN8eu{w%JdQqPF=!`~7T`Y3 zc5>36{^_4CFTea!x%=R*HxO(TI8Fu_{5Nmj^Z>;1xJAP*_?c&(G0uYr4~z#r0=HzY zUA|I2_OXwZbLY;vzkcPv{gv{KZ+t^ov#~+ZY{%w;w*to6!0;vk?ci(sVl z0s6=V@E0uG4xS3BTg#htLR&r!iAOyl>EI9aT>xz-c*aptOE+W6xFRFYaS9}E`fFPT z5ECY4F;1PY_1H1$qCfNJ<`az1iC63tyMA%G>irf<#S5-+h3GH0`j%N-G5?*v^S>^u ztE;|^{I~wS|E3&qLe-zQKD5UN`Mw41!}fe^D^99MhkP(!CL1 zhira$Hi@yZWE;bu4a(6`Y{2ky+EJc;4s^QId;(?hn>x~|jwu3=bS5^1M$Ro0+n|F_ zE5Pvrk^K;U1uk`T8)?QRknP#ru=io!94y4I4KFRvmsehX-WND~d_+rYMf&MrFt70* z`R87Es;r-zFPm?Ct$gjbf2GpZtN>rjEYLa@@(ZvlGFIryD=;6Rv!9iawQvPal9DF% z)MYxpNXlko#y^Nk+kq519PO$hb`U+W$dhr}Avbu$^NY*AO~;SN{^Xax9AmlHFR%UX zH_B_@|KqYcr@61UShkK1%AV}>P`db5R-M~Dj@S{iM%M8n&0UABQI&FdbKCgFbI)~l zj2|3C9#ycBkxcXrG3%*m36Hk6iDJHYl4%0Bm=Z7Nq24UF{4 z^yW$Vjm@z?70*e`5h#@|w%}n^HMC6mAWlGP1H^(9Ni(uxD9Hh1dW!kj4qF*EDKWu6 z3Sehv5uyyz6W%~uHvw|+iNTjRleQBGd7?w(-Zq7jSMs$3eF8xn_VgVgAHZt&iS_`K9CG}tKl`)t`Ps6&%kKT4TU^*2T)1$dyzh_vo`RL8AHWNpp&DZ^S zmCz5b33yh6eq!T58*Ih~h7HjA`g*x^=~B5MJ^&L%Ro%g_19HX1pi+@(%0@oERz1I? zL>tBjZ}WHpQbVQUuk_(@Jcgb(5c(GwF-T+43pxEj_7p^)(@(Tx{tlX%Pw=fdF}E~| z!D%61)6PevQ zTENCh!&)eId5zT=gjistvyukt@v}_puR>JXe&j0&e4S^60PNQ3CEB(}MLlDi$|%QV zw4pur;>4Et*bi^x*F4wI)8_bBfAv*nWr;elEx5(NgN4vxY@$DFfCLgxbe8vMGr_{Z zV#~(>xmaSsVAxqa$;|T*=;vQDmE6)OHc3@ZQ_@rJy>Pd{aPOBUrv!8)u$D|*fH4Rlt z*Vus2h6{OJ4wc1IZH`9$vO6pN2by0r7W@TeDVXy>^2Q9jy38{gT(Egg)EK^W@lrVu zli8h-e}`sY673ux>S9NFG1o~)F|8NBjC>{RgIGLxV0}qu+aezq9oL_F%Fnk9v?(TD zU*9ObVc+v0^Eq=fAIIYa3tLmh?JjUx4|sjMulbc*l+^LiEp3@|x!B_sbY3-|;Xa&r z&aKYP`R$l^*IkY;*P4W=8^ zXipy!Crsx7cSJ4pvkfxr(X0LPYxl-wd~#IwRfL;jKu$sY3Yxh1^j)canwVj!+wViLkf zR+g6ikRRVJxuOAn_41XnqQUjx{)71bhvesL1I&bsfjRjHKX}f>;tdG0)HFFxKA?Ys zLWn6ddov*2_~!+lI;j#vCMGoe-$nrNY2gFzAKHMY;5Oi^jIrl5#Ih~2!iT>qi}t$W zqqTGfJWo|WHXRp6Y}-Inwl+oOv3JTrS>)k@sI|Qy7Vb=g6a0XvL*8nC*){l6TlG_$ z+yK$nOfA^Qmc<^(rGEzLU^_ySf7F3u9ibbWa8FO-$tI0*nqZw7EeI2UhVm#RNm$GP zX!;>Tt;8MQ5V(VG(Wt;4M$)S-U(1{=fBWD0x5^j4@FV5?>V_t1Wa*cOn-9uw{`OmB zM2NlYCdTdI5g|fCbD2H3O%j>WHk>v^64Ns>c?XmnAnE)1Dd<+fn(;N?$H_(+2 zY0;klc#yv%r@*D{K>n~=4$A-SrIYeEe&`eB;G1{K_xrlIQi~z;gZz`n9!%e~@FLB5 zN5?+R<>CH=-BCHG`RVc#*UQFJPnLIXzE>WK!oF;KBzxii$l9IkBaNce#kSO6WVNn! zObxY>IH!v;)+}E6#V@(Iq~Gw%zWDdF3FH>!Q2yl~6Vx2vR~dfTS9>-joOUnOcx`pv z@y7XcW#imNS>0GGi_5D%xn5gcW!#{o`q`a6*@d6?j4w)GEi7v>~p#CxAgL5lD$Qm?;~VH#F&&GOy7)b&n6y7-Gboz1p(KDQzTPC?fW|l_>-mn7rJ&VOfPCSUXGyTm(!IR!` zT7sM&+SN|TM4WN~DX;TP7PSsP&S2e`AZnV>wV49SlEXHWl5AuW-r=^uZ+W1n2MZuG7t)@J0uXQwQ zJ(Rh?2%vrF*#Vs(fPNmaJNN`?0!}@*ER>87B&yE(Q+1#sZsw|G~eN#~`^!+&TwQ%m>C8KmJwKh2^rU1%+|3xHxYeIG0ZF0-gM2RIaKCl*LA9a{AEn$#g4E0OjbE z-~G*d z9q-}la(U{Nk9u=3)_Bf0U9f8_lO?Emj~AzuR#D0cZD^toc4be=2^$*{|8lNqQV$O< z%D7F$_#y9&iPiz?nJ1`cGf3US(qizeyzpsnX0)l%rY}Va2!qjaik0_Rg((@uDCRbb z^Wl|k2-BEy{iigcOQ}!XaSHCz@UO5;P1Ei)?yH!T|40r-^1=39;hbuh=izE#Xd?>& zxu=~uJAnWYr-Nl4NE$#}MtcHnk_V7{0O~SNed3_d**r8EELB+nbuHb;$&l&9O(36x zBcW~I(g0eS0r~-ZTE7X|M}YPrM>san(bpjP>}Nk?M*|01Oa#>NiDi!AxOW>1^o*Yk zVGw=&>t8SLz5A{=2H?&cn)y}+WJe3D$kIw_$I_g zBj_-Rve%I`UW^iu-@FtPJf1b#f?-{n@eO300M3Wik;fL$==!O_XM$t{nx{9e!==$f zWq~Ih6w@|kNnGOzax+6+gm~@(z{f!28IHgDSO1D{H$sa|2|f%yn__;XY*vA`_{5kK z=GyPr8n88$r~l|j-pb*$gbe}ZvEd5aGTyia**?Ev*<34HqS^Mp>lE~-D+`V>_d%PD zNN(oy4Xcff^BUg^-7$Q~%Imi9lK(50y`46spOXjpp;Ph+p<*^_&^M0CC~ti#{oQTQU30}y`mJl1F&ga3 zC=X?aZm|7mIf!9hAL+QHuEM`w0k{Hkm42^>+Xy;&d2=pg}`=H8FO>?o#$t&MFTRNc(DPo zJ!n%mE6k+kiLDefN7K)g&8^JN{xS+v?*S!>@#-FgAj3qbMnV9GiI`v0#ZW^Hrm+Uj z1u^~Nmvluqgo%QisePmjw2ePCR%MmbS&`D8TBUNF)&*pa#eeHu`4?}D%dRG=(J6}* z63CHhV8s-Kiy<_;XdJSroQ;c(VkV4(a2#Tcz`rz_3DBI#!h~_#P9tqz`Uf3%AOaH; zQ62<>z9;^wU=5}wJ3>1la3>+1+dMu5s0SCwc5N9lWzx5{T#tpYSuL;afJf?$7+pm;Ej~X-+P-w|BjX;5FU1-gvXT{@SZP%3WL-`bc?MJK~kaMfnj&rMgzrl#M0fvZh}Qk zhQt1_tgbBiD0_Z>s7XM(Ztb@F8l1ch!Xn2YV%P2o|40)PHinu87SEJ0u|3>;SPr#7 z@Y(fi+Jv0bRUkUeXy9-nqWyc(w#T<)7%vPnE*s*9Amy^6$rlwh0%Ic&eUB>^Z|twM z)q$V#0m#!GC&P9Q~{PZ0q==*5q~^~LAl=(xP~=7aKk-@Pl_@E~g3L&vvMr;ta| z%kQ#3DViU~EtlSaxdlJwqHrMpSYA2mNz5Ro<-} zojiiG^~#G6wAa=b%lu%r+`Z375j9rpKtpfF7q?@;yL5TAEH4ksdvATGynpKr(ZC0= zrPf(t`k(cPO)_MH(gYX}=$&BMxFx2!DJN3?q8H=A>nLSvgWup~%o2;rKY7wCADtbP zpZGk_N~pAVT)y~&i{&qW{zfU^{(d>wIVlh3WJmd=60o`rs&U#wNXe*e|i z%A2phUanrfTCQBXT0Z@m&y+v>!*9CI^MaU%9qWaMY@#X6!cIQKsg0i$j0?T>var1D zXK8r$&NhdR@VK=N4Qxux;}2sI;}q?1bm+}5yqH&IE!&ybe)G1sHy^A=8gppItx?C` z^;i&@41tA6gXr)Gr@C_FsaXWcI>`__C8c>YWzN47L{BML>F5;4nW*LMEgFK0X~qUP zsv3}7$J%`_YWFRkOk-&TcxO5rva6%>6QTpujtQ{JZkXd)I*t%#G_$lzDARXiwmT zgj+9ZJ07sx-g#ISm-*RlCe=8N%PXg`t{|~5SC_m&!xtARW1`@mKyU?#KT9ul3Lh8Z%Y-MIT=~%~o);M~$mHv2X+OkP^gRy=%G>#b zyH7~!VlktE#RQaYLCnOEKg4KPs6aWPEsKkTuyuw>KCU8w@c*-YMIHT-I6%^9$ByZ! zS>k1!z5-+!_^m(XE4@i~I!pk$Bj(uz=n;@31NCP&O##S3V6w`7hBkO13%E>t(p3S2 zQpv{>+vTT#N zDr`NW)k3xf4-(+fUv4K8VFgY?IQjIm0E^3IY0>xeweSzip*Hk;``cQO_B@_>03CkJ z$!wSg+A#1tGY5yd0?V3P78~Ci_Tk8!%Rb&cp`k zTj?)cJS2eA$-qEvAZ?s-7*8SR)~qM(-;rW`OO^#0k~d7}wP?rOQO7RN4eh>F{*Ax# zQu*vkDMQ8r-&TR1B#iS7jTQL~y9oc1uG-Qc^!werAB4W*`}_;fdPBkUAlcuP6L+#s zLG)ofe*_>FW!WB}9(#w*QAQQ@_~XPDagstgzM7||)bV>Kw2J|kc{XF-Il{9-)@3>&%i1`3;BYQy@~Lgex3oe|I!Xf` zhhvo2vOLaB$Tp9o)yhP=#UWTGjY24Mqt#c)A!r45|JF#~3$D2Y2t4H(z_Ty#Maaa_`RVa=5o&p1k%%dF5j-yRTTtarURKKUvmR zG{MQ1mRSQ2-t;qrgCpROf7ZT0nqzMJfpD29c^H;*CPQum@%kQm47G9^H{?8_Afv$_B~wf+uFCXLA=1&*(pm8VViZN|Xk$^i1I zE6{F8+BoZhNgaI|K{?@2%0$VSCJjWp$m4g=vQdL3V~a9{lm$pXahkZZY1DV}Dkivp z49F5T<_$aIv|-xoxSL~wTJnueGUHf_ohtaUGz-VmPhOP^XrWZ!&^!1N{sUc}k;3=x zZ{i=?+=> z#uWVa50CtO01ucW8?OW}F7WKvsuv{ujE|P_BXVp=XiH!tr!AK0`Zaf%mOjk+Jb5MG zlqK`@QM|PjWtLIR827GK8u_T3*dzLxQwnGWpU{=|6CVhEc^fNnq2vC87xktYymFy8 zPtjye^u}J5%$v;Ri4Wbr(u?DhvMRjc(x9AjWi4F)KGmAW^mwQI?f>P+%kw*%W%ZOR z`zojKk)h))nS9$?e2KsL&2QQFvBQsk>Bq`PUixUvy8?8u?W;XFXmD&hgw6?`CRhU{ z6JBrK6@ZKZXk$Us66;x#kx49x&Med3|eqqh1AEgDiCMz1YYIxHkJ>A%-t;OM{z|k$J6Z9QQ%=k z8Uq2A7g|ylBQS}#5c-6H!bnWuGB^{+l-w*dwo3zp0=mF=yE@;6|Jytsodi&x%r_C_;U-@i1H-;89DEl(; z9K5*0!$w9Tdax<2k}{(<^fB+qdqP16>60P*n+b{D;;M?kYi+*5Qd#!txK6qZer!O$ za(Te~Y&-%R6UL9mQt;z1Jm?oql=Ag#j}4hXDI3qNrKtB7Hs#~DUN3BYCepVrU zCV_g>sCW~6ChzFyIvgCZQP71J`~$}ptueQ*PWb%>Ag@?uPireZm@sKS;ia~0?o?0z zvZ=F;q*#7w6zFut=Y;P?%-PT>=N#sioFl;JGY0?0Fa1x;lIr`CSKAu<#)+J&sMbXz-Ce9M}CYWntL`k+7G_0!VApd3p+Y{9o*_IJ1a?AuuL*gyTJ|D^oNKlq21 z@$;Yi!SYML^w<1p1muQ|-+)041^@c9u!ng7(k&avuN=r(g~5xLUoE3v(lGS%UOpUt6`)nFJQYo2GTG9I<##9!r@yy zAaPp!>2%wsqsf5rAgea0K>b;KXoW-DnJaX31mK?;0FQx|W(yxy4rE=6@d)4gaTyOF zyDaIbBY=-%KJ&~CI};O_Jwx&0(!4*XzPiSf^vh+)y=3&)WbAjtMbeJX;-C$~*5*T( z?QCuPq~a@I`AYfnPyD2L^B@@xOM9-Un8>&#;~&Y=W`Qe11`dM(n)50Hmx&wG`E3Mz zM}V!tL0%>d%{y zotzV>Px}!kKk8X9^5aR^CuL39TIh6Fx$pT4z~Q1L>H2v&%~${0FaCU4kdL}tcxXVH zcATcr|2%uNxvkBxd~KGuT|^7t_K%#2yq{lE*}?8!*=20(?)#Y}zu~nn|2bVOgSlnl zYqLMMpp6MWAEzapk}<#Vak5+xkT1sb1h|)vT-5U{i$9>Jg*Ejj#1(yL!I*bDIrs9G0!JJbx@t(FO`}Ar3A$#B=kd+`Cy`_|Z}M+h6)jxiZq+Hja~8<{U0`ydm?Z zB=~S!hM%+GM?T+t>-8#YDPQ=)7c6ti(L$Tg4J`hX>lbus$-K+_&88>kIQTLTQkOO+ z=3)~Z?1K-+>3EC}eB93z886C+=T>|DUyk>=Sx7JxonQ%6W>F>tRL+FSfS*%Gtnr0E z*<+`7QvTf4ld}4r7FpNbr~Pi696oqmfq<8UURTN5GY?c~m6?SSUMyUa`f5 zh+(tigG~?&dLd`TI575xCP8JDHvmqyO-LG9K3xwaj=^%#;WaH|$X%SymO(G%wJ2)g zI_LGG*heWENa$I&tB@<14Y2SWJ}858Q2E0>+;qjL;uUI|@V zoY$n;S09aig~vmwd%L^k##2v~2lwxnUFG=+e|XQ%vRRld_a59A-d?$Pk2hF$En|P6 zDSxC*3XY5bl97H#A5IUr;=-(GhI%|VU=`3^u^(Jh0^N9HhF|yOxEP;fZ^$6S2Itn+ z%dK0t%Kpx-zm7^dSG$lOh;UCf?fP5z$TGs}w!Oer;NTk}Uk3W73T0V|l~NMl;tGvP z9$yK()<05LAg-hsqq_Rm#&3R}haBU%f#{#0#dj3q%8xO{L|s|iAF3}4+}}#u@8KhP zGK6#?&qNP$su3;(nRMMJ%6ldHvRwlB=!2|anI^OZnFc-D!~&R*<2~yM?vE6T zsFnj%xzexDvaKW+vc&=gF1EmKK^I$D2Fusbzz@^}`S}jVzxQwboBrAOo@nze85z3@| z*CUNLu73L(J6uh}g{2t4HZqfeIT!vm0Y~IY@cT-vH4CzjmM7UHWFh1RCl^4=Cpj{Y zjA#Nc#w`!GuWl@t(H^he^QG7$Es`;wIl*ADb9;^J>rY-OD=Q1-dw=xRvh(n+>9KIZ zS4hs;#3{QHUf`t+Tk`I2mA(sDz+I^5|lR%IQ@%{vcv?T{!nAp@sG6r#6(50 z2D5QRq+#SKpJCuv$nHKHBzWH}J_AVFEBXI`>#)h}aw)jBA|i6rGv zsl2>Nr)ixy-Mg-IIpB$t*nGy?a0pjE_`=iI%f~+QtfbQd$JmiiSZ)RUm^ZDEl?k1N z^SkfNl-qatPKf+ieE13VL4Avc@rcZ9A^_fS@j}eW1Ck({{E%C0Y!--Z2I0_-O^tWb zmY>^q@k|o}&D*rZ zJAAv-z?fp=p%#h*LpFe(lp@b9nX9^({xQvc%e_%K_e`DRxtgKRLvf`=gGG(I0JB4J zd9QrwlTVcG?{AeiP8Z6)`j59#d27a-BuN4`7hb+qtvo*T{qMb2p15|c3^nI2FD~2G z@4x@P@HlnSSU^7Uf;Ya!>2JiNa&&Oy&B(syi?KGne1P&md4B0QzEDj+o~k}R3W*;a z3Kv7+2;#7UW0A`OItodxRtB%EAifyArR&tt*us{l0UkgJ>7W1kpD&;L!5@HwCYsO%;D`Ob z@WVe;{?cFii{&d{`8iz~UM>90Ik&j-SsrNHmn8zvCO|KJ8$Y#O?XMGm2-y|X*Kw*B zvZqdd6suQR(aN#!L|(dM)@E9$Y;v(cw4-~?~tJmlQVL|lQ|Qc|JpzQb@i(* z_%zqvxN*aCaoQwx3K=7fztX?( z<3jl-TXSVgga57;PA?y3SoRn0Co@Rwdo=uG>FY%z3*zaT4 z$&@-nU8qgB=`4V}2Z1Q~Hix`IW;xTj9UZ}>eaO)|c+?@oBtLDyN%^A?bkD+s-&t@O z8^=luxl>Od+fd&*yRC<$;%U zunz;PEyD?!gmMEw>6ECrtYa9OuCJ;WKa`#1!%CJjFCQdR-z8={13-TyUU8cjIXeGLJ zBf^I8FaE{9NRhvz^1EOEnsr~&hHqY1LC-z+oYIT_Y9aQ7j_HY3PvavOgoA^9zdiKN z{@FisdmcDEWFccwVQhF4su+8!t?5W4{TL3HwL zQ|qj6&`Vy?B-%xJ()C}b=?`Qf?(|hmegen_Ovw4E0c&Zy6)wx6f4Qw%Hl9&Cq560y``hWd#{IV=QBKq{fK>d{R za`F)NL#xmO@Q16AQI3nPC=cDM9cXWnC9`jv)P9gYT&V-hjg$lUVdC39b-av@;`9-E zODn4`!#-RD@Z^*8YRhwaJlnyu0teaz(VokL`To$ipbkgdWo20x8ESJh-tx07&_oUY zDtnH-svkfanJEWIXWuO?ESIH?h4S=sSIXVD-jL7Uv0R4-@zFGH(fd{Zx%o1j@0ZVh z;ia;^FjM~i|KtB$_BL;s26L_FdhvlS{fZ6D!+edV^u%{;pNeDIlwqy_sE@XxgC9k! z8@fex4wpcS8fQOrcu@XV7f#E@)tR#XaXAXlaH$D1!Hm;pU>e>XvY^0}F46e9{p%ku z|CiTZE&q6SyKJfYNVxU^iQ!v`$f`2<_IMrx@l^hWFMZJ$Be!n7Uw-2^eqHnFR@h&9 zF#Z{5+>#;AQ|Q*W(A{=WA0rNF`kuBv84@0T1YhJpT>1q6vxLF}2m0{r4f?Wo$m!cL zn7{@U1Q8|FfTI-GL4-+2j=KO;8HOvB4ZemcZpX)m<=Np}dG?yFa-Y~!4_HdIMS7_I zOG4tJH3HW(PeV(L^4`~b<@ecB{C;fzwNijEP78UwrW+O3&Sh~rw`R$#Ni zLv}kmyXAY|d(E2@a85^YHOO(Ff4EKyW?ucV3BhN{+v%0|LxJ!?2$i|5AEefVC|;6A zC9;zSJGR6|Ys)L;p?31S+k2{)z0`N}l6ziu<#jt`2hp2Q5k_ZDhYl2T&(`)<-}u%c zeCcO8Q2%3-jGZ)~wa~jgNXi4*KlC{~qEsLn4B*H!+02%gUV5p#`NmuRwc>4EeXyB2 z*q0pp`{nlg@0T~;c%!`W`s==e@$so>aO*3M`L$@Ezsgpm?Q$H29O6Xqtp(rC39Uj_->9vA?>rNf6i}x4R?%HSO@C63ORI*t zlv}TiCthcV8@|dbxWk?{u3GT9P0yx?&4yoB)i@nXCvI~NJQr|Pe(WEyGeF4&$Z_5FEtEa2^rG$@@L+3^DQlnWwi8LEow5?<05CSTz}$% z?0Z_i_4~gi`LsS6@KY{$f**0%hqWIYVG~OyART#@qL5krz&t@xe~hnk#)I2yoOsTa zA@O>i9h;RMUQyX+ujvA8v0vuT$6}AL@r@f#lL zI~!e5L2_Xqbo2boKNu+>8D*@`*oAZey{^9)hqfxWFH^4ye3f+gs5q|m`+g=QJ09== zQ__U=*G45{VTj~B!da7me)vk2&uIwUcw(U}JgZLjQ~8Jp5Z7SxP5fb@%3)xUz#1yQ z^ZSeCJKO3ArT5gaY&^tC^#t5L(Jj&%p@T>O3wX#h* zTLR_T9=sM3Pc6^1F(~T~dFh|J!~8%otx7W>2Fiy4zG|7}G{h`)xdE9#O^Y7@hd_A0 zb_zzJY~nd$bu~_Gh=9~N0qUBU$}6wDTvk@NV$+qK7ADpn+;jgZHX(Lk@Uv~DOF3m+ zg>b}6wH;dtJEa125Ra=ZUcWoy6+Gqlw3u#fZO6`p;i@Hf#RSU$wtE1@Tp!{!JFi7;m)eBkuKoR8RH(Ayt7ODTjN>Xj%8AmiJwEZH>SL6p zZV8>P#)pF1iZ(ISop0K}mTPa61kXGLZeMej#WWPrM_dI{Dje(`NR_6>0*eG zw2oic=603LYJW%XF_|f#e-cuM&VM8;ix;P`tLIkA{oC)`7kMypW(M2372?R3c_w5~ zt~_zhee<1f{{O`Ipwcv=q8O#NiT;_7OM<8V1m7BaexTfT$LEn18swFxPCzjw*aY*= ztB#Y?S2RC<_Uc?&Th~TxLGw;uy=Zlz31gr0leECZGqi=sl;!1D(fuv_6 zq6W+XY>Wtf5R59Oe1yW?`Xw^J^DTBe?h?=%>aaqXN(fcg)s6EL*h z;WA43=DG4a4~~2ava2?ngs}Nwqv0tXUf%iD*s0*JE6Ck$4&Xm&}F9efVooqQH4K6&gE$IwUFcomr+-b|AG<1W4 z`;gH|Ox`kC*9ondr9@91n{DZLXhYYv5~)AzmoI+t3!V(`Ke+Gb3V03ga8Ap>jCw(c zzGtkqKB_}sdCytd*=&&4L<&)rp&yez3m}2TlCsdhlsg~X(`3%?%8bgxhg*I+pI;F@ zJ|20(#C{zA@|_U$}g7ZTTg31?k1vHQg^>qa}#9nI0@MY`61KVs4w@!GIL3*K^1WG;d zyztAoJ!Si-y{{(FSN|-zaIwGbrZz5UWBgi4-T?ai=RaTGe*10NOj{q(f(|D^*4>?t zJ`wXAgCFLV9avbnU&~3!JMX+zZfin+K4R9HN@wRQ30`EvE@ z6>myD^8=qLpZm;b%V$6L+48ZMUMe4b@x}7ov(J>9H{bTj&Yb#!&pM+48$T4Gzr**@ zG;~S72pdJ5{^AW6c3IdSE5syPPm-^XtBzlfP+$xPPGe z^0fSeU;iUN7+q#~_J{|bN8-rO1l(78NBy=Xo$iX)J@wOV*>k6i4z|ki(T?aImifVg zHq~`(;$I>qPqYs+Ph%_iTW9*7wEkH}^uhE519U=b+t*a(E1V*#oL^Su7g4!zV13{O z1S_&}g&%kxQ%W-?`2_vq!lE}Z{O}#GX^ylhVH|SXqnz;eO3z~hem>Q#eNO-~-5ui# z7*~|}*MkSM<;wNTz;$^9Q_>%N#h)OkIM|I_xC7Pw?A)MSJa<%v3mT)# zF(%x3?tq|epe%u6l-IKQy>FeA-_*s#`$xOw`r^4Vb9Ab;kUJBSOk)6l^+gLOCu+t# z6PpHmO8M@0zvpqwj}h`2b{XY*V8`P zJ1v*K2x2To9l-=^NvIWUEL_+?n}rnokxzYc^zG&H2ltN4eQi1RRn5KX89AIc8dWjV zMs9aPq&+{mUgWD`pxj>J_{iJ~v%Nw8YV5k8+oc8B&SmD*(h3~FnyQS+k!J(EIZ_e) zq84Y1b`isuCc-s}{-|A`BiDpC^^`*s`sS8qBD#U`{%0N!~f#-_w zBT={G#)3GuO+0bZK)~cgU{kZH1(A~wcJvSKZmJDF2QMZU=GF+S9?pV%YKG}Hg9TS<~3X<5p2sL>IxekZNg1U zZP1U+FXlYZSU421Q+$(!2ECMzg^Yd>5_Z+U=*u{k)E~@afp3fXg!) zJZyI3$*0QeZ@*bL*U^WbM5K)6m0V0r01F~V*XWPU%|HRW@&PJNgDBy2giqveKD=M< zy!S!5d+&qt&Rg%qE7E(rez?+_NY3LUO}K-8Id}eCdHTlF-mtJyS<*tl0tIbfty!hu zhkQ&xf`mZ?0zv)+g z;6|U24>_@w>+m1y)b{+wQu&#m`f=Z$h6ywbifAAD)c$6EIyfq$6W-#ODG#>i%QwFB zfu}!zMYRu~y4maVy7+M!_Qkh9D$5J%!`UPG`AA{QVQ!9F5Fd!vj+iZ|e|a7XUDYSv zOoeUdxG<<2PV9IphL))6=HDf=A;e$yN!`Op0p292y?;}w>S#MF={{5&epiMQ2fBhc zRd_%apI}Vk`y*XA^thcPJFxNPC*U=5RG)9@q)dSNUc^H}joX@vwFh68t{S)Vy70Mp zd86EY@0L$oBuY6NYh*}>c)o@Yx*=DuTzT@mbRCy(fBj!*Q!HFlOkEr~CK=XKTDBtZ zHKEF98LJJz&UG^(Oc@02F{&mvKz7-1QR$!4WO4rTd>Ni&%&3;h12O`iaJjvLX-g9O zm-<#4&hhB5eCOs$`CVN+?ZQjrYA8MZy>tJmn7NQKF;G8!^AEpS zKKbcSm8Ud!@TTE?jcH!(MsLqirY)HxfoOE2WrGD56ySLt6QH-Ph3|6Hokm^zpsgz^yTY0^ZfrDLuPnUXbNG?;$+#kI2h z3Wm$cEr5PA{VamE^OZ?LmM7FS&WjW7eMbhob69Sxv-T*{j{Hb`*-_(oG+;8oar4J{ zAlY$I!UG9q1UZ3uf~3-UwU=B`M*|EWrzg<~lR!rh!_nXoMZ5b zvE>F=b#YHWuC6a!IA30P;dxJN+~-FA<;8&qouBzIEjS9)CU|o^8^^qa)srE|qLtH%9aqi*;e=O?uySMzx948%%veCT!p0B3z z05^-0H-J)Ie{EMD;5`x_Mgd2gEOyanlBxO!6Rl54P*jci?Fia(3kZEUQgyF^U;p_- zRX&RqR{>QkRA3yTZoowwiC1RXkdgI;am%Q1aI{u(C>YxmrMaB|UR6GGlImv^~p z?|#xmSHB;?Ex4`C?XtbKTi&^Ov%L4-d)A-ZK=kGJzyGTHjKYm`8)bfBSeE%w!NujW zy0%&tB`=V-B$0vdDxfbwnz@hQXBT8Ep;)JkyA6!0$FFdRKDX6SMcr=wP>u4nGi`P3d~%A8_OpjLo!!QyBZ1vA#AXd|B4FLM>u_y__2V`N)LwN{bXM4H=JoPMy_d6TDh_!ZwX!7iMHoZGkzHE)9B z*%Vk}FL)_qI};{046*2sIc;d-2Qe>b6LSCk_e6&ck}e)32hC=A9!zr)w-Y%Pxp-yO z4-NnRSASFO>sZj3@Hj#b>lAJA2l^ikU7$bNw^6PVuDU)U`R>uT=`FlZ-SXdno!&{=vSw|j_jd$Le|^>;VRcQwvO>brr~;Y0b& zqBdqD`Qkovn8r0fxi6_gZYU!=7i$9SfqZ}P``;)pzw(M7S|7?zZ@>F)=pbM$1N1*C zS#QN?>=RAp>3@JJ!WTG|mp3oyP<_X?FxgYhwF}0{xrQw$H2utH?r2g7kj{VxUc_R} zRh6BI0VGCdBB7+@gNF|%8X$d5Ba;C(mb6K?ka$3oHk#{o%|CzKB70>9!PlrKiw<<&vT~W zZ2gDJfeuJOJFb+82|kinAnlU?db_qaJ#7$>nKTQksA*7&CpslK9esWI@@2;ynr$kCPGJM&Z`X!QOuNoCA4uio&<&sBSZFf&-n&A9pRN0yZz;x*k;ZRN=s6sNtxbnCbHnfn9hX8#q zS#@mwGSCJZ;IWX1us>am#W@jSvE!Brf#*xWOB>P8Y$oemR{82zzgm9dH-1A`zVY@F{m3hM01x}-i2^4j zyt>UVe?IZV6Wz~|Tkc+b{0_hmZClAej6Mm-4`a@4%ls7Kdp`b$iZ6JpU#bkhpdIrG zF}IR)4h8sS;bn~vzlx5HJNE&fzJo{gebI0YvY=B1{|Yg9Dv!CT>RciFKKt5$kBOY; zU*uAZZ!>=8*i}C`{G5P!5e$ix3tr`69xt_GR6dA;hhK}^Xnf8b!Xj0^1%^545d+` zYuloWMtM=Z`wg@LdA@}lVNx9B$~$fWoQh}ha4zj|d*ZVoMbZJ0*NV6UqH}$PsWuo5 z94`PE$+W^SgbxLbt7^;7M5QYT+Gm@Nk79yP3Ni?XvUJR8e$#Oa<|k`Hb+XI@D$l;9HjwX7&M^)-mF-M$pnM$Kz~AniC}pfEd>9P+hFEp)W!8v$%kdEk}J zh8Hr~4*K*FGV?iqzEd*Lys@&l;O9&(UcBhvBD!+*Qn_;RQn`BRvNwYCSGQsC6d;=@ z4qpv9Gfki_@d#Pw_Od7P(mAjf?v^J(12b{ zumRA)m)UrbJ`&B%t&{TlyPJ{)omfbsAJNYzAw|B1{k8CWLf2I=8w0+7i}45I^FZ=GG)-@O*d$;VdR2P3 zk0ci{A#H-q(jJthuEb0@cm@5Au8c?H30+m{I~6cqNUKfQFM)O* zkEx^}WhU4jfOsZkx#tFNKs070gQi{a0b@c-!o_@zc<^H*hHT`Gtg^$IvLggeoNWh9 zM6ktXd&dW5T^CzefnG^S`I)Qm#q3+c@BG$pmtB6#Nd9p3iEHKZ)hqsWWbDMeh^}1B zcpPgS%nfvbBEGf(;{sttJ3p@vpKOzM3TuRI3Gk0!D-T>?=Gy%HDMn(#rn8wO;|h{$ zIja6L>2b89v1|Y6iTO~84YfJn*90zO%*iqSeDA3I#Q(TomOiH4Hx3RH1QobLg6D@q z)#)rC6jEpb-T(Do`Tu-#vHauBarr>LwJ!%fkW#qfF_X72*&Q;v;+qSUh4Y}iuXR-3 z?ch}I4iP3DndKPBwji$CXUa)ZHVqb$n6`8`?Vwv}Wu4`yot5ffAs1LU+}KlzeZbcbIdA!;>y*s zy0)bLU~zL7$T?%9$fB&I<%}zp>YB>qSKu%iu<1xWNb_(ioyzChvCG5lo$}giZo=_{ys&aPq-dQ=z|mcwl;)+H`G6O?>kZzS;mBY0{zB(H)gPlVKg=b1 z+E}rvfDAs7ldav)RR`)VL$v`w+U+?BP#T=Y!D;!WfBk<{ zmL(&%VHhWY8#LS&{e6V4`APEmHiZz7`9J^r-zg7{#9#f6Ua>(D{oX^_gp(EdEZ;m( z8K+uI?ra{R>xV&QEBuY`kJy%ypO|~>#7;}*jIEOvi-qMS$)UEg{oc-g8SPw=&o4_< zZF>6)rN<&8Z$2LDidpk4eZp9vEtWGa#k9wVqQAnXenV0HY}?|i)fUkLnT)2~q%S(# zDh-^G-5ehUaPduK<3ZQ;jivIDk33a=|7*Wp_V%~Ext*O^^McAL+~U%TarrH)kAD0a zji0^pU;U^5CoR&4!CN-998xGYW0FJtMw!Q2mD4AZ$R+vk7s|3u3B@%n-~uS2Cm-{# zDQm0`wN|akSDsY+|M1djx%h)@n#2^o1U4>=f9RwUJs+5q97>5}A>LXm|BL_rPI*`3 zM3mKId}F4Rd*Y*!P>!YVYHwKX>7vjlC~WZ5Pdtk_!wE6+(s$B_Q>9b+4Hq#R=g*Zd z{^*an{`ddz4?JcWdjLBC`~dx($HkRKXI=^+4K8iDP$TA*Y{nsW!*}UZ=4;aZAwRqr z-*D>ew!(KpBJINXhatiyHncJ%u@8#|iwgJRdAw*@6EzNZINB>uh}~0H$7T7M+E(r8 z7t^Jn@<|Zs4qRR9DR0xxi_7S}QMrA$U%tD2TDGMSAAUOW<|!s~Kc$Pq(8jH;iQ0#; zvK*uE>_*^3z2ihJ{Q2g@;hs(_?<;42!3o^Abfj76{`wurLW#fJ=0k?kL8 zYhF-3>NEE+oPLt0`Dx~1A$9_BO_nj=&|vCBm5#Z3&nd9FI9-F)?mhLV#bOrHWF3cS^JacQYM{o;#dUIXUVJ2%VG z-bge`8A$vEA_!=z2_AmNSHJPS`&uM}mLH~+ywX^H!-Js-K51m=Gcr%POiw8Y6Bl));`ss6 z%3(Xnq3i@|8W{n63;eVj;5NjxCssg5^yAqobOeXz|0wUV;6AvoeiL6Wdb~WZHY~LD zOaL2RxU}xm+VA|~Z)x0$su=ir1#`eB>3-}P@|maQ0*|$>@>Sk8#|G3ZKn|cD&4^_b zm;1RdwPGfc$;$<~%#W`hmDQ_swCb^iWZ_?2oBs=u>Szn5ag8I|X4s)k@UtSF z+_a}+fOxvhwABu1%Q79nae6MANvn2L)qx38kz+Om3_X6^V6ZSKFTeCrI}JzeY(jX* zGbesO^}a0UQ<*0VmBrUMgAWb|4+cF{Vd8mAyx>d7<3g_5d1aRT!TzE8mRmR{%6wWg{M9ergiJaEy$^6TIA=bn^54FNhD5Inx|!`ASOdeIi4UV6(EReG<;DdIXi++_M%FCK32)CsvMirMG0oLnmB|r@|8D!i$PDsmn+8T*YIUh z(ZA?ACz+I$t+fEwMSWvqyeb7BHTV;+e7t<>lbYqRRZC`*ac80q(FfIl3 zr!b(nR%*KfbHE6Nlk~ zNLPlk|LN&L8O&>wGIJ=p@IUqioxfG10WdI#m=1%BIUPj)*}e|;cd z+mZGMvcFb7#>cTX;j;T+!1$8xl9Nq!jvM4gbjFQXql7m{nmFu7{-P#z7?}SG@o_#Q z8@jY{!=~1(wh8|Wo3sAxYoqqy!KSJf%GJwHXu;c%-S8ZOXk@=6Rdm-psstZyNo7|9y78tePen+BZ+0bN1P0 z?dA5`9vZOvhAVHZtfXlz~A*jyy0rxX^{e?Uw!7AEYi`uE>aF z^6W$dj+K6lYf%tdc=*Rhg zhtP6nAi$PON$Xt~&)khQ1B`5*gdcL*H1mfLarUmV1LeD^5c7ZXcFM1Z5{7EFbO z4BSa2`F_evaLHMrgq(Q*Y&Pj(q-yxCMvrxoESw7=( z8r*XWGsQh=P>_BiEbrN!Ofx_8J%@2RpUIo&oNji7T4iu(+bG-SYqGR+fFnQtKW zi_X?oJbm~iwmY2!o8oeZR-}soLSFb$`E`40Bj}xpZcHv@Vju9C&!ME!X1)lD6d2qx zRX&74@jw6S3-RqAelK>UyjpEl1Y>OvF`T-)71d3J6%VlkVoj})gh3fGq65=z4wUE8 z-mVnQP;`3T7!ZPbnniWVO)FRMW5NgkPB&MT;}wcyMkl507ZsD=?YY`O8)qPUwD*p z;2vY|@@E+zLpdAkTQ&-rpvoav@Dm!s%8l{}c7|!736ld;nhGOaQ?g@P7#1pdDMI0s z{5%1(fE7B@`vDBR^GtYpJ{nSpd}o5|%dfoXR#}e6g(F|&k~?A3;fI~Lb?Z*txN*bz z(Zx@iIS##HIAC*`btm87_|;#IYZvEC2PzxF>$C5`Pubz8ogKDPOv8ygTbK81ZuGnG0g#D4hpN=2lj#8-D67TXr^l_ppQ^t!H!8`#@haLP!#tZk2uIzb02%K% zKP!M6x#pKF<0%cE@keu0PEQOjXuvTG_@Q&4N9I2a$i6BH)r+ya#lAPos&F5x6uYY5 z_G9Q!29^v4dR>*YUDioXw}2tTK_82s#mV3mm%r28Fm#3ukcAD=zNUA!OZ$T0{olO0 z8)I{I;jkA2HTa(RN=L?;9!&S+XD*=WNnU%c*!Zv>f9?G{aa0+$EYVA{sC0G|9X$dJ z$QQ;vI2dh@8J0CQ8cECb9?41%LG!LBDyIpq@C(CoBO_z+OTYRn))_ZG{LuB2%UJL@ zybOKIG9*Y0KQ8#ow2>c1OU9RRh|wj!Or#K z3opdTXq&)Ru=baLvt)}F68NJV86`|sjYd;~mRJ}jgx`RH)_2Lf;G#4nG6)?`1We0h zC77xRc6T_0i%pzpLHDD#v*#_xQD)e+t_ZkGIH)LCFONXs$j5t#kh{{ay49v~39bw` z-*`sJVDyj{CLv3G+r!OhRqL^I|3M7xs?smXTJP-1_~=Dtt1I{wS80_M$t_mW7ES4b z>~R+y-9s5F1rDtoF_M(_Hpa8!u!J0=n0;;t13r?`mjt-bZ-&|3(ZH?uvbdg>{`BPw zF*-g<2q~R3oIwkpa5J;BZUK&rjC#B5S-mq9s z^E?y?E4pXcGQUzT&nwXb&%na>l5oX4Jqk+;i*e`nop}7{aV#w^sUo8CDJF_4tTGi3 z^}L1p`1n{{y>dCO=(=|8TAV#|+I+j++45Wtc$q6LRR+d`n4TDo*I$3t6G5qDXaPf~ zR1S=zWYqQ~7gT1HwJ+X9kuyBQ_wryhKDf7LUgiYUfg^fpdQqz?O))gb2hdU_JvQP4 zesIk)bPNW1O3? zY!KaN>sVnbZ(R&o(F0-rLw||_qmQ{DLqpRqs|B7aphMN)$dPshmAN?ebq7bq)_csrt zzuAm`yt3}{A_$WD*pvaae<0aXJnBBnhI^9+W2Xm?kybLqK^3^8zB5kc&v2;qB!-Xt z+4AC)WCC5lH|BxaDNTCx&|}0yzmf+fV97N6N7{rz_OpyTs>%Y7G7MwdNl!BLhcli} z*BUBiieSVlS(Gu(N{Vk-yciz3;4v6yNQt$?Xrn`w7*$1axU(VQIg0u^gjJ#*O)V3qgr`)9+_6GE8oaP0h7jrfn=>&ACv(Qm16T@i@Me$3YQL>UER zh{h!VSwfDxq(lKvoO6QuPI`)Og{OBTLw@NHyCM`}zIhT|M#Vt!{soaPCums%TSGgAKb|_dA2f;%i;5dqBdJHt>8A8qS>Sw>CotLReNcp3UR#Z| z+mMe+^NLCo7g*=;zuT@(X+wCRIGNoCb9NeJ%a#d z!v`hku76cNUXg$jkz{f(p3E_?kcO)DgNDdApbo7#NPQxSNXe1^sE0o3&^+No#Na?i zc0;6F(sNbxr7U@B2*$H>({bs`ug2Vkb5aK4O;)nVkq#B_#fuk>Gb(756~*P~_@$+# z*z2*EM^d8DmAm5>cYjkHzU>Rn?05&?OgcjsF!>akqny@OH{FE6T+l3^mcU%Gf+e*4i7{Rl&E4Mu04 zplO~IoWx@f74SkY6TJ!yMSz#>jb8l0+xKIAmr;BXWNcZ*D|q zTYP^YJoQ=fo_b>Tdl=fo%D!rzD9Iq}3zkf0@}uX37Q9ud@}L*w*dP~PO&@(RKS&mY zZNq}gJc7T&E4}PbT=%XiVNg79D4a+}8B+T*fA-Dzz5n>{$?(a&nTg+NbQ2F*6!-dW z{K{ceWM{19ymfh#Ph|o>R7D5A!`tJMnVC{0eqFr!*MCiocj4a^DVb77Dy}j~&its$ z-->Im99#E?V&TDX{N1Jd@@l9&N3kfKwke)rkLSFc7980~0B52n2&H!;>*l^_$|QT{ zx;EOQ=2H*%h?h+p;dy*|D$bp|5SOn!7w_Ht&<(#nlio`hAZfl9hIMM_3%7u;^w14_ zcc*}jsVe}OCayhxVtquwlylq=t~wWLT!rk-E~N7S3pPcr_FKOuSJ-JA7@aac0z z35i1TtBr^=UnBtqA;Jk@KIQ!FGw!5UekQu|PziMH$Hx0d@#Dw+c%<)px-d9UniSlO ztKgnYRKzW4Bll^<)J(fR+-LHEEmipl1OIWB>d5&VCb*9z2ZItBC{{!1ptQk5 zGJG()h{vcW1_c~LuSiA8u%XIpYjxfGlQ2>a?H-9-W|}IdMuJLigCeadKWKVaIXiuzyd2P zfiNVOWL$jw$w%?ziHs&0Wb}A|ANN8c2+9k?nt3u9EK`#cl96O=BQx%G04DutDFVA! z6QJM=US>ONw<1+ZqpVTR+nd|*$)lyDLqyO0{cco6OUt2Jv+f0>(1IgZzV3YzOq8q9 zsKorj)0m%MP+Wx+9O$`(sYHA9TgDf8WSQe)@-Y)t!5_UN4CSD!7?by~g`VLtWQ%zm z^bXMz$(by>9%33S=Gn0Hy6kyJmFiGTjE~0j^mxq9PI$R>wJIJR4t)pjdoffI4i4AU z09;iXRF}JgL9(d4Dyk6KHFLm5wCWFqU`u?VRA@+gB=;!5oY0sz_<%YKxz0Kr`Q)A8 z`0__sKP!x|KmhY3J)syEXC#Xs{_OYF5J^K-Nmmx}l$IZgxV(dH`xg$`wmvHyItD5d z9yrXG@*9@lj0~@rrT1QZUjEXfMF7)U{}fyAx{+p)ak>*uMqGcr68E1T#J76Vr_^uq z-stzDs|Tday&9xN9Ki^j@G0?;fzkFz>;!Y}1hbG2kJxBHr@=D{5B|~$w?m)R)oQoY z#u#$1w0p6Vh3xtbhfYZFLj~}Vc$aW40clc3#kKy^ozj_((9gJ&OpjFR-%L!OJcvxW zp+_o+7yTazij@hc^qv5#TN0I;TXPww3y+_=x5DGV3S%Qeuwi`E zrEIHG)HRidJwjMA+wJVSRCMpMuZK?=CXOz;YH1z0)G`{RnbgA3i^>3RPmYbN<+>St z88YN?W_He}(PC%}ENw(Z;k+tGWh*l%ud*UDRJ^R%V&W;iF`fXaT$re(2AC(TE|vCU zw`|)MM#qH zK|W#l@uNqvCZmmstc1hZMkl&OZ9__mRrx?o(&u8*Y|axS+xXY_yj?jHtAPRhQtsaF zUfg}WD*iyp)7v248B&@m6X>7?ohn^$lVm3wAs7kFvl0Gl#Q0>)o}P)>$yuMkTrLlaAC6+UtvbTn(QhkVR@Ob1D%und zIxff1(0*{@u2po1$5jA^7?h>LsEo$Eau1mw~;(SeY{ zH}w{C8fIrkal1wK!*tL0WWg~v!7r)^KBH()EnqtDK z$gb;0!JtTnUu-Xb8Yd}zm!tS*-06Z{;WryY$_M@`&5VwHb4ZobzFGtcc`3TBLcOz% zcX9<0iR?gX3F>|%qBiB<5CCk*Gr4&bum2K&01@#B{Bte~k4g5YE|2x`SU8fx0|@Fn z&DdP2#?Q9)VpoicA!4KnTzW#VpFw;=ZZagC1_V-?FbvNBi%jqXQM}v71x(*S)G?Fk z-C%Ps{J499R*_a#uqVIc9A8)Zj$e@wH~`lOnf#Igl)^)xoGvb>nV+-!Y@R2>AaWK{ zl^_3;@s86=2rlAr_h+Zeu*>DkS3H4@s2pgP3_3w9p+#9SL_;fncaOQ+X$+qVnPj|t zPJwr&gqd`@x*}slii@kW-SL552NDciniL3*N~#D)rJQ8wDE^)-agNisl2?W1p%X$1 zP+EIFQe4l~LzNa|NKi1(gTobv1S(yxjgl0v;tVQo1>P0x^n!2z9qk}?#T-(y95gjK z+=|OHr=)~AyQ3ZrDRVaQ60671!fKQRZ$q$-9i$F%@~H|gmb!7SlB!0KE2E(l&3ZNV zE5a#o$cVW1xzEM=NB5)ubTN(|EJta6Esj>Dkk_|jy%kX(Yer*kGzKqBMD^TwG|x{( zePTFv8p6F4`ousvE?mA8V=`J;a+~;DWfpAU4a04DY0-Sd7w}bUur<9}<7&m?a_?A@ zl*9+gCBo00KI00DQ+L5Dl@RIDGs0ODR{pf6^us{n42zF%-HD(6^k?zGyEkI9m^_T4 zbVV$AQYic7ixwBkjJYWE9$_r9Itd8y&oEg@q3~25RBc(UZ(81`W~Wt=DzyBWXqn^( z!$Xy>!eaoYN}M$4I>wL~h^eW`IDPt5oH{idvvV_Q4WCwd=e#K1V`1T` zl<%syKu0$u<61ByE8>0l9X&xeHoXW;>SF>e=O|T#ry6ph@-U=yNX6G2iH~mGly2J- zA5cD)?y6GvrhL1`HOC7uPeUKw0|87erB@X#>J5yEN-VFeMn?v`&l|#!7Hq)fUUH&9 z8UO(Q^hrcPRA6Myx+~4I0T=KFu(n59Za6UKif!~UK;Ru#8DWq!Y)U%F9QdSB!K7MN z6{Sg!Nq<0#(b0B{PmC#jR?2nU+eUB6!u*q1U04&0i?Oq%7P}1Uk#;jKoI9&)HePx8 zQe3@qDz02T6_+lI%IK-bP<1|9BOB2iUQ>FT(QItVfL(}fPkvX0+wTZBTOPv2cmVB? zz&uC~(joYQZ^WyGa<F{HIc83k$wwLvd7<(`9LeUm5Gh*DuAO%7C14oPB=hJ z^$tc-3R5~iIsMJDBC^$Tg5LhfS5W{L%kR8EP#B%)Yyw4?wvfk8j1;i4cNky&Wg-!n z@SlMqJLgqohU2~PbDSa?L6Xj-u)1wG;^8Mp@#CHS=!==u29bZss}CHEf+-+~q@W3K z9LV8QK^P~N5J)`yu+X?C|H4WWL*+QQg3q5J*)FByr_bDRpAMT{O4Gq?1mK>*C9`4PBj1*5cS6@w2hJB6VVL=jr~rpS+Zu69zUfGpkg^=|^4>L(c>P4xHx4 z0;jy^);Ya07JsFAh+%_NDql{0#!!Gi=`m?nYBCn^Q)pTS7)%&Is;C)eDJkA~wGpGb zm}4?j8*(oV^FL}b7C5-EDQ!3^Mc$I-&ow+$izE37`zjZcRAm?mrivq!}twJe0uRvFMb1#Ov!p-V-Y;0}$q+d0uqjYI1_M1ad8y}9B#%HCpThVN{ zj6b(TkVB=zvPtmHX}aJ88Q{IqNGnJ7Sji&Am1&-N`y_wz&Rzk~knqqf)0{MRIJ^!z zKqvXpn?|d3OUB95CyO$4$Q#rrK65-3oy&48hhc=Al9!)#HzVkt{kee86WMY^RTd1v z(BeORb}qrKe1L~%;B#7nj3GnrTo@lLP4_-2q6MvgLh%h+`K%s=ftN>B8IO##-D@%; zJiDi*NZ%_Giu_RC=}J6KPPA~3oX1d<>oprBEv46zK|M0oju{zF^=2g&7oVE`7+~fV zDn;FaXLz_CXV0FEFMauoUVc0xLu7n>H0D*o-??)y*4HOiB4l#WbW}`^$2&Gtr#Pu!{Krp8|$7k#2f*PLh#P2C=3|NNBKcFhTZd5uDPuE z!5>G5g#jsmI9~`@j1SR|o+o-v+1elDj`?H^-_b+Iikxd#pNmVEF2?CobMffOZE@$i z;AURUw#o@Ft*KlXB&+gU4-Ro-vo;J;*uu-n2ZckyM#Y+sYH*KGN!OrYk(}fqJL^=v z*PHbi86A!XAAd*jpliY<4!oMjl^)OBGjE}LvLg={THh!uJs;Ll;7Z;eHq!HmUgnhN z*QSSJWZHn{1jJLt#)8Nq2qt}{XT^XMYzNB&vHa*TezbECcMiG^%bdn-1?`D%c;@nt z=uST6VR?`T@beKPcet5O&}I2MqX5oQVWFCh65yBY(!ih>yCfYrH#-}5@7#4b=EV?} zG#y_q_27|4q62b)BMl-QgQT*XSM`#3O7b!{J^M`r@c0;T0S4e{ERLX=5Gjr0y&;qd zMkQG{l#sW^R{V|>(x3ZPh)p{Bm=P{_tRxD6Q=-Ksmn)g{o(fUq?q`>9yAn$u9mRJ$ zGD4J&$Zuq#a&XDGQ2I^-A{S}u*{u@FD46hrA%V#RB5v^v5$)x8&lu7!8if zPDCgDiLtSG?zxLnY88c5>t1(SKlEC#nHSb?&)asR7{r`P13D)7Q@JSw%xy-MSDFZx zzJX3!XTXAyfWkw_nyS35+?CJ9`i76)Hy-$j-Y_{~ju}~3vs~3TRi3-4@}xCMBF9x@FqAo^i z@0Vh7cQ9u9m6$oI#neE}yA`rm%3!@5oBeJKsuE!QwWL;YNqJMgqp=ZpZ}!y607u{q ze#>2|7H+-QRL*io3Rji;>G7$!|L)BgTIfy0XTx^sr*$wdXc=+K>iocpHm6ba&~$GIZ+zpi1`p-`RbSA)akh-2gM{)@Xuy} z%v~59peq~OIT?q*k}9u+V?d>61|y1!7k5SCl8`bMt@Q4^likqDHB!{#_S znjR7i7|N0wp6Lvjzxg-+rVRd?<&r}T*+h*r!4-Q;fK!HmFp@Ed5=~P@jX|=sv}`_N zwOewB@#<@@#_#;ae>)yLxDyLcmm~`qTar5t@~gm09i_{PB@F2e-O)k2(gUo{>Bz9@ zMzz#1kAR><2}YXeP32zD-ZBAA=`9DjS({K@zAoqF$te$)l5?rpITEKocd$4R!( zm%>c)m!lt^cfL`7VwAvtV~TT5c>Ut2@Hw_01EMDm9)+tg9KDNhK}Y0Aii1p*sIa~= z9P^8+^JIW6C=c}3t_-;yCGJhil)k4U5S2J~2@Wnq(09S4z?Nm&TsgdR)g9eKTJR6? zF;LN4OgOIB8)-Nl{0itK4J3zAfew*jB*PyAS-A=x{1bn|Ygr!w9rYUtWWFu^)^GoX zZw@k%Y`g27*64y6#Dau~j>3Ns4|;X?Wi$o$KTCGWc3euBD1^lTz25O=Gr!Y@0%S(t#G^Z>26r+?2}PUo{14#Fhtk*)mfP2LDW^3N{E z(L=h+;de6q?2Oyu-GZS%!l7JnIs9=P%5m~tE~g9QgPmcu7G|a=^y1#nFPT zNZB$e6?`yGzqqg%EPbSm?lll}^fEBRen{z(4y{U{rb6VL29}B$4f;lr;8R+7DuBCc z=^^nryx_&~s3|_HUWP>dVHruo)ly7URM{Pt5eL2H8uxoLo$vJrASpiDIPX3d+r-=#phmmHLkt% zQk=bTQFyF*h--CyErx1rpPl&2+jgsbRA5!nqqnH9T^iN?yJxFZ{dA)>Ez*`uu2R8c@@2XtU6K2^9ZJ5?IT@xW{E zAU3vlw8y*&z|zyw$Vi8koJcVuJxlA5gFo? zXv$;xP?-{5RZj5_^iUMCR6c0}GLVenE6tP?&keTu>R7 zMR!gbhOcEHlsKih9;0J|uQ@0=DaXUxZwnS_4l*+pxfQ$(f9Y{( z$&mB|!}H+9m{De6b3k}Urb@5B{`qf?PK+tR4JmadOUYW5K>{!txmJu$0Hp)Ox+@{9 zchFhWw}vj}mDKe8H@<-&p*<9z3rDF)55oRj=c-dz5kA!+g@YONMzilot;@%)_*aj& zgA+w)QLtu0V0b07}3Og}L@_!az;frv_OD>n53tQ6u z`TA7Y!trcjmcX7gI8xXYE{D%|itW3?eD>R?T;b(!C!ghV+>`N)XZ`Ulm)l{4%c7c( zc565;UcMMplasNh%BL>{P8ckBwjZZ;N5zD~Mrn~wYPlgK2@I#D2aFR83BIEgxzloD zPXrt|0j0!cjz?oyhOGPkE@H`Q}7C_gk;T*}r@)=KkFm z;_P30E{1;huSWdF3sL>6UyZ5fzZ})c{dn-x8&N+{t5bFOc1;R%tgXZ(@a1aEzVN&! zQww2HQbja-dN!`Sa4lYY^Yd}$%vqoF(Hv>U%F?Qp17!im{VrSc4aSw{F2@8bHiSbQ z<>G=qE=z%1(@A;kQ-R7Kyur+Hy|KruGT@n3ik-sbiM~{($qPe|LjoD9OoqrYE_K15 zd;J5oh_a*%5xocT>idaH$G-dwX34LHU%S@dRE zhsg1jhL$wFU#1R@;tMi1UOnH6@>tS?G?A&~k0Wp+UHsrvcoyLj&3h}g_}SBq_?cin zV419N>>*IWO=;U-!iUMXvEiEVv4Z5>|j|c!vt0uKhK!Jo;LNYAI$7e`2{9D&# zak7sRlZI@xC>}SLk(8cY;L3h{`EP z9;s}+Cjk?plqLBTOesfrhvN^BcpzQM0n-=nzy&KJid_C9P2DlJ^jGBf%$-b^_=c6q zP)dhS-i%A2oIiIau3x+ENr|hg%g2hBZ|&xY5Tq!&q;}m>YszqV@x>QBGoEqnsj&&Q z_GaVsshMceIxh?idOVtHp*ee{$-5^K!e|1lrF3Y?TL8t_j3qN{Yp@l`s}|I<%asF; z)+$3W^lo5WuuPP4p%bz9QE(U^C}flqEm9^1vO0!bF<#tS*F{T>*23D(R?Kg$$KXLP z?!SL4_O~`-?2W4t(`ymes}WcKzL51*W$>1+*Q0m+jVS&47h~!lT#vc8s&VPkOVPaj zAjW#-7;lXkM~!pSGE&};ZMAA1-@6|>8&Zs&o%sH@{y3hy@|@s0jF+#z7$2&o3BE8o zo;-aj*i^}=%wKr(%@~%#hlU)LKzNi-?&+vWp}PewrA-(;skOW%JTjjm^>W~7nNlek zN4luYGM{|?>tBxtg3T47ln4%k4`TwQ6)Rgt@_wr25Dqv=Tq% z%8Xu9bWD~Hlp75Q_&Qhm=^f>&sDcYeFG#g2zG04wKR~T*`w< zc1}m(_`~5(g_bY_Q{gEb3QjLS*SCaIjM>x@Bq|1;m;Os9Qa18n(ee7fjONQM>jJg8)F#33(uh~5=?buKdb4sHrL|*gQu>*$QQT|C6DGS(E;9VD-QgN5yj9Z z#sEWT@Rxa0I?}yDGEDkAiYZyAM?^JW9LUJ)NhbP|y{#R|77b?e2Yf4J7xwonE}XI}{)6?RdW-6^*7{Y^u)aOSUlj$rl3>gM@}Tb3~{QogS&{y8u>_DvO?N zz>04;Fp}P2K;b(&o*3p0`O}j^!-hKU*6mv{clLCA`O9DNnOf|FLz#g-4O@~#(feZf zi^fqu%`Zcy=-J+bf7qU&vk`l{dr@lE>)&LoXJTr`n>p1~;cUz=S!j%2F&R)_V}Nj+z9h^Qpiph)g0cZ< z(pLek90pWD0DH>^b*a*nLZgiIX!tw)q-O>_N>cufS|iP(SHUS8MhFs4PYVjf#4-;OwSHe@Lz#3?7zj3X)`8BoK&r{`WwzV+3ZzB(P3 zUwkd5YvVDpE2H_zM!bCfa&%Pb7-r${V16z7N@`23h(@as5ANQTGCPoRo{EKeDN6Bk zRXD!#!t*|`&NLMhutb+#Tj`!hsV5`+8EsMEOd4ilrfJMSam+Jb6fUg%kQyma8C)GH z=&j8yRjyzG9!!-D6)}2%^r=9NE>PY(i21d(*bwYKSPkAbN|G^weDX@(2c9gbib| z)6R6lk5|#!KKe%~!7&Di@uD)g)ozwa;cO%Um|n<*c}|3LPnGb)N*T(9pU>`5SoocH zhBBi|uT+u&U}AWJbXS&FVr65~%e#k1+pZWa11dAdCGtikJuagj&SX9Xb3TBJ;j?zD z9V2ShvbPC&F)X6wEvp#u43T(OOEOcAsi%yxrs4nuWdk-FTk-@4$e9fmC1xHGe-kEe zc$dnFfyEG+WEn?-DhGxJ#yo}~WmqZpt-;V3lW|3S4@HP(L}B>GLp5qCZ>(>};?wzr zcU{OXy=^oyXh_xyV;bXYU&b}kYrYnoz|F9ft76>+xeOou9~2IRPjmn)YKB;$a=0zJ zY^J!1VLhfY;Ru;HKk;IApfJCBVybaS9L47gc}j6e3pgNK{=9xL6rXP$Mtf8=Z*a(- zU?>uWMt06O6NE?J#arEeY%f*fN89`HP_lI(y5V}FMMwA`z9=Cd!O1WTAPFu>xbr7H z0msfKj9A=UC5&0F$}~*PtX}bsSiCrh`pRn&CbP@YtP5K@4jn1^40nX zSbzy#t29hw^pSqYPWVCJLn9(0H-j)K%(Swy5L-PN4r*mgoj+qMztXJB@@_dniiOL_ zBMt>3!6ekOq$!*HH`k~5hmY_up-V$mzGE=uIZ4%apg3*avr!0TTU;(<9NsAZ(Lb$v7CVq!s2q+&&EmV zr7$P`xPxEg7^XjQKNf`iT`s4Kb6j1<4UAUAaroj}4$sC<&^5iMN{h=qO;%cxFgeP_ zMJq6e;hRs_g^#SvPzPypC@UOjt&OyYz5f9f3W|wV5yme#LLg9ZC`DSIc?FEv+U0}i zfV7ix1P2O=xER&l?zVVQxRWBJ3><)lqwAM0;Fik9@$rU@^q{L?gtWj)MN65e zh*%SH8=wZbAV#Lm>H2Ft@?S?dj{C4WfOjlE_ zjms?`p*bbv{A|4uzchX-PJOZ*zi>1jZI%kHk<@N~tcRU`TKc35WD<4Piu(XQBnmBM%0c zmjO*>*ogVitu{7{e`Jo_QL@mQe4h)sPB znY7G&lBWyvYAvSIs)^&4D@Kou|JI0%Y{^ku20wDc4!=xXWhKqz^pqO{T<$5*MOlvX z5I=dFZ{Q_=Nsbh4La-1R+Q?1~J(gB!ww zOWgZ6ZzTDU-u%>gQ@ZE8xH6Aqe=gDyxYoBAuMRUiIdw!A8kTokEz{B2iMVw3yd&)F zcH+V9yK%U>X~mAf$t+SL2;osw=~7f7%JO@q-ty7q9Jcd+`qF-!{q>e@6-`gxJG6#iXa(zmKnksB2w6gm zEHb?#cqx?f;5*^;2J>ZvxhF+;$Ai%NmeNRYfJpBwCqEpBgm)cR4^(D+p^TaNbNamJ zJhRK``5 zTVum~Y^R4_z4vdSqjhDF*43M;;+inQk4 zed)d9YJfZ$-)yto-|NXv*%J*_ZpoXKn|K?7GJL~U^(^IOUy-WfHjhd%+EvSKpUJF4 zF}fi|T~-=Ik@3(y*p9yPT^*8fACjrDMwDl#Vo}QE^xQ}^_N%eK(usyzA>G}c(-)x8 zP-W6@)nc_GeC+MVy=7HGqm|ecr}UcTsI?nDsFBI7s*c?{+3R<`Bzj|GD;n*VacUe% zK_wnf6$Y|fuQg>8J=;MO2hEK`<-gP2HXh1DRU01pyLnwSf)+dvs{*W7>TY4v?b_A- zXn!!id*gi%=Wgz*LO7IQ%4i}V&z@Iyz_GQy5l7oy8#;WevVFJk@1ZzETj^yU&3PZv z0#F+Ks$FWN~0!LVQ$#=IBQ@X6m+M7p)qS53nNun@%x7YI=4yS~5!Lt}ctg))$rp1S=UTZWV$c6a`2}%;-KH z6LL`A`)cL<;&44iKc|w5sSSPlCszQ;4KD$ClE8W~;MpsGgBhNMl>%Jp#<%a4qH`dN zTLd^z#UMM`X?hnp-N}MUVJM#zFBLp7X(C9@D0LY>V>VW5pa^&;ya_0O-gB5#hV%}R zxpUZB@j0a_hY>^2{7$|QC8vkW>87%aDb1DXo5LQLUGCGjtBd)wQdqfi)% zVPcXeD=BZn@9cEEl$LJWG>)t|3dd~wj9V(Lr%xWmkrat1fQb)G3mCdkjvRH*E~)G$ ziV0$IRMAlG7&y?<#-Q@8(kr8MN-7gQ3>C#?wS*_SQg(3&eC=*XK#)SA)z8FhMOQc( zC3{ld(gJL9R+1qyBq3lz_mKo|OT3!_IfDNfssWbjwRi(>32PRB&$e`(p{EN=!1*k_nIr!4g`tuwrd#7*a zfBmv-<%@FT7#5&w9<>8AVkFVE@7+xWCapip2%N?PB~iGvZ-o2=4=&RIIoT2Ik9Jb8 zvwsRln#nlZj#bIaHWfSauW#mL@-okhv-PY$)8U}UoTWYCmUEmoC%M{{0xU;!~r<-3t6ed_m+$+mhxf{47bubK74D`+2H(0 zhDA3XJ$P#V%4eFep&_^%mMpYHe^y6fgsiWwdGfj#RevU!jA>f%T+$Pzy7B>L;G(x_ zT{*B13OGo7^C{lQPdxZ3$w8I}j6383979*vO_W_fxQ8(G(F&7M(Q{2tZyoN%wbSC= zaaHP0NAZMbPS-vX9COyfhxZ@yovy zAH4s*(pH-AEMax!UXgs^GQOap9pQ+kc%|Wb!$!!y7!zxmS=^o!D5b$n{qf0(Se3%W zK&eY9?rg9|8#JqMBC4`cg)k74%9t@t4nX?GNF~Ou$V3$b9ic=DK$N8~1LtReNPZ_> z4uA6QXTMV6G~&N~cR)g`mbENGc982~g%@Frbg+dh3e78o$VP!NAV<0&$8ie>VhEd_ z3xC~@p9{yEFPKKoW01-&D>a;ns4Fw^f0ujq?N5g}84qQ2GDuE4yOVk4c%KaeLlmEm z!+;&i!5bCzMXN^N1!shvQ}Xi#ZkfS ze;`@eie9XhBQ`2Wv4b2{R92N?P!)5(F2ha61U&kryBQ0Ed+|i(#O>|XxVg3vPkNo$ zRAt>fH_I^*?n&tEZHui{QJ2N%QkGBW=VN(eJ*rZ|z(sk1ok|H98bwc&o#4gc4}I7i z3S7|z&U;n_s4m{Q(n+@FNLXPRTD~xRK^c zj0=}uRigZqyOk&v1F{WLfIpYr=VUm(zJW7n;_^L{N5W<2vMCOZ&xA?gQW*Zbg^bMe ztw<~NS}HH{RB-372Pzw2W0Eh%D@B64;N@M(01de%83M~&n?jj%89LKBrM#JJn$v~m zq)YtN3njT^PLn>Ysxn?$TJ-!MD(_0QE`#BjK?&VR4}+vGmrvPx1i6Z)^&N zT~7Z^m-h$}F46&b7$fwMCH%RZy0iQoxWEPYgGF}EHM^cO{DgKdj&O`cs*sA4^ee_H)Wgt&&TI=% zSEj!>bPyND*eeDjku($r-hhuVI!T&@$pgMp*&MLXX!23~#iqx@Vrtj_}~m^@kio^ca@#HtCld)!H|msF8?LJ#zQ$ z>e{+0i&al{x~9yozVJdEO4%M^i3>>}(j`|SAal0Vuo1#C-Oo4oV&bZVS*<@>Z7`Oy zaHRlBh?;vEvP(dxusr))@%^|!D)@i}rWW7&fm#=$-k$t8J(r#k()98r-4R3_Y=VL? zjv%mHR#wiDvfDR3CuUQ=36Q849D?{3;&aTg?#w3 z0aN(r3wQiXT%O3rnJ1UivqDvQfSvzA7e+1aFEYrN9s#VMkPXG68B>Em;(%m8m{p*bNHHin zDfO6)p}^EJeNf`S0>2oalncX(4b$pYB(#3$)uUX)J%)WGXu4>5?UavVzSN7=@?mUY zqbbQlDND|Dz(^60u`i)(tKxjRxDea@t{N{YU+hbn9LBcP=h{IxZtbkakM4dHKe+v2 zd@%nY?yj%KqEfm4_+i|=|3EFDzK5xrV2_Tdk8I2MU^mN+&8^tp-O)32m;5LmtDczC zF+_#DBLj`SWmdOiV67Vm>)X*|g^+S$chQoJkRurjyAvX3Csz>!eb(=--ToIhYf{i z{6$Zg%P-o|OX{%rD;vIHl#?2Ljxtr$U^$AvGF%oOW#Bh3IC6i5(u8n@Gg!)tZ3e8D zjwEwk86Mq%_?LHjo|NidA?4YVJZ%d%^n|#c10M2)H=LNjmtTgNo=ETyE-A09sJMLX z`FQQkH{$g9b8+_kd0pq?jIL92bK;u^N`o3rbacTeBY)Cmz^G^R4z#0mnXa;M+vXsDTOiAW_rCLIJ63BJ?@E^{k{G8=;p^#C_C1M z=Z=!j>B2zvGm4+3kIQNOJm>5LcMkImcH*6UewKzAq`2E4IR3_S!9d_ljvw{js%k; zQ)ziQt}f1u8I{7~Ocx9mc&c?U7^h@3pBkt~P0tOrmWIT;Rk3Sb=`u7hs1^u$k{9Ll zV>9w9$;e{93&;2;+zH?Mus_HIZ7Db_KU~5mX)ydm8Tvg{zLF`_5#jn0Bo!kW z79!WKTFq3-;CM@wJAS~P`3yKxYfBY?6g&Q25~y!HTi$dTC!!k)gIVkhU8Ox%fK3;? zp^GVAyhD@36N#6tOq7OOe$oki=Nr65{)Fj^KfEcC@I%~vm2Cipsl0HelccnCNCSsF z6;JpjzI#%27k9sMGQQud-Qn)oWgy@x}`#jU1yNZvxP?~~X zFjAodv%ARUXl?V(cP}9nBKd@5QjUG;yWA26&g|0OB*|repM|97)P%d@Wj%A7k>}eR@DdU(x@G3^+FKN_b6q<;Dl$@plnOUU|9P`VP;dqddo< zC~yAGa1C>Y=xrhYY&bG!guPtee*5kC!4G~A@7=hm%7eK+NuJQ>@E|Kz*ubk=8;+)A z_k~wp^=b&_ZeT3XQ?b6X8H;M9uC8sw&K9QyV_cX&z!s4M`^r#Y(*r`$CU$kqrZn(b$*4D?FhC zGK>PJxDq{a#KABm4Dw44hAabbqd>1>Ro8H<6;spGF*7q4*RH)_{Cl_?9cCFKCBaze zTaXHG-nw-wc4VB=Bf>;zhSfYbuu-hKDfkM$$na$Rp_A}ekSNtF)o&U=0y`WT9lBeF zrFyIdo}Zt$)yhol*FOJx%$_+NWwjFbwmVkz0K@@abmOC1r#B8`veJ){^THgpx1H`r z*8hO}Y!HAi3Lvbc^hAIke!m{e2mRPlgnbclS1^OCv_4v80O86HqJRJd4eJZXe_M?? zT{)O4-!qutggC}eZlREtE@G1I@m(4Dr}z*6MDy(LMOx%%SP}p!o-UCHx+)C*Ss6VO z6mBUj#I%>+frmSJ*^iv?KvNf$jQ-GW07 zDzx>h$^h6hUSK|OwyBDUN`T=NjsPG{md~NI7{k}A71ZX|rpJ7tk;j^qE>`lMS~4C& zQR{3?%I(Haej4+SA1h7yD<6y)pMYt)p#*^ZFh)3rU3XgBD0*62@G5*#Rgk)#S(?XC z&Az+8WrXbRM`^nk73n07=VzHWIPxj2q64ilc2KQIQ7~bWN)ll~eNG&y#il$cGiBTr z?t9|@1HseRcl0W|_Z}!APM1ZH+cQ({?xYd`m#K9ip$12KXHEn7bPpaCiqamRo-+R| zEH0)NCFx9zMOnrg4i+Mgm5kEi-TbaQ@20upd(x-M&U^-W+22H0$kZK7WvAA2uVByQMBJFT0CsGPTQd*9a5!JG6tvI|K2|u= zWO&3pt4kg>rdUGVrlqU!%L@z9+ufF~*^aSx(=B1nQt{U77+S(LrMBVWa`Dd(B+Gwa zz(F{-Yz0s%58rWxu1FD5xI^LG@q|NUU*U~A`N3x?-4qYJB;4~uo>Dp~jPzq~-#ZJ} z1R^p4(Ch1+Slrl%+Z^^Lg+O_zSdbAbX9Jh;j5A%*qxphEGXqq`G1^*lm@b&efH5!H8V5teznedOo16jDFFUxELhNFJoDLyEW!- zY=XA3ycRcPAfY3uhdlRbU-Bwr1q_$eXjoZYR=u>Omi+m6|AQNf$5Lb(I?slOXm$5` z;$8iChX2qV7IOI&QezyOj=J;A1)tF4XkD$Sn2eqiq_km^EDw$kOu~&K=~<$x`0%cL zVptXWUz<@GN2G&li1z~g~n zqC^bQ(%0w{;}wzm379hM&=+8xJ_W$(oq1a$6Ql9DFMJ_}M@KC46*ZFRWkoL7%j4@` z{aSpV4ap23P{H#u*u`7m5gtX(_oeriR+i%Wi`P9*=gj%DF?(t@&R@6?GiT=F{G|)_ zo09$;pPGo7xtSQ#ooCKdYDjmp(#yj!22cn@Vc`HAL{OkKtNO^}jkI`PdHGczpoK!b zefPdBL={Y78xk&73or(H_Gmw@o-RfEqR4<2#~lmiXPn}H){*_6^0tT2BCcS&*B^hc z9*a^G8$vicrqcbLT9QH};pEQ-L|EXIgDy&2fpRErD_Ao?V!8~SpA{G3<(W!>yV&Fy zD8i<2DSmPV1M3Om*BgN5N$2M~aF7H^&%Tb-;;zIiFTE6_GB`KZSEU4G1PF(oNT+@A`Ht}H|NO#wRXC8A-%Xg*d1qZ88s0=x{ZDna*1_(=Nm9JV5 z95w}|4^gfNg8)Y8*!__Wx|pD;sv_o2Pe*&SWxSRoI7~?!5F+As)D^Ie0g;MaV7AaIPHrHO<_dM69QVT3T@ zl6@{{T`|W2hJEqHm!j29r?T#_e1W`xLHW`8L)qitOsfUGgJX<$zMvVi+2V;PgocN}O~UDNGhM z%79I93Itbxlp@0gy`Z-%Qg{nqmrLKlS%C|*jCdAD5i)KlFPq!VFV4r)$4l|($zwMX zTCKLzWr&EfgFEP#cF0wQtBME%lvO=cZWudhIBC%5#b98pZ?IB}iLBw)`0KBKE`}8z z*yv56f%4?Zlem5RjtzMD8J=TW04E^7@WP8REMs)IHR3}7KfHM>cEyKi%tLsq(DzI{ zrS1ZY1`pY%L6%DB|EU+Oz_Fg;$K-_hgfhoR_aigt6zJk!H{lq(gH7+Dg+Dk{9B5O~ zGrKR3D(r7hs^XulD`a7W(?}BTpxyB>Iy4gOMvQQl?p*cwy`|mg3JMxGHtdwqj?!jA zIHoeL09Wa!^f0`#%p>Eby*_cs=IL{1RRAQVg}4{Bf@od*T;>z{I;^5^9ku@D+TJ&F&$RgDLNlhp$KfqftAp9~PuQdT!a zU^Xvf(lRKm+=#EpMmo%GWvD+Rwa6#sgaaS4`XG=AmE${#T^4#Xr(nuo`0wst9OXRm zBdl)6_{DM0&$#pqOP>p6|q`JO)K!466hfH!b7x z(uIpwB5Y8G5&?&bAQ_Rd$)-XQ82c%KM!jLH7$bm|6*5J4Fuf?<4tsb=$y(qJJciB@ z{_q(JtSb5lwRXVDyamoF*xTvE#>xssP$j(|_2EYR#&7&v@!WIIxu<1gW!XxlG$+L^mz1KIRE|zJLHRKNgA?I+CZA7kHCHse8qXf=x|Kil@4shk&-O%lK%@ z0HeFtJ!{I}>FEy?7;e2|9J?}?OUf0{b*G}x6XC!QVH};BK>iFtyN5~ude~Qg>7Vie zX1{Y6O(CjM1OC*-pFWZ<28UFUQc*g}06*lSD`SlAbB6E0+x#kPsl-+!rSv=rx5$Jf zX*}tx;XdxY0ART+yIE!_w?TrYdZruPy-mie(k$YEUoWH7A7wDjjmIL0XwDD{yJgd8 z0CpAEcZOOQ=9k=ag8tnVZ_@ikE4r*!Jn;E|J;6&@dPwN;;7(;#l58`q%3Pt;!@eC4 zA3ll?KDZ&dZAg!_od5Fba@@Xk*G7v=DZt8SW63>VnEs8^1i!a@!BC1DAQGY-jRM;I*5O|t;U=DA>F>d zbySue1x@q9QoRH`ymKd=*_bkHSf#~=Oi zpQuc!^ywQZkrsYwqC0ZjrbneXrwSdO4HSyQ5@cuv?{TqB01Z{<1=T9bUvUOT8x0w< zDHD_~M8h2;nauT!%kPZyP0minumAe5yOKVTa{ckY{QtznWvW`qjC1P zC1$0LVp7tShMm$d2cgwZIC~|0C8rm6x#GvOn1pV~!NbA$C;#`c`2VgS#DXlbt}4e> zDm#afP)Ud&48nu#qEm1X3?_7I6_^T_7&8UJ^vJwuUXg#k^4=iFVF6fQ?!1ie6b{8t zo%7{4zZkE*{*rO_=;0GFHhIGQQf}2DGah~!e%AbRyf{V&EekANm~Kz4{%uxA$kDUV zP~}PGyDvJ?`s(lQ$%tj^<{|H#dRd0glLz->{_&I8lNG+cxM*0x9nAgQl}lcd*BTj7 zMbi%%&t~RIS#;^{y7%Rme(9HOKp9KCZVW`6v{aNO%}dfpO-LA|F+l!^sm zQMoaWmZ%aW81N*tVjc(hA%6^S@G;asjEQ{-nt04DQXyue-woyN{c1SXnO+MFntkXk zzQpKgo}cxkRD`=PKB4F2Q1P+b*;Urd%Jsg7JV0;Z_4Mh}Qp8K%u!=*&&R)G7Z5dXq z#6fC~`6rRj^vQld&z&_(gU#ulfkVuX}p zLI%#&=dOqc)Ar#R_T2lEVU*|bdv0vFEQNoPI;K4GbcN#btDwGG><42y*o4H5C?QCyKwrdWvy|ZQGlZ&BN@^zV| zSI8NBzxvAOvF@z) zC0`2>TeA87_QQ?ngD0iCqcSfX_0lv1!C*tw@+ugLa=5;b-pS>nSZVH`oQ(NbKKEKo zNk(U9XQM2m!1aP~23}llDor_6U-1wB;Xh3NHr7Z}GNJrPn+9o(-br2G_~manpQdyb zWy*P@ppbt*7mjbxH9J!y2RIq{j+n}6Zsfn#q&u=IGE;S zTDZ^p=lASV{t3x^JIVAJmV7_?Es-NJX!gs`q<_pLg`*7l@_UiKuh00OOp`QnxRZ|X zb+tATa0HU?d6E~(A}gnymSGn|^3IiDOcU~0&W9*_ELi+O3pJ%DQ&(XagQNw-MAgrK z{!LXZX|6-Ro0L;_8BTiP*b`t!cQ$@v!Ym2_#Yx`4w#xj8{$9*ZO~=b$d=pGc;03qf zVlK#lj188P566&{g~~4B%XH+TYQ>NIjXM;B-u2N+2)vOG7iDL@N_L;dq|ib`prCC| zpR(gfpLZMz1ApfaOme_P1+y=s2S+;KKl4})%f+{oX@Az`dtq=|n?`;|c8QO1dK?C2s`PXG{G45Wwvs;KZ`$gP-*LHlFJQ>9 zq#l(N4!RTeBu$e(Nj)uu0XASj){txyeUDwGn6EDB}QhfYyC&QT> zC*Ex$8h0tdinXJbNYl6qHI_k=cji- z6wL7QaoD25Px%%X;g92C02FD8M?4J9vm9}7UGlZ^$lTokQ^r{|r+OoBg?P_>r!!91#b8_-Jd7ic}2m zNmd9zaj{h{v;1kv{*(Xm_tYBNjzhKhuBipRG0}=`SyqSTQMJrkf{M*qlrYwsuaii@ ziJ5`l7Nzkn#^GOaopb;#0vSFLvFa1 zinRzwcwxebMw#Zc`9_`~mG37V-|)}Gb2#q$#yy?>nx6BW33*g>+1c{c1vq{;iliu% z6=^yTS5?KOa9k7@JmDOU@F)C$-D%*b3zySi^5*zx+jBGM(nVP?uF51g3_f-q6}P0G z5VcrHABHu~6>!3cY0L+4~r!I9SCh7jxTW^{9QB(s5 z1Kzcdt=Or=+}c&T?0wMP+K$bYjd*zbuCLo4d>BveJ&;kdsn%E8-kLo{5T=3W&awSp zy!7geG5N+789eMGla#mskA`kl!CtWeB_0yLrxifY3=mp-5)KdJ(Ax(j(9tVU3S2Uy z-@R&tlONmJ=N6(@lZa-np!Z~~C>?NxBORRI72dq6J3K>N6t63Pl&He8nC}mG5v7_^0A=4~V|A#d&G12##A1?cGht@da<~&oK3D1g;)mVb|$m1X@0FXd$zhRV)aV9yyIW3+Q zRxkFGWg&znI`t3L2*l9PC*mUcyqgY%%Y4Z9bdgS?b#{aSMvO%d+el*AXNJZd_{I>S zCkmtF$-;a*o_`t-9zXDKxMc%epz8{pKyUOe>FmgG!H+!P8_GwWm|-HU`5ReP82sQZ zZ}KgkpP{*M_`w-MaOuW)ytg$N>!May z32?d~DxNK8Gy0(|-Q0A|c^MkQL&DshDQP)3l@(JaeW% zB{TCy0k@+nEq4bCHtzc53&o0`1zzzOQ)S0bgoWV*D!shq()(1vpbBTBg-fZMCStZU zzKK5-7XI=>0PqJET~0@r3gtWDotB=x#2TnniM6Zs_~Pe3@BG%Wj>S+%D0t$)FiM{} zA~2c~@g2_S_PU<5$H}#H(G8Uv(b*b^&Zffe3`Mt7jjc7dQkHG72^+AtMa#=~*%g#s zSsA}yxbrYDbB0h#vap$~=P^r3@gWtt@?ZzTS{d93ewDLUXU4s-&HeyXw%a?=dGJXL zKK^OcUcMdiwfAEFKkvuG?sn{>bZB+UxWicJ(3=8H0FY_rb-~Ay!XGb{w;H_MN!!tN| z!$TECl;lrnj`I^LUtavHu=pd@pt zLQZkOL#jB5s}v9`rNKYF>#l4K8zAOW#0l%w0rKR^FdyT+aOe;Z5s;N&ppt{`HWt)^ z=Rm?uHQ=_H?60VOCPvF(YPUI`3-*SGF-Xv~N|7N z(5>Uslkt-3fSv7aPh=%7VStA@0vHgR8(XUI*1i7_dzi2v)!OQMJbLt44blW7JFsTh zGOyCx(`t>xzaakk z>gjfht3PDAEImLx-wt8&dNzn)FnSw{lTB5>d?@!5dssK@vT%- zMlOgEY*ZyM^v$YC387qIuse6}N%2-=YIHQJqO;fHpW*vYV}s4SKac2ry^!b z*N!_riP$2q-;Y1z_>5zEFjQ8BvGZ{|ezeky4ZUvb>e7lJl8{d&Zw174A|Y}X&C_#% z^i(eS8;+DbU+~Xiaeh~N+40S9q%Q``X_F3lb2+-cTS@Y$i#TkXY~@0F#0QV^$3|mj za%zFV=t&WH5})2-7?f<9NSbh!8CW4)DPw?G5agehvnIOnKi^4<%AGuYHiVd;mjA?X zJ6?U|WrMUS3%M`hrEFAwo@A+Vphz5`C=rv-sElp(%a7Aq2M4Muqn@Lw7LG8Z7Awn8 zD^dcqT()<5u{^)6YbWNPY{YJdiEc;HU4QChwmFk%k1d}S7KLeH7mQ6+%ou&_ehLnl zXF`Ql7HlpD%K`O`jmR2f>rwmFM#S(~-1`si#)gn$ItXty85v!r!?HhcYTSqh;85+- zs4LI0{Zh0Khhl7~=J%RV(GveQWVckMB&ggJHF`=D0}LbW5Sl72pU(gu1iF{5?(L`y ziJutvWr-sn%PJ4E##vU#61`nj3@97;;`;R$JTGK!?o9NQmuIpo56?XU^P<7A!ja2< z&cpPPAN+;GUvTmU-l$A+7+ewRQ~XVw>Ny^3SqYr~sD*nE-j*GJM>VLBl=Gqi`cl{*)=>!i@mQD)wmz|I}mmc&==-ik59E~>1wAZ(h@n95Il>gWjHkGjZwS<(Qp4NFHLF)5LiQEG(5UOBcl z`tixyQM@NF`ufoA%Lg)OC2y`{$e*}!@N(wI#0&WHteXJC56`LDnV3CwDw@Jqp1jLy zJ$*C|Jf}}`>4vhx&&Zg&ar1`pnrN8lneaoM#1;r8^Y_)OS8edJi?3;_3&Vp8gP4XT z7ktv$>Zl$_=L8)aA$DY>gk3x-UKc=b3AZk#FS-o@%5cb82@4DN?%axxZ+z_KiI=WD zAKf!!@kblA_{qEDvH4yzu3c#f$vaMuip2>yO$bY7MS{QphVjy+oa~n{E02Rx?B6TL zkJb)it6z?_l3E*5B(yHXT8^eyw>s^Vk1brq=UZ|Kk;GBV^oc*i>jDcK>zJ*c1&IwP3Pj~VS>X=uR3<|b@@BQ6FbI%Zd%_FKle1^Mzl6%- zUL7TY;etXP674%3h1uGTR!`}cRPjiFI~%$y?L#qjRTVn>s*0QAP|CUiO>`gF*^8lF zDfQ)z814_mbg3EJkDkWBPjAJgUuj2ttWy6M_4w}1+tKNEL~B(fDmO-*RGue|)~Yfn zGHwN*)PGD?8ZkOK6tmAw#7MIq<)&JBV@J_GcNC-l$H8d*&Ss4LsubYY2janZz8~YF zbYoEY9THm>zJ4efCg7DN7c8g4;9+G1yv!{5bmTt#rOH$fY$i4`I~CVnydD=XT$Ey{ z$#@@8m;^Yz&EI)1p5d)La~@}2EByV<;ZZ=kS+hO6 zC;8K(!ckd1dAcB_L$6q(vpzVOS91^tnUoJaPafuXIb@1_46XcVeN&O4wD>kQG9K${ zA@@al^vsaxHa^z&&b%BB2QUVQG!=)QL;!XfT-=^04lFu zzY^!pol*;uQ>5EIF!a?|UyQ%}SAQqodh3n&!H<4uqu$$^tJ0vtp@##wsrXVkg~y@{ zmMuAq1uDygrG#(7V$@@(V7OTi=`$gqC3xJIZ0AaYCB?`Il{GkIkB;oX4RbaUlPP`i zGP@o7SvVnW3`B^LhM1sLq5FVc_yazAnX(_lD{LPR-z0-ea0yS#ORKT4IB$dZ@#Dww z^yyR2HNj}xlA)3|5fhD+2?pJ$WX8k0U5S;vQxg-y&tTe2Q8IP$ z{Dn9@Hye{Oaw|3v_S7(_85e_M5*p<6N|U}Mh{B_ZTy*6sI1>`!Ww0;uyFpafef)4Q zzSca5YZD`?6D!g`k~=r-;30S=rNV^M12wvAVB(KV9aLj&{xH5Hop|ezBNe1C^leQV z0MTOKKjm&5C(ccD&hYRKp0lH#Bd@cUE_m`NxF2loJ*z)qLSpnZXbvjntrRc5>#>Nky#QFA|j6D^yl&o=6 z&A=oiWLUW8>zPwnFmN;!Yd;%|4_1!isovSXc8}IJFzHG-Qat(lU15x5T9UxaSBNcV zp^$JF|DD9!|f(L)M4&?>9ec`WPhS_8& z;IN;B6_}~+Xu&8+(~Q~8o>wAx*wqu`q)IsymAyDv4T5n}0bb?k_3(E)|${=-}3MaxJG(}PFiwB!hgzSMqOM)e;v=Z5Vn_XB3R2eBL z#|Ks;o>X-AlkQXw=5Jl*xfH}an*DjE7tr7J?(e|jr<5K{lfV024ti&q!BKI|FD!Z! zF3yyIUkHjqaxWudAq7r2dINBD-ICsMn#vUzT@i`K@C5mcjI>j?EiHDTeSEAHW23{~ zr@{!8qw+y^C=W}ep=WmZr80|0h3i~y@=fJ{>$od5a8~FZ!X$^WOp8=7I&OkF>ptUw zvKH@@|2Pd9lX>Way!Ta^ac&I5F}s41xpY*L)!I-zclkopYxH`^sN3I*^^Mh-o*9ob zXBc)Gj352*ZOgba@i{Ln*9KlH=}a%`dYpSIg+ut~EGG;rZzdV$-cVNnzz!Z1KI4dQ zrUyKsAC-yImKX29PZ_;oUg5w;!V9>SY*u8*lQwh^@49D8aru^@n>*6rI8;MCCY2RY)9H{omS3!`tR&zwoRRl)dXTS5-w9{I)(`nb$` zw%^H~5L(u@n2d}he0C@mIo?1Ptwx?v1Z2TH1G;X~k|hyHNa6(5k3ab&re%3fN}(QJ zoQaL61F>}TAQnIA#)S*B5zP$+S4t>Z#l&SqWS5vb`C;(_7u_8jKW)at&QRQwmQwZO zLw(qBlET?CE*LytE|iJO6ATW<>M(5R zsVK5^i^*Msif98x&#i`91yWw)Gc&QZvn^$!w8>BT6Oam&0+I$whV)Pftn~(WgrmEV zjfXbZcD!bvt;s!`9sDR>Q!NtmWYaR7QdethFxskI;MMj~FPaVZ-w;h%-Ydbfp`oLnb^4# z*hNVni_Q>1t5l1lkxtakDRfh>D`RsT^M=;JmmBq6WH8o8t zt3%OWlL1j}L{(*O4Y0IVJio(%{K`Z59VuT|dqN1q7%owP_iLw*JO~f z)Yr@RAT$M)BaJMdunByS9Xy1CkFv{rMw*F=pT2UXoH9w_9k#e|l)>-98Lig4_wKue zk8#cU5q$F=0a7fkET~8nCmR=JKo}~Nth{(9FKB>2vO%{x#+N>-VEZvP((?W_HJ{d+ zlpEhX450ix52S#ZE4f1XlE%+-3YO(t5WCd$bhx*}u%y-@e8Q4&J133OPH+Q5mSy5# z1VGme2l2V+s>TSR66$PjD{b;VjI%N}s4S5Yx~R8QnQ~WgVo?U(_I5WWq&r`I^%ZXb z#w0@aO`&%o(}D~sAuCpMi=EzqgGPhPUEqZwFytJ4kSdHs69Io*J{-@^ zL*>H&a5;5>4jLy6FOWZtklb@ZI*AtO(L`IG0RT9;QbmqFP4kBGa1X|}d)AzT_@yv9 zqz)`IY1kkpWo8J6IVa3zqhVALe!ue8m*dj8voZhhVch=cLp72&qp!H^ z5yX72so{3ajExIA;Y8`y1OxNGN-_i|$0uV>2FdjFjCE;mZ`W|MJGgthFsz}5Kt(?R zF}krK7|#oDzo@iYqk>tjDP$BwgEE3z=!`xBznk1Qd7jI(I4cvYIZ|Kj`y=V)=6#HqE>+G+2(h zbQ$!kRccaoPfaiDGNos}(4{x`l$FXA8Qi`Co&3$ngyE-z8H>d@VTA@8(i}>#s0^Rne-Mv9xf9!)n=$>1Z$#(S z=i<)v>GxaGA z!CP>0w77{4xVj^*7FfY%WH=#(At^chiYFr;_ZNQax8i^FxBlJu!kcf#=fCh~%*@Vu zqT$N=nrCr?Ao7Cnq{Dc)k<6tZ=@SMxunt8rmn(%ydD|!=9o>1hS}DFcoQw@xGoHy@ zl%H0CxB3 z0fvzjR8OrCaeOenvaC2|%Be6+9K%FvF-Co~?H&-irO#YA6X(yJk)hC!J@F4)%F|+O z$XLKAp#?Hrl|}C|$>5Puz@#Tyj2-dc_O2>M{V>iHL;NX2NM&YcM}ZsX&YzDRDRttr zr7}h}t7KX-tVVxbg7o_1h%4(ccz!vCF8885x)g&ADc8g8*uS+MhaYds0NIVhJ<+Zu zV^5XS*z`yY)s*7842jw4D2=ybS4x_t$L2c-DaGfZE)<7?GEWA+9G)2WAzp)0wrom< z+UP8;#(@kjDiGr4)i`=FPbHzIG#2aPS^pIl!7RKFg{GV1X8unqN_?hQ;yOO? zI{obQk^H4F*~pH`g>Fr$63OGs?%GwneJTz>G4H0dsH`wfc($L);9(dAq~|UxK+Y)H z+}v;l!?ye5?M94`v^{i&EKqhURi%SYpw;Yh>JC3r4t8B8?noXND%uvJwHsn|M*xl>7S8#8qt7n#p56QR~ zjw@Hs#`F|D938>2Cl1|Li+&{@K71UzolT!KJs`oNW&DHh{m6MRA(JKVRJy>TT%9NR zfpdD%FjkNO3hjzbuwqQ*USVJd&)%U{cl<~LTGLwzj@_tKWlQTGf9^uOF8B_EgZ%p5 zHJ4sXjG1&v59#h9Mpa6ld?*~#TSS`VOAiKjDp8C*;0At%fuIK$?`fWp^s28Hm}7Osc;hcT@DW`~DkrrC^H;d@HY6ZJ~eTJ<<1xgH%Ki`moDGHx!$<@4v_ z@`dv%&%PQ$qQ7)eUAVa*8UB*OP1GgtDnn_I`7apS$e+q@qb(VS=^Ps2T)Y8QOMA`u z=~6GgySEj4q7NpSRBCjjV^q5eH;Kog2mGH5%=B&^)MMILE7dVL@;!Ctv~h@@^c)T0 zaaZNXc%D^R{Yc9LLDIQk%O)>0uzVjWQ+df&(j|A<-Mx2Lk@P2e=gQp#0tY;kCeH;d&J#Qpt-_q|$?w34LgowBDNj}+`sQ70 zPs)j z;p|8p3eB`4I$N7=C83z48pzGc1?7}mRkrN)K$+-fBkcBOH+DLzbXB>68K!YEhlRXo zX{4SFL+Wz4XF^6&O^V~_XiNFB#B(KPRVkmVwqv?nk73Rnn3#x(>FGFC5 z#aD}&NvdjvORzS#J62+3Qj(y2=oEJq$y*hSIul_Pkr2i*LUZ z&5JJx5v_=cuB1j4?wojhq8+7CDY1$aUtRd@vm>Y!V0lNvJFRdH8ER?~s3AW%IT8bn zYK)zljmhb$7@eH(iX%>(MKBe@iU=HlzxBlxSG=`mGP1UxEJbIh6Nge_<_#;Agf!@w zhd1CM|3nKf6IB@eNf+ntap)zN_$~42pXNjH2Fin4nP;c}jE7M&1ZRkaquv+h7X=rJ zvy|pJh%X3>g2}y-088HRy$@O=ZGAG&@TdzI&^tKm5MAsrN@dh))MKpGh~Z|<%UK;t z;Yp9YEE|HE_gofWrWeLI@C9yC`GALK&s9(u=F_7g^OxidgGC`# z=6olt^CPS)Tg4S$Zm2?Z1+4VH_O&lWxuVLn$G$kdXb#tW9L7U6+CULUwzC~MDR*Qj zvx=m~=1?-gw`^qWnZI4RgR>%U_<%cQKrhjn#b_|x_7||JXfD?=UntL{>x++iPU+!K z0rf6F_jIXD_>)%}?r{v!K{8#y!3ABiQQ>pNB!@hcCph8C28Zz_XFgFpbcx|}UWURw zZ1NOsnXACQISGp60v+E;CmE7dnA0;eo(r=6;7ODue+)~r!i{=rXh3TEr{obuR$HtD+)jw--{n=R61M}d{U%cS?JcMIT2sFkn;JhJth>5VMf;@!i zo{-Em1|DYQq7a31>qE|E)rHk$0xMur9L_l!Kfg^PO-23344r-w6R87MGUdyWjh6{F`roJO1QP{=~EO**ch2 z3v^$xt~y!uvUCe!%Fbz#5?;O#Vkryz0h1so-gCU;*o5^hm6n3u#YD{k3H?-kIKKGhFUIyrnoxwWb0j-ugIUR+ zrI^6X*euEd1BG(qcyF_8PJ$_0B6c>_vfxxm1V!Lr-;0~|E);n7*~b<0;eS}cjCuSZ%1DW;!pxIHbUPG!!K!4DX`K@;+{Cc$Hh_U zgrSF~qB5c^nIsA<;0T2_GTM$UDR*$eE}U#PKQ}#NgJ|dRaxDDegXq4u7OlA#BZk#F zP)kTmnkJ47>1x7q!b!U+{3LAc2kfcdD92VCYu(!!sKa=t4Fyv$d68fk^@N;$2rZ7OWZEmbBTD{$gzU8F%} zh2le3LVl!gI0hGsYm@>RlO&?!Ug9&1Eb4W_o_ersnx zmY%HG*krORGD8m_k>JBCR1XHCw>uQ?Z}#FN*2W1&ff`*Eb>nE?2ENOxIA~we zNJT1et#HTuCw~kC_yS!%b9&Ct^py2_48>vmBpwBa#PK;tO3UeEtb-GUTCa**4Fp=5FZ z$-9e9Ld7!)cw82NKT4J->LC-Ki}5~~0zn-tE4&1coe$YF;n#oTH(YR(+V(+R@`+hzCANTg-!TwR)Kj;V(BCMYG1)N)1 zMPXsy?1WE~9%qFKB7n6(sxJwN38}zd7D+rI-*NOE$8ZM~%a_0WRiA0X`shFU)*r>v z(t^XHUZj9*}at3Kl(CJuNER{v3n@1QmC}r`gZa28P<4Grm_Hs*yiil(Ud$zQ}KP_Q;V;V}{hbDD5{H{ciie5retb*DkrblfdH(t5&0B{Hs}b|7ZsD*xXOM}xsu;Js zThW(N;B2Cu&2G#O@5LMc^H(CK)oMbFri5z=Up%-TD?i+e@wrQ}wzD30A3ruvGB#Tp zZ^xsRcHFF_8x^pWojZDOg?P)2UZhU;En5{2fWJ`F+Y`zd( zPzjJ&8q9RU(RI!DWBw_^!>{y;U^v4MNe&7*R~i^I_&AO((_A#-EEV_{T9PIk6m~j0 zasR>NSlilhddwdp4y`QkWgb^*+|Qgo=W?yez;X|TG9hf@+r+auPv$1=N(uI)@EOW` z;nHbOw)DxlUaCopn+hkzN%3Dn$FFy&oIEj#dh z5hweRj%74OvA^#EfqWQtaw|{D7lWy@+ljsIk&iy7A;WOyFMj>C7-=`e%gklimQEZM zF#GYXKlok@w;C}iBXx6K72ZHU)>b!SeS>p(#$si8-4jkZ1dl2pl{+;8faB!kU*;y@ zXhq||1#&2^Fs#X?vQtzQODdGE^VF5jMVk4Z!|=?G$mR!UkqiaCWtZSdupqDW9&^AU z=L=>0<~(vd_RE1L*&jzbr0vyf1@7tXgl<%n?v*W!C=s11z-bRl{)g&`4_~}=DW)r9 z@!{`(CtCX*pVZwbbVH+b;EgYb2kS8^zp7|gQ-wRxu0>UewIuhWYPr*3Dk+bq^bS24 zEW|+994ViHdLugB{rKSN!#I$!cOZL@gC^N<4!zN+m1AXP$&J=hE%j(H%-)us998+M zwMJY!Cu3825AE(L-)elgydD3=!g~C4XCwB8I6`7D9tqYh$q#2$QICNe%FSL_xP(i6 zaQ#cqMB}i-@u{PXd-z1jVq}meyZAnm?p<42_BZFH zbXDt{27{sK&uj~W9%zuA<|v)`zaF=#sA8r<#;T%wM}%J5SoWqVHMMf0*^Uhn?2*DB+}w-d znkvY~unM>*CCG8@DnNf+fY;S>r`vlbR+oq3+pFDJ+3)&5ABF^h*Yhr1;KaDZwSNLQ z383C{`EZ280XN?$po0k+ChTV%N(}$Uswkg6o%gv3=SARC=g!3Z!a{6zwi5DHW*m7Q zUwAl=1QYq-45u?r{?NeTaM{oCF)Hv>+C1=$%1Ln@#^G|A^-~Zk*_1Rnkgk|R%AltE zi>=AHcJ*qomHNZ-fhsx~hN1&Yt(XkOY-f}nOa}wgG9wRq6PRB?j|sRy>6Hk#E9RHN zY4p^BP|j6xdrL8@O0$CTCKx#Cx~B5^aPNWCU8^RB@V_hN+>}1vkIkjk7^+IBn^Gt( z*0M*eElLQ)Ll-ZckI~^)Y|^@9zJ>CqY`a?>cX2aoer;_f=BB3O%GnEX;q1A%c6oQD!fF`HL}hW;TXSPeu9s=_uC*qVnRUs9rrM#jxu+9Rm{F@?b-T zb~S3Hdd%&Q#=%ef(fMyS;^TjOHk;UBl>`fAlbZ`_KGxOxK(7pt~A7HPKH-TB4;cWJ+{H z$+!yzg#@kTFKj}6)0h=W^a>#h+=*{xT{w6GI-2(tCdqu_ADrJ|75OQs?n*;`d(3gb z=&UtkesMv@4VxAT2owh_se7K3iaUSqLd>2%6;I}$IzHXI$Qks?hRwcc!TX3BDB!}q zT7qP9v}whU!Y5rEEpOnpZ2O*+u*tQ#1T`oTvH*SFnX7C2}!Ir^&_D|o7XW_v* z(0&DWlxLzB`jI>dtZ(|xH~hg*>IF)4$KQ04;~7Jh`5^=$RU&aWtbR`Q0*V+3^@tb7-nM7>xnxNEu~PD(;aJ^Lrno8itUbOBnQi@P6&XaI$T(W+$`DlJ$f9#2@MTnLwE)^@PPHd=_Fk& zBY_FE3?t4jcnB}7-trU-C6r`c^|dZ~jXY$7@jxD+8oDbDXoyTvKb$&s zMtStSI0Y^wjO%^Dx9>a-+#pRxs**X`69O@5p?dpSn7kmU6OuzQsHFCP#{LojS{1sp zYQZf{08f*f;pLB$d>Q460cmo7O%bbN(|Lh`E2jS){j1pSyd1(Hx^@f z6@FPW$uXy zvH=#X!6Yz)2~NH_JP1kxoXbIY!ax*SS~(4WQ$qQ81Ga{r93Z(vIsFFU2QszvmN7hlKl@IJ_yk zR&)VRL$nziZpYYLFU1iGMR~=!iSW_Thdl+uupaTldvWxE`0w_;m?)3O z(axGMJS>GED37Yq6Mm-t#;>@nlFC?q@+6c}OsX~b!w=q#*6Fzzo|%Yy4<5zi`328S zX~>wPH(_N-Ev83LVoGHk?CwVW%9)tBc3GuY#Vy_)kWsd~zbE`1#J&3uz2e65q_UVk zaJk9`FMwljj%0pFO3Hkz;5<|QWUQxm*G`JZGigBs(o=ND!=G;W?M^zve?YC~hT!~I zE&O@4^pHQ8Y@h!hYZp&1<`Ez+%Fg97Q!a(Y!MmAX9bOkLH}hfPhvPXp(o(s>hn#y=2&3=x z5YXC2KPGW|CSw_Al*_WBD71Dl&bGIA1;>7T^x-FluO&V`cYY*lYN;bT?0rE+Ko1Y) ze&MCdGVmgvKH3#eH&vcS{Nca(mhhKgIgoL`BSRZU3mN^wiXzI&VS+eGrppN~h?8(c zx%ir7*ti5xIVuTc*#?N{px}0tKRa|Hjxs#MS9T0brSHbS@lm9${L=*wrFkN}lLo!T z=p`JJ|5@{f;l_7pL|QoF5T1*nS3Am9_-EMN(8U0$3>vqis&s#`H5dQ>`QfPEei#G0 zX|5QRFUKjAgN z+&xCf>qyI}W3CW$wCK^P%0Lon3>SuA>M2otZ>2zgv+yqdKt9>Un90?aVZkLTJ1)JS zoU+{*uE&?Y_Eq!qqeqVnA8_T37TFI8{9v@uL&r7^pIV}8BKrxXq#KS_7O#BwP>Ali zb;Yx{H&zCNDlp3-SqZ^;6_^Di;xR)IqE`$R#`hFe%pxQxE@`fAti+>-4mJF1O49 zT(I$ug|;W_4;A4d79lv5GZm8xn=2uH`jF_y`AXnZHs|- z6jlPPFoUYBm^6wRTtA@N>Ue%iU2z*j)nIj1O^nUFkzwJRIUuLu4Qc4MS`!U1F~Vp% zC2{ZsvEc%&QlK(^Z*}%Ni?Gehx9Ryp6M#^nDCtW z3cOM<_jJH_iVyTufcWzr*fSqFAzk~zKjATKfEQz-+e^!#NqD4O6TIcPDud+fG9Y`Z zV``%NAj8dSTzLhLD&QZ_Z^ge^S&skrlcjjKREh6NRz47Y-xXeNO8-2WoQ@v}-+#2# zjh{W5kC`hMB)D5BNYsnxR*|T0Brt{KRC85=!2lP@dpm!752PAlvsUP z+D``v+W3*~B>qV|fXV*6pF4MflE&h~l6SB53Mh0w__DFh>LnSPdUv`fjS!sS6DXNM zER=pq&)JVl;QC$oIrd}baZ|v+Ea-1yxRN))lO>;(6+I^W97Y#Qg_y|57*~sZ|7CGL z-RQ)6tsGB9^s*EbLoRen{{FxDb{s+^QTo--zY*uJK8Jbharfob6+?zlHbf-Grg-*p zS;4{u>^SKN7XanrG8JXvJBrxhf1Z=PC4+z<7ULw0Mao#Bl>7d@dtTb~lb`&=-CTre zwOaA|>#xV>KKHq}_QG|~-e$9xLkTVfLJ2VU!)wQt9C3gN2fT(=7q9|A>JHN*;mBT< zos}_hl@^y*CJoc~Mss>H7U!SFt#{vdug1WRTKQBMf_t#8){W?l z(w>$<#Z2*9qvoZRlC4>otEW_=;kzx2~=pc5hL$N}?qxf@2%Je||y)2!;T#QZWr|OyW z@$Sxc{QlDiajjI3k=Ce;!mjrPO8YipOp>>Br|8ZQD=T>@CA3D~4W|G_mM9YqI1CvY zeCV)>cwlw75;qS^G4|Z0c&LUOLoYN2|L*VpZk#!PEpO7QTG!&vvkQhSMW7#dP~J(UC4 zDHN-Eh=&ZKOF5E)tq*X^%gdra1~zpFypHjl;2}+5+hG|x!!cx>naKkyhD3Hq6*W%2XGfJxzzxR8;XTe~% zN?Q8A{g?jjbUNVUr}6aRBNe#oN*tung~^bE_pTS=jRT0II~P@D8e~c?<0nse!-2%C zgm4Z+`;Q8TaJn0RMO<8t!-anuJ6FZRIB~NT;}UdwbZ7ws7u{Hd&67njAix{X2sg}? z@2uP*eNLfm3^PG99m`KEXK{Hce)=chkA=riguf*phkv!yjQ`1**W!g2ufz`@-iv>| z^C%vwr9Z7o^wO2*)MBW(rIxMN!$K*5J8ACYGU-9Wec*|nRl!U7#d4xFN`)B|5g&i= z$8jiYpP`u-uUzyhl>W|MeDdy1(FE#>+%V{X6t>4g$zU70 zBlyMe7!h4*Y9@`bwtZ*35*NStn!9)(-G3B&3|n+$#4fEVje7KVccNXY#>gQ(FscYu zmf`Wy82O98q|66n>+$WVy*3r4DYf!8=VNW_R!m-^M@clT_M)qbc5gpzrgV6;6GK}Q z@#IhFVQIwZ)O0kfGZAYtRHSG`5aB_DTHA_GzWcUY!+r7Fo(OhS)h#LKU|N}}u?&zUU6*AJ~AEGT;oqo_VE@wAN*nb>}NldzNr~5>JQ=)o_x?vJukmc@6elgDGl^H@FbWR&To$l zd!j!$20xq-jNZi&#xzhQ@`r924(@yhFI6!M8yeOH|KIF)<9~YgymX%UObsk}&^)Jd z|F(3=lZxnYP>tSzWK{TS4Af#s#t3xBedWtv_N3y~#Rc1pwr!u8aWuKc~f8~?AH@5RsF zy%8oR=0^xWy4M!ii18dWkf>A9lb`NCUo ziH<|@-UlC~p*8qYX^4HFIlYrN*YOhFBh61pNZ%1sdDyCo$?x! zg>^*hPelF)6H{@v^fa0(z*EM!7y}c)2S+_6f=EfR3P^Wls^ADT)bFd3>+gx7g#@}6 zDJ)2%D;}$i699+R>LcvZ;!=F;Ti>!E)0#j@{pN4}W;`!T9>KhO=dN29SY<3PW3Ip( zZ@%Ff+aQ67fqB>^E2h+2kji)xKgc11Nwt~%!3LJC?~3O%^e6Ly+o#ga=|NWn|F!3@#)$H) z%SgKa@P2ezH_uN>nKkfKHv3`|>Fwx?NBVB<97cU~Br5GzY(02te02|5N_P~EJyl?; zJlIF1rtiZ8)hOLvirUs*lsdf_J}O0dyB{OsOXi?d1s5H?1Col#E0-f?M`UCSs+H4> z5?i%Th}Wl-c5^tk$`7M{aW-OZBRb`U7=7#WF?8u-lrM}&X>>WZ->$`kguWs{eDKfT z7BjBL_M??(oL3z1GQS$ziwY-Y!SK|s1jLo3zOgcfC6^m3Yq2KYt*XVpI-d>zW2lS? zw@l8iH)@KbNK)*T!HW0i_~^!mDnlt|#;1G`+6D((33sIZ;Qm8`dUG$9!yi;i-qL<& zvlCDCZBnhb(~~nwyCFW1Jc!oYTbv zzpqMGateI#h3JAZRpE7E1R&$0sdxguaixb`P}%BIEcuJrddARZ;_%AyhWUgx*4)IH z6dSuXN**OIZmlP_J9h9G4*tsu&+x;ez+@gwt$$!Pk4oX1U!{wPqd$eGn>mLg1!a_Z zoOhLlH*i6Dy`)lima3aP`o~2)(#4av-Cb4*48_vIyZ~ZAoxk)?Nyf#P=eTfC80j(} zh9N1!Z-_=18#Y`76g?yCyF$;?kc@EYYi|%{nUqe&&~SxU;5q9W(seg^0$zW@jr{qJ z4C7B%JZVFVU-_k9iC15KxhQXHfF%uRP4Dzl`Cx{`XDMvNm8)0d(&fwX&U^1kugf^1 z{*b?*E8<`lh%WMlACm4%`V-y2#WVgMMpk@QL=872kHRgJ(`jf?PT+EdU6hC64_4OE z)8bWO;yrrZd8a3(Et&sa;hF966~QZF2HE^ipda=Q<3HO}qgF8YrHjzm3?rk9SV@wH z>NdAFoqu2SNc)e7M--o}&l{~;ygn|vtZ(^%Lg>TP1oEOR3_D=hQg#@Zjs5iB-zft_ zX>9uDX140QeU+^&`2OY0WPJC>@5i8w!@uz7|AHsMa=iZL=B9W-bsW747z3gQd&bb1 z_JmUL&Xx=XHyouOCsqI6xqa6>%2_&0>SZZ(>KQ9=2~Gv84^DqEANy6o}sMN z?<~>h=>Mb|g!7`T7>hJG;PW%*>V|EHAIf$U^c9ejqHd;7eW5n@f3?zDWo`LAX=J zk`Ux5C+kcuHkD;!Y9d~K z{WZ4$Km6cE{OqSclae6~DI+2El~-Sl%h#XxL_x-&Kl$X7RL-J2*@0k)oXZT;Q*IFY z>99%AKr%?pX?eM#@M7HPoo_s60W`3mark?skrjpVr>uH*x2-PHz&S0_RQZ!KOT$mZ zVWK9x-yNtnUzQcj(yB){K8oA7K8~H$buUpJlePV&>SR1G<*}nm{s+tVrSY$K@4V`v^7Vbk3akpzQ2N`%omB7hDA>JBR~5?U+Pe5zv=)A7=`cTMXM5A#x@=2*od>l=GgPLjtbj~>UK49$_@wtL#ZFBGHu zd|fSV3=CSL>_RJHii(U53^f;sidu@Wqe{{{1k3Mz8NV1&7#(@|BJ($LNyS-`3}S4! z7fo@HRmyJuP`gC%WqE=0nNYt9x@^$WO+%l zTJrFQ&tg)t@I5%BLV=fkAfOyd+LM)eRvh$KUzH{X7c$4H1u6k5l#_6fui@8a9U`Oy z8F)#RH^bs|%_rl9l})coAHMj?OV)MA6?_6hEq}@*cEI=m4_tEerZ|l9BW?KX?YDpI zxi0kHq%=}G#AlTXuw^5}-}PRk;&*y(;YFV3rfDFSf^&qnI-OL(QaH^{;>5m}1y1)7s_M#c<{0$4~5!@qxiW zVyr@=7xRJiKL$c;bksvs#G?*{cX%dUj)h=iFuELlO)t$LJqk*QJdyu~>TH&qV=zNo zV1>5ef^xyL3@wk1Pssl;7MB(UW=bQKw}2TR${=UMvRySZmD>bJi+wSe|^h@ z;hvtJ`E$>HiYgX3j5CAm{V&0iBKgZxqtSS2BiiRT;_KIk;xAro$MxQ1Ox<6Nd6`xa z2Y|7f0gJp*3{F(>owY8MDwi$19$WIN<)>#RJ+7{;y0V1ubUQJ>Vnu#WWSD&P-VM*} z1-awXlX3l}mt%HrE+$4sZH2!3{(G^svP^-DP%8E8Y$HN!Gm;Q4SdAlv%gD47{2-X| zPg?(81t53ConR`MH^C{&gW*NBs}N>T3z7uJY4g)NWhE>Z3O-qp3183dTzXR?beECX zfhw@9l+5^4aH@ERXaP#$4T!m`x__=b5r6i=i(X~&y*nSpoxP2~96%83V$@ToPkY#4 zzo;NMB@<=H@?2MTWSjv623{q!tpqBZ??9G*SrxUscj(5YEY+cCPfvLG?onqo)+>jxTHcS*7ca)**id{txE+&U zc{Ls{JdQ0HS`0}cDm^J1WNE0zX~S6SV){b)4yz(YdG6o7AKkkTWAn~WWBVr`#o`Cw zi{0K2VtwGlsLf8P1@I3<;?Jvv^`?YzRs=q;!ZN|@u@r@9Jg5pqt)14{b20q#mDpTb zj@B84lLFiN_@S5gVr)<$ck6>Py4Au`dG=;nuU=FB-MZqajn!xPumq&RLV zjL#AgjizU2-A&52_Py=hSYKO<#}6M$f$qjws};i|s`%@}q8lwH_(nxmT#Q+^9$(+w ziWLk6(KIisWH(dGC_j}4+XcRd7x~5znb}1J@?=)gnTct*y#pDH)p|^_Y+1D4Tw8HV zb-dk-N%51H=L&8N7%Cp*!5T^lW;vv-Eg#WV@79dT@J|(83X4mP3jC2k@v*|W_eA-M z(WEB`tT?)mSCzoBjk2V&rOTQ!=DbrL;DBjE3oYgu;e*p(Y0OmAh^v8b6&#(1u0smJZ#KY)M@7k`U|>F! zPVq2}{M|~Wf>DEy9s+t~kh`z`!dK(f*IqL&So-_U`|rfk+J<>xN5(5-_S@avH00w@ zO1IEYlo_>S)uXppUsL1m(xv#|gAWS4C!B)|=7M?1ObCXK;GD`U={8#1;F1!d3P@CU z;eh=$mKX-n512V?hw?GEqM^$6Z~TqF5mzoh7w=1tbGmlpXeegI=FgQ|F)3n9%8>r^ z6XP~s29URYSIpXqo7)@lM^855UzGZ>r!WUtRYDayo>Ee`vBNawz!1Z*qUVbtM)w5B zpAF@j`u0Y(5sh`xSm{H9)LWeRg@V8jRKk=O*)FLv&&D#&8-OY8eZecu7axyJ#s@M~ zXC|lOd*At!_~560`pE&oa-4Z*d2;3FF&NJWX~Fz`4t zrYatT*UB<{=s{%;CA}jM5xvO#d+N{u=^^CTJxa=(ldAc)(`9ji$|^h&o|DpPM41DW z2C_XhJrljXzKmw@ks8Nb47VQ3hH;W$Ey+SXK0C&2Bjz=86+*cyXFt2KH}X~??sOx5;YFeLiQi{r6{iJ0|wcZz(82KL&=gZ zDg=>t6H|pjz<4JdVA8W;3stQLEWS)=dOPy$TMEvulq~DQ@@tDAOo&{UpfRj*>yuBE zKL(DNTmoXILs$Spm+=BzML82blCW^62Y^#yiBFl0MF ziT0R{Qt%89vl4|g=z49*aH3*bUSEr~&Zg1_Bnh+9p=>i}&cvpSi`%zu$9);%?9@q1 zn@QST8Sf|^1-F6ei(Z$VZS&@g=4k| z0ixcoXBQMH8FO%cw9a)f%zq3RV)dh}ORj?_ekOtR<3m1iB_(_$TDi+dCr}na{O*%?E z&=9@rsw9}FgYnVbkx{M21S`;39-S&NrJ+2aJ$VD0jS|YPOVIm=K0;Q&BNZSx0B)AB zzWK#By_EoA7w{(>6|Ja5U^WanB|8!|UZ#W#%f4(tjBb^~RR0L7=oz(4~Ao&4xA zyK5GDR4%O&Q8#v&Fi;kHKO^qN$wY#Mo9%jgw<={Qu#9E0zJ_4Gyu4Om3jr8 zL^|}+c(FnMFxF+LdVdy`1H72-$fjW8|4_N=UUc5sC7oVf>LLy*WjX}M44_}Y2|Yl@ z(MY|ncOglsVdK-Kl%1JZq4FKX`PIWa2=^NSQVjOt*JG9ur1})jlVxO z7+?C!BU08KL1?Nf5h-n?GsfblfBZNGcH8l^T%Qyucn+ofX<54! zq8L^vC?hEc^5z?3s-83kTp~2*E|3$g4-kzbzK?`6JuY%Uz}@dqDVD7$0- z!tbPW*?~dnoOBs4St*=!_~UX~IWH5wP?q`bd^3)9^zwa1|*E04TCC? zy6*JA)aCv4gLZu5l{ez0=Pt#EOON9}dV13WwkbR^oWO=_uYK-yGalsz9*-4@d3Yx6 z43F_8X5Z_o#Uw#sLYZL}W791-Amfm3y#7Wf-hby^!JuyvASN=abY^G_57KUkRt!rt zWmHV4^#c6t=W!%uF)Z3K`Sjx(HzmB_I|=5sD_3J$t%gTTxK-XYwP@;M?hEJ78a~o& z^#)?$#;w@f+K#eX^rr`#QIcSKGPTlSuNGSRd*eGXbT*>?dPMCPpG5z{WYjMGKgB$+ zDZhko0i(w~T|ZTm^zTG0mZI~6;W&D4HWq%e8xxfY9{^ZV#j*PF{phRta6k`)1hqHO zjMbW|Z{t)nl>yWdJ?fLAaj3G;rFrwl2dd=LGG^ds9JeXU`p4|IA0~uqsCK$S@E_3M+b4FRC1+c% z4wXY-s+{6SQPWEY<>yN{&oW3n?l_)-oqJN9LIsJ)DH+G*8!qn*isM^WkAycIex^mA z3>5dQ6!BTgxV^n+xnQ#~hJE2(!p+W3#tScAcgwz~3W)f3@7}dOI(_=A!!QJw_E{N} zA-N?01{LOBEG#Y;Fr~cs4sCH6R*WZbjPuZm!uehNM0gyu|M&h^|Gx7^hhfOq+ry>_ zyhHd?Q!^e4bzH1nxleB2^741)ClHs3id3entLyR7wdWn?@#DwwPyWl_^D}AFGY)Tf z9-Lswv_Ou17@O|Q1HmAn!fMbcQFzJ)PqN1naWK~C9U{%^*PoBJ_=eW}d+)s$U)ih2 z|M>cNOg~wQx?tT`BZRqyO`6CRhG47ln}76vtjdVrE*(Zk4P$zW(DT-Dg>J*~%$y4L z)&L&zW6lz?Lzs$qt1(a!jFLUUJRrmE>TW51vAY<*arRP_>+p>l(!w=xb9Wu2N}4Qq zM!%NSFhVDO*gTByFPG!SKsla_Nj_vatt_va$2c^V<@@Y34lY#7CBsZOLWUTw#Gwl? z7P-FmwXene{CsSR?*^3Scfa?2l|u%i_>vN$@1dz>K|IH^%dGV9qfQ0)=y8l%dOY_R zHsa>PyB>u=iorW&f#>NkAbg|Mj_WVKB)u+!WnB3tSO$;akBf=J?7pl z6wVH#rjS29r-yv!|H|;Yu7moN4iafb;`dscRWY(rqBe*^#hs^@W@;o_ALl_tqKelQ|C1LeH ze^7~+UVJ4+#k}v$-;WP=)@^NjMS}pkstRj(ByA3rpaou9Fn{`DQW(8fdB%BHExX+v zRaW|jYDw1qK&U-zT(7f~Q%T}a7cCBdlmQlbI*z*&Po6x9&9${yXKAnKHY`}_hF;S< z#f1kbFGFd=Qr2hAo>gVth-voK&@*vqIZ@$vw>qA{#&E}>6uw*D${M~vfCdhw%xi*n zxErO>T9l`h!G&(@kM2Ze>>Dcl1;Yv6J>E7Tt{nJpWrW<&H4>fMJ28B8DkjDG>vx{S z*5X{%S&#|TWprR7o{~XD!@@kH z+`uJewP6BY{SHk~_Q*>L!{s>g$Q88tRnNwizL7WcCJ19cocxK4OR~oUo*3JDR~hh3 zdyOQW17talC)+9>G6%d~Nq{^nJS+9+9faR^cemZs(N?+1^nohvdw1^pyclpbIyz<} zAsaQcl&LJX^qn3G@^gEc4tTf!B~^l2CXGqKTIYtvcitLbY1Mlkch2J(MJzfS+^cPkVy)t_%Qn=X|iS z7B?S%9OZrKv9VTk2i4fs)ldb=(BXI1599Z?R${qcj$PF(Ze%(?!3Ul}rShh}Nj#cH&=XK%lE2r#OtpL}Y&9Ca3ry^+z;XYfH^(Jf5~l`$lvjjJSs z>+zGv=Cxn=+SlE9c=YITbi_k@7!wCQ)gx*+il5BCN>>?LU(zUqe$dP#5Awrd@OUG| z)n(`?or5hGHhjFl>2ZyD=x_b;&3X-f*AZs7TwO<~J-~5$o z{GBgdj=3Ycw31OnOXWbo!T{z75GIG^jZ6Pi?rhn^j0Le0E|M}JY<|b0hOj(S0YH3G z^1TR$Ljj$17%!9^1;k{YNE9S7JQ-LP`a2ez}-_T2CJJx-PmoCWoRjV43>l|aQMNE zn_fM1dU{q&-;5hK-*-2%0=oN=jlgX6EA0U(kkeoMeAJojNO!m5*QM}!YSB!-^kR$% zw%*ZR4D6nY-Q{7G@;}i9ZN(1^ZNcM%A4hjnEwe58%Lo}CJsU&pvZ@x)+;4t8&iwgb zjh+X!Q1@(l5os8~5X|w;eN+ttd0Ugh|!nL*@?cU?eCfkzRg@ zj&#+sf6f`Tk|(5mDJ!@K*YFT@DG#dUSo8!y6XXZDRb3cY7$qrA!Y|5%R(w_XK`hy% zi*EDpeg6mX_78vPc_?ekYi`wSNy%@Eo=h4=mY@T?gh(>q2;+{`Y&#pOL|LY({FrY- z&jhnQk5eubOP_kGDQWWf~uHyqy-FU8vNY&kX*ZpRPyA_vt=8-=!S$s(-Y@L7w=@9 zjlg95KrQqN{uW93GaSRadlc-@FM@|u6oxI`_rwE~h5pVskv?gnTU=J9hwSm3byCJF7cgXp0i439BGa7{&N2AwswlxCd;Z+MdncaCK*E4J z+()m4;Q;5ZyeWeUz&rUe8J_&g^nMonneG^(&-9iXVDck>v4`lZGJ$&=rs7F@D5%6F zGlM1NH*};5mbnEAr!*O++1=TS(TTPU){^*iCANE=c<-nY|5xeC|I6ZT{GXq0#(!{c zIsTKUYw^z>^yAxy)!2|eaDzp4{jZeDrM43k)88^<+%+kgcO=jSR~Gt+|H;e&wnTRio8t#q89q z{7=R6SDyD!&X$a{?T#99YUqGJ>JyH+KrhfR*<|>Q;TAnJkBxm!xO-ACvMt!5Szm>Q z4=`ju#ZRU!_(?r$)I$sbLST%h(N;Ug)JSYf-wX@p*6@gVw^S{czlqr3NPvjk{B98g z@9EC}{7j(y%pFh=Q+8Kv=-!f2>Fsv|HU69gwta=Lf?PPfKxsuCUGV ziAqmQCr{K6EoR5H8YpmpqA{36LfLUASo&_Er=r%&NgQ#dIC_?UzOrJ-&-sqSKl|ab zKNSTor;&-C##WOO&v9^mPJardG*kx4%Kk28h3DAnUp#*;X3oyWJG(1t9XyPcgRby8 zpw{egeEAo?8l!4O&`s<~glg>!x0-4#w_{utbW2wJf)pf6Iv+oL6q~CnUNOOVHx)l> zn+ur+=ZrCO@+}hvnWQ>0!8t0kZf(z;nu~L1&&OFkbC%1+^A}=H-xv?)D0gt`aZ1V~ zSln}=VgajLqR>hCK$bISPRGq3zvHufy1=2b1NW2r4`WJTJcf;oNR2~7Hse@0`G!K4(KvJDi2EG_Ts_)`<`#(@qb-2Gc$4Rm6xJ5 zF%h49a@#|dN+l+yCe`9l3ssa^rYoPc;SV_`=BC!wVQdI?HqByk({S) zWzGh}d8#ZqwRBkgGC47-%0l7cBjF&)dQ#4mA;|;&Np87=3o2a8i+s7;NYD#ea!(3V z&&Bt&y>^Oc*#qB%hxtfXm_*i+?5BuHMw3Bj16Te|OYvw?qLY{~2ri(TD{|LbN1kka z>C$B>Vdew%$Pd{Y)~jf1h9H$F5zT_GLg3tg8CC|v5jLXML`l$X|4t7_Q`H=|?2 zhX2DGA3Gd#LmJI$%$=Hu3l}Hiou7SZ!?+_Oxu?uI+-*}8|Kh=J%&VgQu)iBW6ra9R z8;l>VJ&he2bK;RC1JGIUh|dhT=`K7ee+(n|P-dWc9sGDT4loN2a4y0Y%cT`cJXsr5 z*rRq#4>aZ9kNM7SEOZaz=I%lKRL}3Ia{i$VxgQ9I@5zw+7nNT8pmq=+5B8#``cm4+ z20ir_J<-R<>zDJLx`r{3QO- zKl;y2C!YnQa$(4zW8qI$(V)Az*x?id3IhbXap)i`Eiq!)N`P~YQtyZ`0nWge%FbLJ zKcDaZo$dx@@7t%(`R%j!+H0@1_F8MlV~7E%vXVw< zc-p|4wh<=d%@{H$e(ozjTb{Y}9Kw3L zjAA(bs;%X2AgIm}Iuz`}R0ZFW3=f1;DZ4`5bvCYFa7b3X;%q=y1u{K78k9yRN{lpq z00{&uPT;L~Y){-XEgXuKKh7082k8lr4*L$^K=2~vyEv1gEh_b^z1@yDA@dKW1KS?D zU)J?7yD1d;gHLY732|HQh~z7mBGs9^f3zK6n%w$znfo?+W&V^oEX}K3xXKM#}r|zZZq09JpZD6BSi3o~aXq z3Hg)`dnzh373(qz$K&2}Xdtx8Stjs5PC!dx*nX7{hol#mm*O~Nm%yM~{}e9_nKDjZ z7555`h~M-%dg55tmZ;n`%3&b4rac~>I^u_c`fTfY(v!;yR%^unV5qf&YL^>;P8EvJ-Dg( z#o0?-rM1t(*ZI^?8L}=$T3er1{VHy?5qGY(4RMtP|v zhtJvvujaS3lxBu>gm6IY7)S%@Z#sFg;glMWf` z$Hs!2yT@Em?)9ZF{Y;sfoB})AHf?@!ajE>)|Lgx~tj=ooK>+m=QB+Yd&H|V4|-EP{DhX2ymTKdAa?1`zK!{ymQo(`--DW8O^!zS@9 zM|n{eV!MX=dQm$7F=3@SyrvOGI4lR_r9uKoH2}4N29Uo^Lq*Km1u`D8Gy$x0y&%%I zQKmLm%m3kX!{yM+khZIO#0l2Is|C~N>>kDH218(Xgxn2p5&`=Rpu$L1vBwcv{jM#&hiXGOa9jHJahM_ zyzHe8`lKV|{SW>Jf30lwbd}p%OJ$pMfrWBb5xI!b^BwGwX>d}md?k&7ZxF|fasXG^ zaq*W!b{-imjRpr*PQ7J%8m9mrxgOcF^@UxL??O@}G){nvMdLMNP`B4NGaRa;>~ybYYXF|>$o>8Izipp}T|gK`*42-UdCCzE2~s-F|u=1HaT(me#&qdXH1D z;nN5hPRoOpQa-_<`H$X!OekW?m0tF;EIX$OqCn@j*0L*R;>3~CGu&T3`QW|Ka@|qF zu72o+!rkaB&;INe%gs05FNZ#JDT^~*+^P)UxqZ9Lb<-?Do_4am`UW}SUx$i(D4T$s;*ok+cv1@FSJeag z78j|W1UGkCXq3dy^(3}eKVl9H`qNHJlP`Colb&Z>=fpS8N0ZjzG^*1s!f)~#tYbB(wy`H|u4(_a z8J)%j982qc@AmzyZ_LC)8t*W^cEdG7;^U_gNSt)OfAjUX$_F2O5V>pMRGO1!SA&m~(8djx>-Uc| zT29vk9l7rCS(uA_ucOXZ+i4%v#pQj{*tV#H?kD}To`-zeEI&cv2$AdK!oQ4O`2}J5 zsWa9e-iiBHzVg-J>Z#e^_{KMq{zpIhQTgb~$K^lzzy7Cjl$=7ceTsWCZ6-gIRpmuG z7|h$yq=|E9<=)D6nM0Pfhf*SgdJ2k$ne+wNx^gk85(10UHlpC7q%ZBM4+ zz(e@0kr3!#+g1<*CJ|45`|RCly}$;^jF3PKZ+XY%K#c}x0)_9{7j5*$p2xYEI)~!w z2K6w$RxBC)Z9o9r)?}~t(Hki4)twx`w9y7L5gw25*2{IlPMi&yh#@nGnM3_x65}X? zgql8p1j-1CDQmo;8g?I^D5n2^q1K$-)-@6Tztc7_p1@MTtK!J7R$Uv$nyR@BHbg^% zPczb_2kyNp*xFD`V|rod9r?Ulf8UwcCgdAOfSd<)75DhyaQW1WFJzSC*21GK=vrT0 zjbPgN9PU(DGO__san%X6vH0GQ@&ca(DNn(5-O6^hLAy;%9CS=1xQeO+JX0_JTqJ6| zc)5s`+EhnZM+9Z&_AtLA|+ph1+;E=tI+=sMS~pgXlNf8|D5eekgC-nd`-+eb>*eU$0Z@lv+n$6|L` z_>&u@?fPWde0Qj{FLqJ>P}zIuVcGsC4@<{(54iNv$Z-b1#cg;FqpC!9p`C}QZDQQU zj!c$ydLa&J;;ol`c;_d)mr*F|IDR|*l(PxFknP)_+$aYp55_6;gLN(tbphHIv>L{N zlP7y?C{xJqZX}225Brr*;An)>OQrYd;a>-*4u;k_6&M_MX-hW#HJmr)XX3zt(vQ(w zUS7#s1m$NLgX8ppC!<=1yw@pm=-o4Tl$GpA!}-*qbhzG;FZrAEWRQ`rp1#0aq7Euf zN5C{xP8pb2q49%P^4vvy1CFWzL!P(eIF9)-&lc`o@t@XJqflU%1oSXK)I~Z zwvn`@r}8v+X(yi6^GTq6peWOploZ=oOGQaM^ENuK=|Fg;ogr(1*LrU-KO&!ax6`V1 z=Ha`&W`o;v`+5e-u@mDMk%3IZ-Mw?qoN0H>4hP%Y-8=WoCNu=7BulwgQeD}U`2?3# zKE}gy9n=?9r#KCZ9@P+0b~FR}K=__A?1-VyO}&KUCH&BYxZ8K{qz7)Bo*bVD>>iv; zdF#%se%iF7mdb}yGxplAUA9pRa5&}Rx{vMc_0+?y`6Mgz zbABMP9sL6BdU29*l>6v3efZ&3>gczrR?FP%Qef9nmZ~eY1;RFMT~kpz!WlKAY}YKw zL$9X(;^Zf75Wj{a7^Sr75B%!()KNhgT+Yiq0J=FJ=B#?4!CSibw+ z@0LIKgFnb=+HS9Z>&}LL8XO|-l-m-zrzTE#YD*c?cMi; z`a5`3rVyvdcj8@6_Bsa-(=Z^f478Pl=U}wXk|+z-@>=lo3?uRNfP*4CfG4?4uY9h( zJmTFD4C=7~;U(uZRp8f;=(L2GJQ6`<{G9`ZAYM++BVOeve$5&X8xa4c9<|os@3VLi z9@o2dZ$f2$CAxz6lChkc&tF5;7BO9V+x^f;r6m+Qr_>e0+(|K@sZ+USsqbZ)@VsFEtU-7k3 zOJ$4pE^M8Q#f8N*2nvis6<3Yy7~XeJ)@|(NEE9VO;_4`e3qEy#qKs((Qp@kxP>|F~ zqi~%=8_IuYda1O{u9UTF_sY)oo5X|nqwdmo>?n@LNZr;8{IWAz=Kk)L(zgJ;3Q7gV zCNJ#i*f8xOdR|G?6-s~4p8erT>bnH}I?K}LYT3aNnb}#-;bmJmM*~yi<<5iq<@(%% zva-8XX7)GA&M*yTe6Z}UZe)joTaP`^N=I^hXsj%{6;V2&(@s8fF7>cit@4sq;v`+8 z%stMY_%V!|i`b4HI}Tmxfk97c>(I5+G3hl+cWCF7iq4D5VqtzE>0JBc9I=<{Oq{0E zXlR^OE)J7BOf4T(vMVUa;jxiSm#Ls!Po&H!Uqj&Kfn1(k>nXl|=AldZhZj!g4)jr9 zjI<6&!ASsQLTwlIxhl`v(@*eS*o&-%zfXGe&E5Fg>s7wcIf#bwwmY?0v+&yVX{X?8 zXQmaIv`<1!le_{Xv@i)yu2s-*FE1{~2?fb%yr_-x^=bOImd>g%zyaEA#!`ne>={{Mi$b~&n@N>*YG!qt-U@h1|B)p z0k)$g4C^jA<+pg)Ml_!0myQj6rB_2|>8Tt@A9aUEl4oZM_}U595$Sc*1-W&Hnr&hW zY}#SPzb=(s5_13l${+J^@#ey-9e!dunD#7AIwG#^ zk?-zCvBQ?#E49dmcGd<`M?nnAlQvVjc%F~)4uBBPd`g`o$E%Ag z#qU#9!ilq)c@ACbD4M(E+%f4ir5(L2LMB;oMc3Hr&@nQ$i#=QA)4jXp%cG@SY9B32%e!R->qeih?4W@?fJdU)D-x?6hnf_Y954mG zf(349%Wo^6VxN>ALKzx?Go+5fY~2&bO<}gtS!QBeX#&?;Xs7{El|~hKgK4555U{6% zj1q%pyCCc}Hn1ywpM;dtij(O{pK_HQ^YUIg5-eYSifg9IfP3`F(G1(W;u~2hqx5Pt z*nK_KJN4scsR!vjVK2QZ8d^`6Tkk6G4bs8#oI3EkJSry-F*3b9aTTwI$`O(*`s0cN zLsffFw5|=*419HWY{52tW!?3Id!sJe?mJwb7jRXjw<#X6O3|Pp>dAV0Gv`1 zj>D9LG}c}W_vY$)MngI=JURiLjw1pmM|8*HQi@J4jn{g1AUEyH-DUMP4Eg(WW&Q^@ z%j!?=m$px~OV4~qnf=3$O7EslNmWudU}@Bi5_6lXbLj4&ckX%@<2iWZP}xCAtkN6a zLR2SD9zn*3%HrNeSqGJY$pbhU-R05DY@F+*jkPi}KcBM}KE86T++LgoPDgrDe&o&r z-rP~Nbo*iH-fJ&?7?~57FXl_V>x=HY?&nOaI_d85teJF*%x^aUE`_yw%lAk;o5~to72tw3nlD^7!#l9NF~^oF?SJQ5hXD zr{&y=Jx|YBWh@L=r7X0=ABU`+D(VF1KJHUv&}E=bbNS7R$HGVO z(aW}I+pKL*X#seoh5w1O-=C=>o}0GZ=&Y7{tn!J0NL%7ny({a=ojSyHRo>;d?Jh6^ zr=|7r0$EpwDbRKvx|A8;^&M&CX9}gy_!A6;j)&63%WJ86PR*7@zIU z{cN??m+RYnPa3U; zSvpuA+=xyRA7#`vFveR49YNEn&!mfKB#I!Nx~|TMJ7P-t_x`84FZM4U+j96LEuYUEb8FhT^N3Vw#>QURBVy~;F9EM-CkBsK< z*T_i2NA;xX_mpEU*NcS?zLTf90VlFqPb;@G>NGh~(Pxf$+nLG00Jd2jV7^V-g(IIG z-JPA^41)ei9Ln(CLZJq7JdX3f7IXD5GYmxqEXY6eciZaK{Su4^^hf* zBwlXK)#rmG5Jthbu_Bb>Ca(T6t@v`K?v@!Ev`}jWo0Tx~o)DqtStp{#_!AfqH$q8T zA=KnH0)^c&R6Z%9CFGV;Aygb%G)P=ka!uZf(UYr*)6p?BxOundoAMN>lq*?G6@btRm_-Jf5w{)3eiMaB7@}iP2u1 zE4{;mWv92VbmIs(f;Ed1vLP+4G4<8-c;NdAjell&sm!k|mWLRn8I1hx`}cue$_n{b zsnEgmXRV;@&0k3-Wrum!zw$xlm2l$;JE=wu5z1p zS%Yc4Y-8eRfGi0O9A!aR=8JQJ{AQbF+HDO_($w+|kMRh`hW4_8GrqFCnjv%BTFQl| zWgq9Py$|PPF+6iyqwu8Z1bi7D8YuJgOE@7Kad8F@z&0I$jJ8pBQ#Z@CCvAs9y<87- z)TpQ{p3tDV3)4Dily2X?ozr?fEX?;!rzUkFT|+DJ3y$4=I16>Xz|(Lg511yO$o|gn z{BGjY<0j5O%N5=ZGHAN0=PC?O=FCV4Klf=nZdUMMvf+_|GC6esXSa^j1zsz7lCbT? z#qy7)=L3r(Dh>pHJL+RyrCD`+Fvcw&DZ8G9;uKPJPb*kY^MnRG8!Eok5B!8{TXFH{ z!Ktb8-B-UC8`y*#WsYoSAt=$xuhX&8Rttr&XDx;zYTE&Lbpolse3J(4=#K6_kL<6G zmJ7ngvGNy(1HJLwbC<}xU#?&OBnKI)cXdEKtjx~9Pk#L4a`VQW)--o*rv}@fbP2Ki z&ArMp^dXVDB6^X#S>%l;@?124kLThx`u6q%}qEBLZ#uXk?Hibz5 zEAvx#9R$)u&iL)=l-)QI?OQN)vm6|U5wjSTna*;!YbcfXU?pc;onLc)+yZPg!Iw(X zbOOE-s`g@3@-~JD6;x@QjpX6VP-N@bEAY(6p8^=;C{W*X*FZYOAnc52fJ>a7CZCKY zU(-nHormPN>{j^|2$uYu$~Ua8B8lnbU^YP-e7Do44uHR?w6i@F5hJlWCNy$ zwEZ+f9S;x15f?=-(^tX)e(Lm7#R3BwIDaX2;%Ecu?d!=9Z`PFX-g-!17nItlrK|J2 zItq@2M8wJKZWdR=Yv_b$e6wv&s*79Zj7ejUKC$5EBHB%Q7Y^}dmySjfxm&|I+S}YI z12$aB5*PYk$j5#e?8MNbJS@n3?j$guPD&hWE{9buKeTsYmBz^WbUBw!4`>~kltthz z&$m3Z$bJ4ey~}MoWwD)J4@z{Wo1Py|h(>sNalUl-_m+DPAC?t*27Xq116(`kRVsN8 zc<+MK4HZT|c_Zo~y|E|xrU z^hlXJFo}HcXFZYAEl&L??C~U+^Xs_jXr`@zqN7x3 zEh@WJdf~OsY9~G3r~>H>%-WtXisUgM8Ijj!Jy{fKa+r>KP?wtLiSP<%M+Zh_wclzd zyl*ZIRa2Hg;;e92VWwPuN3Oxwk-oHrRvF&oDDdYror>G@7aT?xuJ1jXJ!N({ii<4a z__nv{Ja}eHb>OF`>DkXNAfJv7!o$>8{3^Z%pN;nlTxcep)OkJ5;gk94IvFY)l0kPJ z79p8;b!{czB$D5T)TMPdxGTc%aoxUkyBtFXjDNxpYb#by=xjUsxBvFLkAIt%#Q9xm z)N*dyErm=+PYq;q2MdS+}GkCt{{opU8i8{++uI%iMx%LRt=! zW$-JMqHNc#80S{g-~{izizEpB_nwM-?c*z@dlTNGUv;7! zDReQoX?6G=RheCwM=HTa8LAZse05k;PJ@$iPP41$3~p&%fcCC^aVMMgHconZ@-M{% zM?dM{{pY{-wTwc)`|jI0kL008zek2DJyHkCGoR0f(2;uOd@Mp_3p~`rj>fo+*HPX~ zjYbA3lS@ZJ2yV@FTF9;XnRXOD>03$T7~oNo|MdjknP| zQZhL>8bi6hr3pu=Q|`jrQiez!+SJ&aUZR@E4_3)<8=m!bftE(jx!jGNE$%2~sp-)?w=ejpFFrnIa{T30OtmU*xrq@YU?a3IBokV ztQ)uPmNGI_CQqL!htHiUdjoxC31d8Z=t$`$z7vDIh{0b6XC0C)aM{BM>auxFFD>TN z1y02ry>K>bQMPc3$^gB!iP6%F!{IJ7ED!KTvL<1KxUIF#vbM4ojpE3HamJ}S!#7(^6!;oTNW<^Yb!v7^Uw3az6kH$J&hW@cwmcr)d}{rfpu+$kV?gsu&m zpPMVo7&@Is2A`VG z5M9GN4Z-S)r`;~6SE>T8?N~jtkFz#T6}rloe&&lr?PIt+d&TunF6yH`)Imo*i*m`O z5vsaFqg5R-%e5ZSXBa0ja!Mn+vw&Ia<=veLttr=5ddsv8g%7l;H(!6FeCnl_Fc#gF zM&yy^KEM9P8==$LQ)kkd$;dMy@Wiimc_^J7h2Q<%f0ogS9q@00buhhfz1Md>yCcNi zZ{P=5oshB7L3F}MM%|_kPL`j+N)uO*}fv?c4Xt!kkCjSB<4E^sX*+ zvAjX2xN%OJ(BK2|kfAQtO}#@~o%p&=&CuMlE|F*T3=g^T*^nrB4J^8VHf0;K4J_Ir ziqqSXEyFHwZPqPnQ>q?!VXh82okgAp`g-Xcb>z@K^`*LXYkezib#ZAa=eV3ZcP{Jb z28Tw<-}+ztugbH}T*!wg|Led1_ke?*puIXpe*eL27MZ6U%2!URWA%h@Qp>y5@oxRy zz0*UU9&v&ei*6EVHL%Ed^84G-8uZD?Xy~%BxsFa377UW<@Ia@@PPzpsFY>`N#gy09 z!qiI0$H*jS4aPN2NDNRbok6A<%##!6h!!R1_lGEWkVfM|lraS5xsmR2;DU+eR4FdO_sCTTEHhQJqeVb+L;X-+Tv%jp`-$uE+Hy?_p(ORC1hwObB zSD9Y#hAM>C#rj!5#mD-l?z}go&Mg`U%V9hlvX^O^XY)0*GQNS~J(s{Nf?K7h&-I@2 zo8P`OsmKvhKB2*@!mW(f!cbd`_P^UB)Kp{o=6X!$Js{hI_!d zW8l=1YS9tVr+C%W!JvRzjx6_dJ?FPq=%q*(KfP@_6--VTv@B$AY;-K^H2eajbIRc< z;2fPuPnkxi!dG$Y;25GvtUu`n`ujpJWo~_?j-sUJtg_ItBuz%NxYu5B?QuBO)K5Aa zE^5n4(STgXK|W-q52fj%PfzeftAuvpA-&>+qZidX)+xXkIleeP>}+hwH_CqQKm z{XA;jcu#utTNS&&S|{zPOBc(->FMHe^vfvG?*8u50nKkOE|lH_6Zyd619~fM^j@b9 zAEDy#rQM$FZW%i;o}-ZcnBbwKN27>sp|(8B(rMZvojY3Y-n&=sJ-`8&nWk4bpZRHf zXD*K0L5Xw@_LmOI9>n?R^ZPK+O5kiBo?H(>%_1 zt0Of8A|4%pTsKaI?Idz4Bn6Qm45wKfdGZ?|YwIgu0bPM5e&^1f&HAj9r;Z1XaV{!$ z@o(hMs#vaIxum~1RULyv?9hI#ZZ6~02kuI;jEaxC$oRVO^6C0FaGKwnn=TmK&WO#? zW5<%d33ddWDqPnIUSC6}?_u~nhO*{ug8D!lUdNenhlb8jePIVnCk_r;w-&9;>&Ss1 ze0I9Qt+XQ}gXI8vDpQEyuHJB}NT#C7xLZJfQ;!1bLQ927`9RyvQF zG9|BgG&p+~myD>`iNgspZ>AS{?-z4<@i?sjorcoM5RSpSmvE%1>m5iP=f(0Oi>i^{X@7g}UZh(J}g&hcmUYqC}3f@F(O zVOG4Z(6Mo@^Ii;!=<1 zG7Ik;W{3*^LTJ+)$Vq%v{>d*>gI`rjrt(S;!QWhUfnRO#8dQbba+0qV8pbr2!Mje8 zP@544^E7cyd6r%8mTg)&K^(ucO_f)o>gdDR*l>C2<(Jbl-QL}dgOU&0p=?~VW!=0!3ljdhYp@KJgwUE`jX{=PZ`kK?P8mZ=;t=w#|;+ zvQGNV9%#b54a)I6jU8}aR{;UTt$BW&ZUEZ5$W$xhcH6Zr&o)>nGt}+axw9zut+Ie2 zf99nZGOD3L9~klQsDAL;D9boqes)*Re(mz6 z3tO*VEjMr6Dj#0?uzc{*$K~TISMvd~JHXke-qN89rQbU?Qii4ul)=dZWd{dJMh?-g zvIrJVX*();-ACyk&(horojgDqor=F<*EO;mk<)L1RCoMzShO4vXk~D{=pqf;d>31 z#<*{wPbp6aL!5H%hGim;PW@P`X4I$|QE8lZ={z?-TfYCjSIcXE^274!S6)ebQ}4Kq z_b3kX!O5vIFf7aY4XCvH@lSqS{)_+ozr-Q98Qe5{*2#C`D<%z}y!-BHN64Q4 zFtT{)(7|$WYN8Ac4aX62^vn}u7v`6sBZk;fB6ur)mnlmCY7 zegnREh*xlF;gM-paPW7fg=sLP&{@aC1?G;XC`Wtf26->aIt0~uc_Mp0>loS5YGex% zhqg*YuB1=$beZFY|Xj_MJN-8psb1XgWSV~LVi1DFC>Rw^ThGENzDIL8yAuXCnp(y?&;Q=ajGGH^TMi^IF+_(c?wN?#%f%>33&!2#ho7{=Gqt#NRw zafT+I2o-RU5N2oj{SUgz8gF%Dw#aAW*fl?Ca`Vr77+^hHW#y%1XuhqJSxke?Y2AI} zyEqIy+30){pjj(Q)kvpt*H>x0wV^e=r+N=eo-IcjM!~jzC$035rj{paWJ!-NaRPsW zQws7oWo2Z8KZiucL)alT3D2H8Q>JL#3hWLI$!wjFe4x9Rp16FHXPW6iB`*!HK0as-D;o1sUu)B(TcgK@eJtCOi6nFQ~yKBXU zXAfW%Wo&&@e+y5WNaf$B&bN@<>miyYwDDm=hHJ1i6Pn-Fq}cujPJr8{OglfS&R4%5o0> zQ7#^0q#f3`*4Eij^#-yfkH8~FH-^4FBP1aL@3OmzXNQBc17~fm+`4_Id~)kn?)FT2 z=|$JxB|W!Zccrw)5ppiqkKj2UZQKgIVNY~)b-wEkW^UhW^#E(z$jBpvkd7{vRN2HR zLfajTZx+abq4HxrjvqM&?^nwP_3lAYo*5o5{V32bRA!Dqi+qM@-3SVu#YFJ#g%N45z~JGbE(j?ThFh)`hD*8TT*@;Qpexl zDZdG!QG3n=`3L{)f0u=|)=OG6PDql8FPFyIcj@c;+h^p%4w1co(VIFrnetq~tuOI0 zu-|^`opSW(G2TiKg!BJt ziKB5fkWL=(&i1UcCV_lwhp2H7%oBqfdP95ok)s3%(f7RfUF@TG`9z%SZ>q+|3Bcj# zM^{>wH1ez^4=B`O?M8p?&}J7GaRk84JWi(uAD*ROWCnaJTNs|S>_SmbTb-walQkhA zE)L3YvlB;L$QU`WZ5XVBmm?Kl=$b;Gx0mai3*fAL)ie~#RqNZ{-i4m~!AO1%_u%|9R6yeaP@7P^RuBty8te?M@)Bw-YG{?4JLP4($b0E2zyBT$n0PP1@=^+`Up^8YDDkVdniA(v=NnuF{d1_fkN%PR19}z0z8lD((h6eS?iMBA> z+l6PIL75EK#)smQY{UDV

Ww?rQ5g>-Lh(`RgMx88ZX&DgxeCQPrj(tNWbU0J%6 zRK1oW$ymQNwyC#>8@TlPX_h12B1=78!Jv23 znIr$sKJ~D}Uc#=%eD%OfjT5Xg2Fh&A@X1zOGTd652keWP-^iIMvb}$_uiCU6Di!97 zFTe2>r_Vq8g6p7-W=wMf_St8jdHwCW)6O4_u88M3Bry&x4)gS&S#VKX17!;YkD&6|ktA^f9~w1PRgUSy=ZOa z$=8$NK`sq6Ukz9J3}CpVM6%?yVeou|p=i&M@$J(y9%n;yYvQGgHW_IS&t)M19;y0B ziZ9Pl^!4gazWv#(qLPs;D%Wor88u8)epi>gP#U%HFoc(%lV?RGMgE&3d!#OuzZ$OO zRXOP{ev41NDo>|+ZCxr)m(q2r2hyu|D_5?PlaTKoeWXHluIb%zv{AMcYhe*9uoSLxFlW`Gdg+$3 z=Lo8HU6g})rc%XgZECVY)uW1oaEJ`0gD1)#5rPhI^-x~jQ@UEzYfIt8sfs%FOiwny zSH@TTW*?_7l0uaz4z<{?-fEwC#b{!u=;)F>b*lUWaypcy%Q?&cOR`wtVd|e^_-IYS zp>lIlf4_K|QaT15d%)4tFN4`_?UE1bdgDzuvsts}Se6Vxu4*_3$I8npGDSz9>c@Y} zXmzVl^umS4H|S#Am)AG=acC?w=~V;HQNnT=P?lV?L_MXn$aHsmkOyc0M@MJ3wFpOZ zOUjn4X!iNr&bDTWu6QN`U+q0})t~qmzFZyn+Lsb98QtqfHo%o@?alq_nM?L1Pi<{Y z{;sDp--^`Bu@*^1vv}63dy^at{VvqKw^5GTdev>6?hT?-I2!7@ZQi_P_VqVkDGxc3 zCArqRPUTovWSxt1t$TTabuKHg`nkE*Fjr3FA~|}C@~mxPrqwUav9#5G%b1M-eep7*DyVs;cli;Jr2Q=_f-nYu44OA%)>U&RcW7qIm@10(YdDchNvG zc8`H$s1Of_o(%8*a_@cKt76QX-}IKi!!zad33S~vX!!~7Zhd3JV1B&Edjc={v8fc} z2!o)V9v^8OL<1fyY1oX5AtnrZ@?>m=Rn_>|eL4>Te^wgrJ?fbaz}#7J$HErMMjGUi z(;s-o`=V?SPkOJKUL@hr3l8XTry!w|W2kuVX%aF5PNicgN9o8DBhIiJn}mtWGHez= zfTvL2CM(3Ck9nJ}PCld3>^K~s@G^XvFJ)0uSVSRR7NBXtvL!NP>Vx!c9c@y~Yn(=wesg$fVSebbLZ^adAcBFWoY2G&nNNg^ zMaVzpZR={YhK45ZrH7te%F5Kkh9%_XxD;Dj-{X@vcOMd_j691MtcK-XyOck@j_tSF zOv-Sz_dH@8>V)T>?yz0L_l&_~Xrm5QKDLdFA3xE)ojccZ)MFb(n^8|S$|1}D>2>=) zJO~&Fas+N1KR=R5&&~|WSqI; zmevj#+|XV|j~FJ$O>rc@^1Ga-IMmqRpRAUYv1jQEX(UD7)6G%7^B1o0W#v`X?KZ5K zvlRnQ=Bl#uO67R9+J@66+MLFHy*ut!cI+~kp+(y|p zaqKY5Uex4#;VasVX5b@scXZ34kOL$qqqPI$365Spt2a|!Zut_$74y`E%ImhRwk@$@ zISqx%rzne6w8~cw1@gmORBx}G8g~|oBrB9rMzM#spJ(Tsd#U6!Oe1i(03#y4Fh|DP z!^B^eFgWVY+yL+kc_j{V0Jw}uHrd*dCx;Y$7TQseneZIq#S6$f>gXc|dt3-Db?L)t zx~C(L34r(vfm~Fi6Q%eeBfS>^A>RK@OI#PaBwS5GWco}04e%~Koy!<)hHwJ>uBRkk z#225PjwE?Hp4j8!eGKTs`OIEG&GiaceZyp-TLEc0J5WDbJWRz;sGc?_!k6({(%gN_%h5lEhpZIi2MBW z&z(O#QoKITAY=^Jkk;eqAK?s7;1M%i;+rkyIb)#6oAR)S1t4lD?$^L) z;mA#d5uVWF=wf(I`BEG<4U(o%JYa(-{EOuxUn02&r7$k?gvPi(3R;6)WqGd+{KR2o zK$&};`3g5_CMLw;VU$}GGV@K~Lve$Pk4@_4IHHHgGYXlWB`@jacwMW&7yuSk_^17a z#~mBR$y1r2kCm6?Nj(zo{8dssQ0A;q(ikJBS@AfI31yovS!$RVf~aVNsM`xAO6-0XMxVJq=*I625mx z$+on#8XX+USTTHrb@VEJw&X9nz0*)8I2UN!Fyh1BbofVgq*}A%V@1?>2 zVO7Iqct%=d6CcgZ7wviKg=6S)MVl0OW`XjeXR30kuQ;Hdx;UhElqF-*B|K_}?doNU zPemijtTQvuW=#5p4BJRsUS4N&KVM<(J))zVVg5q36IS=Ct!3w1)_@G+a6PxnNOfmg zvwB?(wF5S4#|f4#1J)>+NR_H=;%RN0_>obf`YyKaeul=Glgm^6smCwgOy6dzEt|XA zGP?7urG3E0Z&htQwaq?ENkz%uk^HD!eaNb4rgzPmgeniiyX_t5`)r#tcb}D zvux&&HnaV|L7{;>6^cB zfv^5tvUrK>OQtedz=j-W$avBz2;!~ZgKYxI4PijmF-IPHWsZac4;CSCVN7+a5fCvX zOh@p;XMhG1h8IW?JhPyn!}|!{IB95!Vu_6{2;%<*lmOtk$ScV&qD`rX66r~&DgAeP zfy49vjgJCMhwr@;#D+?~Jma0kcK~HZap5(haM}FHdrm8$VY7LY-QrBF#$-4m0T&7& zQ07c3JVaoE!|lrQPv0gF0@;}uGo0w`S$%te{NV=j>_;ge)4c~DA$ zW?$kg8aSAjJCnHB7ZhNQ=*^Ka?k zuatV{JVSUud0S;jT?y-%`^51RY<+!$lnonn6_?(m+6N0WyiF!m?kC!)5?Nvm4)9?R z0Z!u(n?k*bKVhF2JfdyMFz6RS(950SHumFni7(!B(0lOtsoSCpLlREIfbQT7xjg6@ zyoe75E~Oq+N2hvt19!|GLzW>-m-0eB$hV_|4fbJUF^hRB zE33lXAax-A=HwNG-=ZZ$ik7eI(j&;0vw@OTMA4DsUw~#9P>gg#S5aQ+bg*aw$B6^N z=?yT4+N*}b{17f7!`IC5!V6@M9suRU;F4!iahVSRgPM2@p{f!U2ZsaQ2M_3$$nydNHip~O#!~r8BO}|x z*A8+mRV$<3t*|bYcc4TSSY*vB^KJR;E^AtrZ4FD+V_luA9$A64Hs{FL*_49rp3i7(@3jpsYU}E(S?|`hG^mF?BAjzbO}c{?+A$aW=i-@gsky;7fwG4R#TNl3o(?fxgmk=w$Fk(0lqC=h*|H*xyALhu z0w+TzVR$Eee2}n+7iH!f6_aNmmY4Wk&JO|nC)_!tMi2OJu_;^LKEqvXYGfiD>|SOm zLw@^$0g>xxmthqVCMAr$Ztz69po8#$JG@g){+{0`MC6 zWGa-4{df%1Arq7ZWeU$xko1JYcdFtr9uR4RF6tX^IPO97x=ZLF4Y>Io>5RM>yri+C zOhwvQMvf+jJ|N0OEDP}|O9XzCPki>_dZqQFrsVhp?8!Uj;roa9O`zh%=NOMT@gm;{ z&=Y@ih9rxHWMzB~3xkJ|jlUU^#!$pOqa4R)?y)R9gPZd4?C+BH!4hnDLL`5Y4=(Ep zPwDP;f_~6@Z-)vGyulFbQUsg>H>|=R>GwQTcL2Oo4bqRWl#Dzy;bCxxMx0Nj7}P&p z05o$Ehx};sqyb;ZM`iN)#Sou+Ov8KHWcW%oZ7Q6h$-)!{ZrIm{wdR=~P9J*_S)9dh z<(J~n1j#6L4(6xw_})w1$qQ$haI(h^_aK3Tl@x%+9dXe!VD7_Rrv$){5K-Xx8@${jZNLwoxxnel;ss z4Zcs)kdgq{V9B!nva%98;)uhfY_omIJ8>uvo<0!D@O|3SHxft1&qwdryvqTmOe9ku zT=cv!P~hWgk;lT}sd$Ct6NMrQtxSZ6;h{>-JpVz#5kAJ@S&bO-_h13M2!ii);=cQe zo=_IRS3RkrMw8?|_y0ajH9sypszgIBdOTvIfoH5@B{3ajDOdKHQi)mz~ zASvkm@SD$saA9as4ta$IPB-3sQ%9SBjsg#KZNqw7uX+pfb}9q>O>mP}Ueew$dKglA z*kYgcWrn#efOzCVZSWk7W%zI-bd#QW5nt&h8oGo-r5sE<$mhgRJ8zRaC$R^EAUrA? z_xO%{LS934050Z{zzZOk42^T=J@rRjawiQJ>A83hc>cl@FW%t@VKhj`Z{l$A?EIAz z!NoK5Vkj|cft!I2;&w9M)2V#>F`jA{VhGE(F+%Qa>CTZzxN*oPy->cj#+*<%4^rt^ z0MeCGuSvaj$uu+uM-6&ZM@q}Z_r%bHh#d<)#9+l$-AXBwhSPI8yV!F@8;oTZAM{(K z4niy^Vdw|i2Jx9naA!l$ELB&t)II9ppgYhZ&=jBHF+3+P@D>yl1=@+B-<<3ondV1T z^|HXI$M{5vGIo9_rPpsB=TZidQJK`1#3MIMA|#|ux$#EUV!e5Lk%Iuugn1hkErhps zwhKRX6KHI0Zc$IKF)VfxZ9a9NyUP~sA$Bfw>5tPvEAa=?}d53F=o*%;h$;$;R@DCs?HXLY;^u&8Q(2FA6^GwQ-UM8OL@=$`*3m26f4)h(0 zhfokVg5y+pR4BZ2zTsR5ndhgU(Zfl{HJ!n*)tWqu(wEfetU98!yoy=$G+gsEdpJTyg(kkhMNP^#Jg^Y5bxexroLF zh~)=w4C79naQDgeBtG{+di9%<(gxj_CHw?12wpznj$x$tbP4V05P25UL?y??Glq_5 z=;t2e1F=kA4qaVx0080<7B7srYw6CXa>mF`365o37G z;*#d+%CJv`?iKO=Id~Bo7@8nLabjLD$eExA&nHRi3WG9G$+}|vL0V$^eo?$u!`unZ9t#!~y z1^&|*C1~<&@XEY*CV}gcr@}dge1g2Cz0K<7rLgJGSEMC*B1|zaj2xe+SX;Z=>gwuz zwN>4^dU@CDeLjvkxQ51NYY{$QdaZKNn~I)L*(F{8ew3-Vt?#f`g_oo}VaFRd-hpOW{*8K=d3#;^GPI_2a&&rG+!1&Uoh-!%z!S^N-n(q|=+cLzTn;67^cLpK`EIaB z?)fVZF5*!qQQ?Pl7{4KBhjj5>l%aHk0`HLr?}_MggDN_>ppRj;NGo^Jf}6AvzzL+s za~gDyxO^{_@*>>jPgi`G9*^g4>OuD(#vwgJvHW&^DwfMr+IwV?IY34a!gd47C;lir zlt*nDnb9*n*2oL^gsfs1d8T*C)u!J)YMY(v)%zlmBrh)|NCmz+6P=?zzaIK|9_52=D^|W0KS9QbxX_EU6Rda7ET5!Re5P+a+b(&F4bYm{cZK zz>zO;;T6ge{Jm=A@GTg`p>oiuQI^olJq!mze@M?V@mLS+==Vh%$xs%;Ok5NJ3IJm^ zXU-fCqj5#$=U;+lUdqSUrL^RgbKo#Eb<;3}4`agzAu&SS8=4#4Xav5;vWGfzMI?H` zj{^WM6cQV}SyoAyHsM z!#jx_sGObcUHX;jc{VmS`0+X`WrXY3H6#W;Eb!@YMHA|TYmkQ$An-sJd69;4K!0>r zni^ZIrMb=TJ?cq1Ka`eokdFKVp91bM1QZt8M57IavO_!VDGbrVPtsyUeUqp(im-|< z;Y^<6Z_>jT?w)U=te^uNq>pmPZ!X?B&yuzYEx~~d!-@<&M;YT?z?JY4G;neH<-@ z9J0lN5d!Yaqtea>b&}&`m@mun3yYk8YzrYAV@$pKRKZ|cCm-g>z3vj6 z>?dWKf)TpBoP6gMSEn4?^sPC>2O@6jBptGjJLbqMqu_HnAtZ7}1&Hs$rQfibhctkB z5qL)-xRY`EJ*I;kCrQE(F~xW3fHx4+rC(kUNwPl+n1hRS4aG^`2?tjU4~!Km?Q_r5B$K>w7W7@l+vW3Qlf}{7rCP?t2Jm3T249UcyB)obx>_<*QP7-I(in9<{`TOK_|0C?x^x8+r)e95!dsagXE#iCq+qT)jF z*0WTvh&?jlv<{YiGp5dOXr;%5N6Ja+R2WO1+1rKSVkpD;i=jNMo`YuaKqoYEamX(l zcA?CA_)0X|TR27kkotTwV%>;U=62AHq~)q(Rc-q>S& zF1+|4KFC^zJWY7n;d!!Y+T~bRyLxrxRlrI>@wmFCM)zJ@y>_*G!Az91(hX(9+#LL9 zQW;pmRZv*qZKhs5W!epM0a5Nyr#*6NSp67QJK_xGh!!az@q{p6LCHJCXO6C2y;68i zxhW%_e6RS+YA@ynF~&Fp(1k2>QHPW_E=1xtX?cbgdd)o3qsMT=14bb*rr-f5GR7Ux z-95Y3FPR9?jo+0B~@ARbaruS!tmmBn}v={1^sC-|njgNdPmTw;s9###z9#FZd6Y$~G z(0S++{WuF=24oHD=!GdGH{YC6gTlo4=te$K=h70wf!TqFE~h+DQO;*%h8}T-pZ>w2 z4V7hVRS7zoyJLyZN}B`;B>P7nbNH1c4uRo~_d*mvJ|y}c61`Q|MDJ+O6otkU;sG#@ zC*lI>X#)g&Nie@cS-JcnKvXto-_7!|Jv zPYNI0AbK>1md+2JIy$Gk$ zxgyjvLwP6$lyEd!gvY#5+UyylJk>RvgBZ$2oaIZG`Nl*xva(>LyrR;os%vbF3rN?gz>$pJSpcXQ#P%0y)^7ro$T?-Yt8M|kPU@s7nH8A^{L0pj)y7K(JqDL}^YAyEd*D?t;=03%F3 z0DNUn37_lm#*J*Kp~ZT^phho}UI~(ba>Ni9tMOr=;Um((RsxO|!ocSj6e)dB%+w?K zu|EzvXxx0@lYB66OoR^`KGN?j=k_xS^$(oIDszWqqe%vYCEGaIc?E@{RCFo^OY#fk zAy<}HDi0Z9=v7|axsVxTojbh&jB4Cth_ZqgC`an5th7vZn(qh376~Vc5~ELi;^nfr zlsrWbVJe&({4((Z`G9tuo+6c#Q&-?a0c{`&$B5$q5bi@`!UZpBLpVdl!b3YlA;UND zV~jAEEP>C;QTnWW@lhVV(y$MRdh=6O6gNk4*~U?<`0$RlNAI(}jqMTY6?4Z3somj} zAyX*X(lYTbJ6Db*$7I1L>NwBxI8sXlwJ@wtT@D0U9SC*@t?0bP{<+=YP+s+JI)t}x zAZ5AqT#CZNlD=LXnog@!dmQLvG=-zl+MF)FHjG1o@nm0>&n*fMvWKo?riGJ|M|D&Uk8gvx( zM7m7LS%H5%w?_PkLxFyYs~ply3TN`5z89WXUU}Ak#mEEwQWmbo1Ay2CN8m)!g%kn= z+FG-|06EhKh<| z(Bbj*n)^^52`FE1#W251`rP=Y#p9WHv0Uk4+}SV*FgDEG3#V8RzH;SCr-@S*%BA%E z)Coa4ZoF%}Q@*Ffr|MAzwd>Y+`7wN)qGfRP`321CC|gUqn|HA;3S|Qq z-x`B&c=6OVn#XBP(t?jWKo}SKkuMFMI{^KZIS&1Sn|NoQd8U2z(MSGyd2vsC3}54o zxZoXpYH18Zj->P6o#-vAEcg0hc&WThvLU*mlXMIbaaJMn($d=O;oyqBOL{QS4S&H& zI6WQG;_PsT?_l>`Tslkpo`ba-iHl-c|bU|-j{d%u^ zXr-4$yTM>U155ZZrsPjAp}oB=q){)8_!#lXZ}MSZT3J~*@@&-#4&;>*m$3ow*zx0h z2#TREdLaNkUFK~^j~(N*BDYZn!NGIH%`+?ml|Y! zXfyykyw`u{WtrrcJ*>p-NijmuZ8gGCBxBAg@2pfLK0Oc8O501nuyur|k!xyYI8k|Arr+6iB-%F>nZADU##8f^o5`%-Pv zEpkLM)z$1VNx-U4C3NyC%`K;0nbdo;HM5W?a7aj)J5aE*~mP z;zaOp=ugtccl_nIPueHXyn~sP$Qd59QIsK^A-@PQj;he(F#p8-1Ru2nC(45S@w_n> zG;GHux=BhLj%r!FVwtU8xhgEiP{lViN!WR(MnU6r+9X(zcl@!(+4098Zzb{o@wmb; zdbW)iHO8h-oni|XFS4yR-@?C1#5b!@@+b)!fR|ZFa5^F&e1sQD7%8{U_au2DWGwNY zIBC4KwY0m(S|)Fv1s_oX0t`bU571FpS8Ef-O_bNzA;sM2!VF$;0uQa@tq!ILS>>sl$I5HLjq54 zAH#0ud5;(tlv!hQsGq3t0K94ElP>U8SBC8k4CS^oHG8@uHF%T|c{J8HIDNo;C1?H+H zX{Y3aCk@^hORO*_Y!zV%`ufZ-t)jBTMsJ*HZIZ3N zy!AG1M2Q_%c8;~Sby=-IrWXa@EZpPxvr_Iw#gGG+Mc`Yp>`DK^8$qSDLKJWKmf#Pmz!v~K5IPCzLhf{Go9pzhz4oyN3%dyk8nG_)r?@iX@gW zr83N2IN#QO?D9`JN`v^$QfDI={dr`1X~YPX{f z8EGHRn`5mlO`>sQtNlt!cZ-SE)!Sjq7u8B0+HB;gYRfOkw(%n-SZCovE6U5a%>e|-YM|P*Zxc(EX%`LQywRtwWVw_dyRaoufm7*`t%EpvP zxsS4CoeM3qPfnxcZ(D1&AKRhAvyCV$vAdV-Y-1;mmFdZ_S7zqgqAw*|a-cakv}yfX zn<$-tqt=yEYs0HbO?KSQ+k7XRYSS%Oc+BS5TlRwe;iFgV*c}hFkKdeajh(CP!~gWy zqzbc%6%(yzeVGr@7Bp7dm=RN~vZP85k7QQ9M2~uE7^Izc+|`Q3+bgcP!bes(4h()q z8Bh(v(m_2>+;l)7B7qPNkS;t&97B*!SS+Yd1}13-!-$t=xcrjB9DL@zAU$uwxQq0` z_YrS=7a`ynGJu2mDhkh#jrop4R^Vj#6mOad&p51t(9l2_>t%ih{>1dY>Po=(g}#|R zOWoxn;fDt0m5>riqLCIBmbel4?b1z$kATGaf8}@~`A0k5WdBQf8}Ht05_0aKc;C0pthp zrP^4hDB})!b}Rzm{I|(TFLcF<6<${{4t#XJCdLndXW&P9u$qqHV)Ejv!_dcu(B_s# zH&!g#0O)arvZ3h1hS@l5g))>HR;&!ijR63k_gqvKXlB04(aZ z9aA6mb?dzh0PnaWU#KH+g~c49%+XjLcGzL|`s=T|v%$N0^A?2s1-!>lM0~V2?&amB zl7nLJ)k1egMU`!{%~syKMtP&0F^=pKkp7?+djb`>`S!4Hd$rHL$aw@s%%_$70L-dMfHEs3~QeIZ{_3H&IPxDm9 z)~FK3y>{Kxji1L6 z&j6lX*CUTfmGLarC&Ph?Km&1)6$13^i3ITufg#iQJHv;6@XQes1QDS|0ef7>In$hLt ztily~kU^1$ut1-)ota);7#=*MqMSURh*Sz!_No=}Hp?3T&&&Za#8oTLF1r`fH2lT)<@*3og(#7XkRxht0+ylS4ob_o9nerni7*6mL27Y=h{Em6D zFhDoG3+>rKii-E-L7Rmi@mMVPR|U+`kS}Sdo3J{O5sk!|lZ;6Ey$w*#{5}8^ zRByiFM(a`ef=q%S=m7Lm)Pdv1O>o^2bTE+;9stOg0K*=h0%XFRcme*LFzttxACbfi z$tVa}PS|M^cdM%V z{3C>Xl$3A(*b;UzZ(tz2Gc!oZ2%n78Y=b}8(u(^WMg;VH@ zIpl%n<|V^$qRWk$?f_}y9sHT%J;Rpt?C7ar$Wf*~EGm2$JuZ4|kv8(?^6z(X?^Zpl zoESvp2TI9>xw2?HR03BtoB_v5Nk3p%cW{CO`lttZ`P(`1gE3$oBYAU1s%{FHW2H#tR1ozc^J!2 zT_cO6kH7+s$fG!!+M_=pqh zI6ian3|?~a@+yoYrJ$a77_WF#Shz?N(#6a1sERpm3S5qlcT~H0!BK81g4(>ip_J-L z7vDJZbp`5e4PeMv*f)4r-jaWek2??261)RPmU>erBaOSbuvuLDrbx^(Fa7Ps9?oZ;9EdBU2L!%DTdz-PkPkeHI-DBvi%0_MK_s~jCM*-?4n|HQ0sQ9T zof|A&ymtkx3-HQcvnId$rss=8J6M6xBPk#43p zGE>Pajl7IHpgNIm#fkJpOhJ%kodp+MC!#3FLe~mB(XeB2Xg2WqqrKIX`76KtOVvPq z8!|d@dc>k#Gn64%W#e~Q?!_bHk~sIp zTR>woogm-q|Neub!TT;7-ny5cgPG~^h<5L)%y~*vxO?YLUd{0V28#Ugs6_A){y=Cm zQd%y%`7T=VA6h%E9Cw}>yQaBPgi(jnnl*V2je4Dler6*;iXTHG9OWmR?Wy_~6G%u?s#$pJd401A&hao96DP0q4| zD9Y7{4KC-no;-ds(Q!D%%ba(nyL5P-c0;eL#ahlTHL4q<=g=7zx7Ic*4g6gPK{#In zRp*0`!CN|MHFZE$2W=cCxuFHFQRa|s;Z42)qkR~8bAYbW0ve4Ti+LLAaBMoCeAA+B#; zb7TE5M)Ns;U!8kIbXw4?Hq%|0=T?k<28(qoZUTJ;Qs+7>*bY4agRQv6*&!Q*GHcPo9O^ zXBEALONbQedTM>M45GyYzR4XwG4hQ)Sm~i#qMOb`>Gqtz>$l}+6l!{`odTd0r5SVi z1BQMG_(>nW-oeq!_^-ZrrABM^FxjV6c{xHnXmdq}wlMVb{SO}1dv!n_HrTGF9&D?q z@6U=p7Q+(S)9y$9xlEz!2H*)DcxeZPhVhq11JD3U7P)tH5j^smUX>3{arO(IIoK~< zwN=1(JUoJ~o03JKO5zHjwt!oPDnitBOkY@OZ-jq8BAGdFVyw>G+X;ED|F>_|w{Io6bA$-kaWj`>p9O|NJki zQ*NsV_0lO1_PV#f%#l;pGq8W{u!OSB;_BV7rA`0*FgKb2X8ZoAf(DEpfYN>zIu9ts zfr0y@3cH*Rcgnoezve>1iz+4B76vjI-e)j;O@yZHR2 zno5$-3OjjynD;TfLU2CIr^Z+O&iKd(Evpu9#7UhvwKlDC!f{#*8YA5070fbS21e6q z1q+#+bRzp|;cbUf4mB;I7HlMa8v$~MPa1NsUb?<;@{z644?IO<rmPmXn>L-O9dd9$W~ z;OY7SXl?u*~7rhU(PmXF0^n$Y(6k^PYaf3=C3*3)}?^^7*xl1cPu{6|^zE$x2J zR?ugZN>j3k-lO4@mtUx9bb3;241#YNnx^G=d<8?@YY|0r9(4?Rx>gy@V*|g?pMFeZ zdw)2~QJ&_-_5?V|rAN7BVwEnu7D6TK+_{i%whf^M&eapCx1x)ljCyW9o(&+WG|=HV zn&5uvDz2X^jmERgScE4Y5JVxL1zZ&q=<71Bs^kPh?0Zl>3D+>t-@-tpoy!59VX6&@xhc^gyh4SPDR`C^H@^kRHK zwbcC9t=rR`d$*@c7cN%shf%Z|&ecX(tKjTC>ZRSI!(uvb>^Gxuj6d4F=cp*6^qj60 zXaa|&QCANu^$iNou_J>DM=Q1^*Z8!z#Z-sAE*l$hrl&S*6_(y#@ftbS^p^%b>rl(l zF;TwXah&q4Z;Y_9wH^Gs)6VW*J)45__bXOK9#8okl?I9yqYFI2vvDd8J$13ZHvV>8 znLVYm8d+G5PQT$n!^Y<5X)~&LA|KPURw*8wIXhiEe_=Xz_Mn!iqxIaug<94vPxr7} z9#$(d^u7mJ$tIm(Pm4M>dO0|ErdD7BhAZ7Lfy{bYeAX-(H!*>@10ik36yDsOdGf_E#jA}@igpnBdaCm@ZzFIOT8?<)9LSNJ{iV{S3(sS)+PDtVR?@>EzqWEp=O?2x z%iE1OIjhza@^IfqlpZOE-YCn$fC}@fHgSS_in*=euXFIDT_|@y`o%_YCa0nsjCa*V z$`PuL6HbJ7`HT!he&QHpvf|iJg%)kjD#&#Bp0F>&R-}>BwBSF|m5`9;J=zv|`^e+n zoB#7xm94ElfAP|^z8WY0G_*Pn!?&rqV-~Yf_{8=1;(n)Y_ICEG+_kht8@A!*2!)=a zttvGq)05b!h~SWvga7Y6h$cYLGx^SiV;=g&^t03T<3Km(|9+p>c?-yfcml zI5<7f*NRKJ<-E#i98F8V>+o@~9RF;Z%NV0v0s zkfwu1RHpz?EtQkFQIXG0Z~X5Y)AgG_EZJ)CoEsVW&c$!ISCkFIjI+}#C{5GieJS01 zZRq#ifR!b#ucj|7;g&~liPBl5VJ{J9CSF>N6>jwFj0hatlu@%KxeN%$C-_M3gFYwv z-o1CLH>MmND0tkemq3mU(t>{HS?D~BHJUqr@o1zK zDGI7GiC^e+~I3IM*rbFIsn_VA>bIK{r1AyW;644PMb8_x@@>edt$XF=*5IQSO z&^~zZ-a=@KkHgl%c_PcVipYF z-Ow2u5Q<66)cjnalrdo$o_z*g#<(AZG(lh(oH4Rmju+=1Wg2naC$wUHTv=e2^TMG@ zS7}pmpbS&6c4)j6RG9RkvqF${Ir1&zY(P)bS3RxpKzS&Wo@X8lYdsb@zDHxdM)ee9a%|clu}G4kFq}V=}%9)XZEM7pSn^GL^*IT0~rgY zyx~j0i(WN@1ge)6TG7Fv!9(%TOgSq&9!p<`!x`oH*JM+Wy(mQ-y0^A=rdw~mRrwkZ zyr!I-+5lHO3OdC&almz+VF(eFA#hX{Gr-fU4Tmh_kkRw#VaiTDgg=eCq2*AGKIQnv zESX} zCtvFXUV0=Vzs|-RKRNmfns&;J=2(tDJ3`ZU!Ku#r4_~`(IQ9-*cQvJ#E1rPz$-%pe z8QE|QM$T~j=^y_|mF?Ii^mBHcpHu3a9z2s#8|*>HFpP{OfXggHU#%jnjzM^FFFd&a zsCxNiE5G_;AnCPw;H-{4w>rIZcl;ZGV7lD&lY6V(@MP3#ikwW~AqVy5e)QhQd5xY& z{f)NWbk>wy47S+<#&6Me5;OD^M!6g7?t(_R#6|@DQOzqks z11I8WPsPD-US!y^qz_vgaj++cBUD#UlU;l6nGJvr!4Y%HEZY-ME;}NwbcwqKe4hm`n#U; zoJXo$@94lE{=pwjzx$QnnZEMn-wF=(QjR6}Wef^G-0qG2fH$=qzF{OtJ&2o`>56DT zNaty$folhqC3xRq89EQ>cjCG{ag+~^hNh$OH4fKSvSqwrp)enfE_jx=##`IKJJfK0 zgpUFmN8EDxC7Y-|uxVJ2n85;i7>aAz2^Q-+)HuROFLv48TBHO*%43+F7JlB1E~V~od= z@UE9p7wWia6HIy8u!|0Xqwt*$KC*|W8;9?PwE&=K8}w-8jQekX=}XgBzxK6?w>W11 z?3o4q@^GF#s-Uy*-hb!_qp|$m(suQPhw6PMFP(4%Rv*U9QM40A-!R5wWK1_WA;@x;h+(#YIk5@y!fw zvi;nvuas=@psvwF_vIB=Z7W)9x|S1d{YNjl(ecGE{>JpuOD~s<)YV&W->UksEZ^~A z_aD4lOM~L^5x6b3GiEvUb{U5f!|rg}U<{3H#yE+^JI?AsqTGd!rfM=5V*`pR#A88_44eDfR8gLE5$UmNLfs{@7(A6-+7UPcqqg0|A5cf1$q z=_Noz;VEIKw-hww)s+~8#`AHIWWzWFDt|C8aLr7Y!JZp!m%R*&^ig=%{}1MYVdzAw zd`D#}tAQQk)fb*U`RW^*IO%3Oo|;QW`J8nOq0`HVPU-E#>(uYXH@Z|rEg&g_J3C(J zD$JuV4{#N&evatVkZi7Wg0&5XRku;%G8~bC?+Pm)lHE#r@7vR<1hS-v>b5Wjm-|Ye zU`~pGx8UOZ#S3H6#4!gNtC5UM82qF$ib1RVz}rf}*(87C2g+ltm5pxij-|241%G`+ zPu9jo5jl_IGf~24Kl|A-Tt-arv~DW1M+M?R$uV*eq_1@Il+oFP^Py)Pv^r!vaLIc} zujceXot0iBnJFOm^7=OO^2;wz|N3v=&2vVR+gqvE@hwohsr<>rktw5j7^CxH*rj9C zjj9;*b~3IEyKi+23`&}>lE+N5`@!Ql2C4F={um3!aBpuoV*!6dCW9}(R@#Dr&vf-x z8F*>6vHsRHj5VVcS>}rVlIskOw`fc|@V!hs0_4PDNy9)&=iAd*>@)CHAoKLt@dY?C zpw7_2u)~2zBZ9-!lQ!e~nOPo#n`)o%woV48PC+}ZrgOAmIF2@b*R*S3q$6W(NQ`e= zrU%o2QwV(Cw~WY#tl@AK;4lr{xIe58a)aASaG@VX# z{NM-I^E~`Y*;#d!>*!n#7<|DWQH)+|pp9}~E>}o12C6Z-&dMV=+P;GgVgdnIm?7B~ zp}~Esr9ul$St^zRR5`A(BjtIkK?S632Kow`o@MCg<0-6+t-RtzGQ2a71MrztGYa(e zy9Hi70eMOav$0x~`C&C4hHW?uhH{KdssdxAzW2S?r*D1hTXpP>LscD(YY6B!V906u3UMc z!nJExrsto(SPj$xud295EyZ(!kKGnvT)B32`pnP&-1MnyFV<-4>WkN=YoB_ta0*68 zue|cg^!d+!zGML>gH(SXa&mQA%k}KO#Ruo7bLTEh7cN|y&Y!E1Q_qmlPwMgP?8EyUZcmAd7kX$V&X}uWwsuw$q8Ao4>Ytm>L zkUo)uA(n?xcl_0MMopKmT$yg)y|d5{E8nE??Aifty8qHkFV(w4MkQbY2wC@;fn