From dfb750b8d5a0f486a10d8e478434328560a4c9ac Mon Sep 17 00:00:00 2001 From: WangXuan95 <629708558@qq.com> Date: Tue, 26 Feb 2019 19:50:47 +0800 Subject: [PATCH] =?UTF-8?q?=E5=90=88=E5=B9=B6ISP-UART=E5=92=8CUSER-UART?= =?UTF-8?q?=EF=BC=8C=E6=B7=BB=E5=8A=A0Nexys4=E5=BC=80=E5=8F=91=E6=9D=BF?= =?UTF-8?q?=E5=B7=A5=E7=A8=8B?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- Quartus/DE0_Nano/DE0_Nano.qpf | 30 -- Quartus/DE0_Nano/DE0_Nano.qsf | 275 ----------------- Quartus/DE0_Nano/DE0_Nano_USTCRVSoC_top.sv | 64 ---- Quartus/DE0_Nano/output_files/DE0_Nano.cdf | 13 - Quartus/DE0_Nano/output_files/DE0_Nano.jic | Bin 8388833 -> 0 bytes Quartus/DE0_Nano/output_files/DE0_Nano.sof | Bin 703957 -> 0 bytes RTL/core_alu.sv | 49 --- RTL/core_bus_wrapper.sv | 109 ------- RTL/core_ex_branch_judge.sv | 26 -- RTL/core_id_stage.sv | 79 ----- RTL/core_regfile.sv | 84 ------ RTL/core_top.sv | 257 ---------------- RTL/instr_rom.sv | 61 ---- RTL/isp_uart.sv | 222 -------------- RTL/naive_bus.sv | 30 -- RTL/naive_bus_router.sv | 118 -------- RTL/ram.sv | 30 -- RTL/ram_bus_wrapper.sv | 51 ---- RTL/soc_top.sv | 109 ------- RTL/soc_top_tb.sv | 21 -- RTL/uart_rx.sv | 53 ---- RTL/uart_tx_line.sv | 47 --- RTL/user_uart_tx.sv | 99 ------ RTL/video_ram.sv | 335 --------------------- 24 files changed, 2162 deletions(-) delete mode 100644 Quartus/DE0_Nano/DE0_Nano.qpf delete mode 100644 Quartus/DE0_Nano/DE0_Nano.qsf delete mode 100644 Quartus/DE0_Nano/DE0_Nano_USTCRVSoC_top.sv delete mode 100644 Quartus/DE0_Nano/output_files/DE0_Nano.cdf delete mode 100644 Quartus/DE0_Nano/output_files/DE0_Nano.jic delete mode 100644 Quartus/DE0_Nano/output_files/DE0_Nano.sof delete mode 100644 RTL/core_alu.sv delete mode 100644 RTL/core_bus_wrapper.sv delete mode 100644 RTL/core_ex_branch_judge.sv delete mode 100644 RTL/core_id_stage.sv delete mode 100644 RTL/core_regfile.sv delete mode 100644 RTL/core_top.sv delete mode 100644 RTL/instr_rom.sv delete mode 100644 RTL/isp_uart.sv delete mode 100644 RTL/naive_bus.sv delete mode 100644 RTL/naive_bus_router.sv delete mode 100644 RTL/ram.sv delete mode 100644 RTL/ram_bus_wrapper.sv delete mode 100644 RTL/soc_top.sv delete mode 100644 RTL/soc_top_tb.sv delete mode 100644 RTL/uart_rx.sv delete mode 100644 RTL/uart_tx_line.sv delete mode 100644 RTL/user_uart_tx.sv delete mode 100644 RTL/video_ram.sv diff --git a/Quartus/DE0_Nano/DE0_Nano.qpf b/Quartus/DE0_Nano/DE0_Nano.qpf deleted file mode 100644 index 1e4520f..0000000 --- a/Quartus/DE0_Nano/DE0_Nano.qpf +++ /dev/null @@ -1,30 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 64-Bit -# Version 13.1.0 Build 162 10/23/2013 SJ Full Version -# Date created = 10:11:55 February 10, 2019 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "13.1" -DATE = "10:11:55 February 10, 2019" - -# Revisions - -PROJECT_REVISION = "DE0_Nano" diff --git a/Quartus/DE0_Nano/DE0_Nano.qsf b/Quartus/DE0_Nano/DE0_Nano.qsf deleted file mode 100644 index b2090dd..0000000 --- a/Quartus/DE0_Nano/DE0_Nano.qsf +++ /dev/null @@ -1,275 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 64-Bit -# Version 13.1.0 Build 162 10/23/2013 SJ Full Version -# Date created = 10:11:56 February 10, 2019 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# DE0_Nano_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - - -#============================================================ -# CLOCK -#============================================================ -set_location_assignment PIN_R8 -to CLOCK_50 - -#============================================================ -# LED -#============================================================ -set_location_assignment PIN_A15 -to LED[0] -set_location_assignment PIN_A13 -to LED[1] -set_location_assignment PIN_B13 -to LED[2] -set_location_assignment PIN_A11 -to LED[3] -set_location_assignment PIN_D1 -to LED[4] -set_location_assignment PIN_F3 -to LED[5] -set_location_assignment PIN_B1 -to LED[6] -set_location_assignment PIN_L3 -to LED[7] - -#============================================================ -# KEY -#============================================================ -set_location_assignment PIN_J15 -to KEY[0] -set_location_assignment PIN_E1 -to KEY[1] - -#============================================================ -# SW -#============================================================ -set_location_assignment PIN_M1 -to SW[0] -set_location_assignment PIN_T8 -to SW[1] -set_location_assignment PIN_B9 -to SW[2] -set_location_assignment PIN_M15 -to SW[3] - -#============================================================ -# SDRAM -#============================================================ -set_location_assignment PIN_M7 -to DRAM_BA[0] -set_location_assignment PIN_M6 -to DRAM_BA[1] -set_location_assignment PIN_R6 -to DRAM_DQM[0] -set_location_assignment PIN_T5 -to DRAM_DQM[1] -set_location_assignment PIN_L2 -to DRAM_RAS_N -set_location_assignment PIN_L1 -to DRAM_CAS_N -set_location_assignment PIN_L7 -to DRAM_CKE -set_location_assignment PIN_R4 -to DRAM_CLK -set_location_assignment PIN_C2 -to DRAM_WE_N -set_location_assignment PIN_P6 -to DRAM_CS_N -set_location_assignment PIN_G2 -to DRAM_DQ[0] -set_location_assignment PIN_G1 -to DRAM_DQ[1] -set_location_assignment PIN_L8 -to DRAM_DQ[2] -set_location_assignment PIN_K5 -to DRAM_DQ[3] -set_location_assignment PIN_K2 -to DRAM_DQ[4] -set_location_assignment PIN_J2 -to DRAM_DQ[5] -set_location_assignment PIN_J1 -to DRAM_DQ[6] -set_location_assignment PIN_R7 -to DRAM_DQ[7] -set_location_assignment PIN_T4 -to DRAM_DQ[8] -set_location_assignment PIN_T2 -to DRAM_DQ[9] -set_location_assignment PIN_T3 -to DRAM_DQ[10] -set_location_assignment PIN_R3 -to DRAM_DQ[11] -set_location_assignment PIN_R5 -to DRAM_DQ[12] -set_location_assignment PIN_P3 -to DRAM_DQ[13] -set_location_assignment PIN_N3 -to DRAM_DQ[14] -set_location_assignment PIN_K1 -to DRAM_DQ[15] -set_location_assignment PIN_P2 -to DRAM_ADDR[0] -set_location_assignment PIN_N5 -to DRAM_ADDR[1] -set_location_assignment PIN_N6 -to DRAM_ADDR[2] -set_location_assignment PIN_M8 -to DRAM_ADDR[3] -set_location_assignment PIN_P8 -to DRAM_ADDR[4] -set_location_assignment PIN_T7 -to DRAM_ADDR[5] -set_location_assignment PIN_N8 -to DRAM_ADDR[6] -set_location_assignment PIN_T6 -to DRAM_ADDR[7] -set_location_assignment PIN_R1 -to DRAM_ADDR[8] -set_location_assignment PIN_P1 -to DRAM_ADDR[9] -set_location_assignment PIN_N2 -to DRAM_ADDR[10] -set_location_assignment PIN_N1 -to DRAM_ADDR[11] -set_location_assignment PIN_L4 -to DRAM_ADDR[12] - -#============================================================ -# Accelerometer and EEPROM -#============================================================ -set_location_assignment PIN_F2 -to I2C_SCLK -set_location_assignment PIN_F1 -to I2C_SDAT -set_location_assignment PIN_G5 -to G_SENSOR_CS_N -set_location_assignment PIN_M2 -to G_SENSOR_INT - -#============================================================ -# ADC -#============================================================ -set_location_assignment PIN_A10 -to ADC_CS_N -set_location_assignment PIN_B10 -to ADC_SADDR -set_location_assignment PIN_B14 -to ADC_SCLK -set_location_assignment PIN_A9 -to ADC_SDAT - -#============================================================ -# 2x13 GPIO Header -#============================================================ -set_location_assignment PIN_A14 -to GPIO_2[0] -set_location_assignment PIN_B16 -to GPIO_2[1] -set_location_assignment PIN_C14 -to GPIO_2[2] -set_location_assignment PIN_C16 -to GPIO_2[3] -set_location_assignment PIN_C15 -to GPIO_2[4] -set_location_assignment PIN_D16 -to GPIO_2[5] -set_location_assignment PIN_D15 -to GPIO_2[6] -set_location_assignment PIN_D14 -to GPIO_2[7] -set_location_assignment PIN_F15 -to GPIO_2[8] -set_location_assignment PIN_F16 -to GPIO_2[9] -set_location_assignment PIN_F14 -to GPIO_2[10] -set_location_assignment PIN_G16 -to GPIO_2[11] -set_location_assignment PIN_G15 -to GPIO_2[12] -set_location_assignment PIN_E15 -to GPIO_2_IN[0] -set_location_assignment PIN_E16 -to GPIO_2_IN[1] -set_location_assignment PIN_M16 -to GPIO_2_IN[2] - -#============================================================ -# GPIO_0, GPIO_0 connect to GPIO Default -#============================================================ -set_location_assignment PIN_A8 -to GPIO_0_IN[0] -set_location_assignment PIN_D3 -to GPIO_0[0] -set_location_assignment PIN_B8 -to GPIO_0_IN[1] -set_location_assignment PIN_C3 -to GPIO_0[1] -set_location_assignment PIN_A2 -to GPIO_0[2] -set_location_assignment PIN_A3 -to GPIO_0[3] -set_location_assignment PIN_B3 -to GPIO_0[4] -set_location_assignment PIN_B4 -to GPIO_0[5] -set_location_assignment PIN_A4 -to GPIO_0[6] -set_location_assignment PIN_B5 -to GPIO_0[7] -set_location_assignment PIN_A5 -to GPIO_0[8] -set_location_assignment PIN_D5 -to GPIO_0[9] -set_location_assignment PIN_B6 -to GPIO_0[10] -set_location_assignment PIN_A6 -to GPIO_0[11] -set_location_assignment PIN_B7 -to GPIO_0[12] -set_location_assignment PIN_D6 -to GPIO_0[13] -set_location_assignment PIN_A7 -to GPIO_0[14] -set_location_assignment PIN_C6 -to GPIO_0[15] -set_location_assignment PIN_C8 -to GPIO_0[16] -set_location_assignment PIN_E6 -to GPIO_0[17] -set_location_assignment PIN_E7 -to GPIO_0[18] -set_location_assignment PIN_D8 -to GPIO_0[19] -set_location_assignment PIN_E8 -to GPIO_0[20] -set_location_assignment PIN_F8 -to GPIO_0[21] -set_location_assignment PIN_F9 -to GPIO_0[22] -set_location_assignment PIN_E9 -to GPIO_0[23] -set_location_assignment PIN_C9 -to GPIO_0[24] -set_location_assignment PIN_D9 -to GPIO_0[25] -set_location_assignment PIN_E11 -to GPIO_0[26] -set_location_assignment PIN_E10 -to GPIO_0[27] -set_location_assignment PIN_C11 -to GPIO_0[28] -set_location_assignment PIN_B11 -to GPIO_0[29] -set_location_assignment PIN_A12 -to GPIO_0[30] -set_location_assignment PIN_D11 -to GPIO_0[31] -set_location_assignment PIN_D12 -to GPIO_0[32] -set_location_assignment PIN_B12 -to GPIO_0[33] - -#============================================================ -# GPIO_1, GPIO_1 connect to GPIO Default -#============================================================ -set_location_assignment PIN_T9 -to GPIO_1_IN[0] -set_location_assignment PIN_F13 -to GPIO_1[0] -set_location_assignment PIN_R9 -to GPIO_1_IN[1] -set_location_assignment PIN_T15 -to GPIO_1[1] -set_location_assignment PIN_T14 -to GPIO_1[2] -set_location_assignment PIN_T13 -to GPIO_1[3] -set_location_assignment PIN_R13 -to GPIO_1[4] -set_location_assignment PIN_T12 -to GPIO_1[5] -set_location_assignment PIN_R12 -to GPIO_1[6] -set_location_assignment PIN_T11 -to GPIO_1[7] -set_location_assignment PIN_T10 -to GPIO_1[8] -set_location_assignment PIN_R11 -to GPIO_1[9] -set_location_assignment PIN_P11 -to GPIO_1[10] -set_location_assignment PIN_R10 -to GPIO_1[11] -set_location_assignment PIN_N12 -to GPIO_1[12] -set_location_assignment PIN_P9 -to GPIO_1[13] -set_location_assignment PIN_N9 -to GPIO_1[14] -set_location_assignment PIN_N11 -to GPIO_1[15] -set_location_assignment PIN_L16 -to GPIO_1[16] -set_location_assignment PIN_K16 -to GPIO_1[17] -set_location_assignment PIN_R16 -to GPIO_1[18] -set_location_assignment PIN_L15 -to GPIO_1[19] -set_location_assignment PIN_P15 -to GPIO_1[20] -set_location_assignment PIN_P16 -to GPIO_1[21] -set_location_assignment PIN_R14 -to GPIO_1[22] -set_location_assignment PIN_N16 -to GPIO_1[23] -set_location_assignment PIN_N15 -to GPIO_1[24] -set_location_assignment PIN_P14 -to GPIO_1[25] -set_location_assignment PIN_L14 -to GPIO_1[26] -set_location_assignment PIN_N14 -to GPIO_1[27] -set_location_assignment PIN_M10 -to GPIO_1[28] -set_location_assignment PIN_L13 -to GPIO_1[29] -set_location_assignment PIN_J16 -to GPIO_1[30] -set_location_assignment PIN_K15 -to GPIO_1[31] -set_location_assignment PIN_J13 -to GPIO_1[32] -set_location_assignment PIN_J14 -to GPIO_1[33] - -#============================================================ -# End of pin assignments by Terasic System Builder -#============================================================ - - - -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files - - -set_global_assignment -name FAMILY "Cyclone IV E" -set_global_assignment -name DEVICE EP4CE22F17C6 -set_global_assignment -name TOP_LEVEL_ENTITY DE0_Nano_USTCRVSoC_top -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "10:11:55 FEBRUARY 10, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1 -set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V -set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (SystemVerilog)" -set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "SYSTEMVERILOG HDL" -section_id eda_simulation -set_global_assignment -name SYSTEMVERILOG_FILE DE0_Nano_USTCRVSoC_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/soc_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/soc_top_tb.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/naive_bus.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/naive_bus_router.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_regfile.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_id_stage.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_ex_branch_judge.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_bus_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_alu.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/isp_uart.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/uart_tx_line.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/uart_rx.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/user_uart_tx.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/instr_rom.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/video_ram.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram_bus_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram.sv -set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" -set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top -set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top -set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top -set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/Quartus/DE0_Nano/DE0_Nano_USTCRVSoC_top.sv b/Quartus/DE0_Nano/DE0_Nano_USTCRVSoC_top.sv deleted file mode 100644 index 5a62d6e..0000000 --- a/Quartus/DE0_Nano/DE0_Nano_USTCRVSoC_top.sv +++ /dev/null @@ -1,64 +0,0 @@ -module DE0_Nano_USTCRVSoC_top( - //////////// CLOCK ////////// - input CLOCK_50, - //////////// LED, KEY, Switch ////////// - output [7:0] LED, - input [1:0] KEY, - input [3:0] SW, - //////////// GPIO Header 1 ////////// - input [1:0] GPIO_0_IN, - inout [33:0] GPIO_0, - input [1:0] GPIO_1_IN, - inout [33:0] GPIO_1, - //////////// ADC ////////// - output ADC_CS_N, ADC_SADDR, ADC_SCLK, - input ADC_SDAT, - //////////// Accelerometer and EEPROM ////////// - output G_SENSOR_CS_N, - input G_SENSOR_INT, - output I2C_SCLK, - inout I2C_SDAT, - //////////// SDRAM ////////// - output [12:0] DRAM_ADDR, - output [1:0] DRAM_BA, - output DRAM_CAS_N, DRAM_CKE, DRAM_CLK, DRAM_CS_N, DRAM_RAS_N, DRAM_WE_N, - inout [15:0] DRAM_DQ, - output [1:0] DRAM_DQM -); - -logic rst_n; - -soc_top soc_inst( - .clk ( CLOCK_50 ), - .rst_n ( rst_n ), - .isp_uart_rx ( GPIO_1_IN[0] ), - .isp_uart_tx ( GPIO_1[0] ), - .user_uart_rx ( GPIO_1_IN[1] ), - .user_uart_tx ( GPIO_1[1] ), - .vga_hsync ( GPIO_0[33] ), - .vga_vsync ( GPIO_0[32] ), - .vga_pixel ( GPIO_0[31:16] ) -); - -// 在开发板的LED上显示ISP-UART和USER-UART的发送灯和接收灯 -assign LED[7:4] = ~{GPIO_1_IN[0],GPIO_1[0],GPIO_1_IN[1],GPIO_1[1]}; - -// VGA GND -assign GPIO_0[12] = 1'b0; - -// 流水灯,指示SoC在运行 -reg [21:0] cnt = 22'h0; -reg [ 3:0] flow = 4'h0; -always @ (posedge CLOCK_50 or negedge rst_n) - if(~rst_n) begin - cnt <= 22'h0; - flow <= 4'h0; - end else begin - cnt <= cnt + 22'h1; - if(cnt==22'h0) - flow <= {flow[2:0], ~flow[3]}; - end - -assign LED[3:0] = flow; - -endmodule diff --git a/Quartus/DE0_Nano/output_files/DE0_Nano.cdf b/Quartus/DE0_Nano/output_files/DE0_Nano.cdf deleted file mode 100644 index 9308955..0000000 --- a/Quartus/DE0_Nano/output_files/DE0_Nano.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 32-bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP4CE22) Path("E:/work-Lab/USTCRVSoC/Quartus/DE0_Nano/output_files/") File("DE0_Nano.jic") MfrSpec(OpMask(1) SEC_Device(EPCS64) Child_OpMask(1 1)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/Quartus/DE0_Nano/output_files/DE0_Nano.jic b/Quartus/DE0_Nano/output_files/DE0_Nano.jic deleted file mode 100644 index 91c5b9ff6ed0da0013e85bd484d54fb83dc76647..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8388833 zcmeFa4YY09RoA)i$)&h?RL4`d3w^|Bue`3dpM2${5}_IePE`Z~_D6--fYPyxv{4Y0 z5J-bM27Q57MWYcz#0W?uc7qN0fwl^^u@!Ck)<%XX4q6e>(F_~46{Ch2p#Q)BoOAEB z&pr3v^Ip9quk!YM_pCKP{&TLm=3aZ9efHh&+)w(%R}9dJUPr^L)V}JCpYet-c;n}{ zPyEF8vPVDcwV(9`?a4QM?r(j=XZ-fx{<=4`PkG%NKL4{m_jk0HzU;$a`r(`Q#2Y{B zbAEGs=|?=;Ub=b7qc3~Oqnnq$tiAe^+Q+}~b3UiA!^*)w?#Y+G;^Q8Dba>wId=2tn z|Bf&CtS|VS*Zt<NykUSFI+*t?cbUH^}qj_cl`T* z`LBNV9q)R_JO2GU-}$be{rO+`g?GN|T|fJC?|Rp}-tqJAc-K4M`5WKwib(J4W@>sS z>U;Qw_E+FowBIre`)s{#mq&*Bc)e_6HegOfec$`-^5t9lt?1W^N>7h`yF{FxD*i=% zjaPPj8ME~Cx0?g_J_q=Ue^FoK_4d+L4!wLR-^aTXo^kLy6VV?s7jT7#?+JZTuZKr} zQBNLa2Xkm1VDBbXJu2o`i(V>1esASe>#cICTwW<6r$cEMUvuTWF6zgCM^FDhiWr9& z9H$3G=#lIE`8ZLZOXZCYTj2`xxCov&N1}BSd=a)=N$8OA`EJqENtplo9T0JPs`b~8 z!+50iW)by*&_Uosb9_t=%nuo!bm)=ML+FqpY{>M)>hmZa`ku&(F?${ScZu*P&o_zC zA^#20_lOt=xu}=ni?BZ-Djazd3tDv)nwUTNJWqrUUvhbi=+B9MkLdeEl>_5oFY4ug zB0`30eEO$EEvd$>9yR=*5Har;i>MJk%)Rv3kpF%Xd{OE4^-t~)djT~r!VFIi9J4xy zc6HZ9k}I z)(hhzLyV6MmSy=`5%uA?bBt=PjD;Q<9vit(<5W8#-j>g$Ai~a=#D_RfutOYUWcnThE)Y}umqc~GRt|Yux4$SV{zlR} zMdXhjU)0F@ZcZhzo2pwzl{Y2~)%#ktakv|~`9Wul* z!H;vFc%%)UTwa~@3K8RCCntK2T;<1}_WmN`V1v*hL)7NwqDMrmed41-Chsp4{WB5r ze=7QR5udS{3v2E5qW@0BTH`(JWl8_Bh&&jt^7;S~dqw5;Wg_+ph_Tr-ivOD;)-n4A z`p*=h!w&rs5xL^WF`y2VgfW>HW7M&sUjJbdI_d>gUgStkAojE$6k#VeW1vH3jY8Dp zTSVvzQ}v)0)CBqv5p{srXVB48B91Bb`c6^RjM}ijLX7zxqT*Q#zfZ(kXKh2|h76Gh z=Mv`}^Pvt9=Od>EV{nc_CO+W1++TQ6ln!INprK805s5j745QlC+ygVJeR| zh{yv!)(m>~ZuUU-OL)#xdZ={l@x}B0!g~TT`)s}U5{u)@Tv;Erw#b$9g)zZkFI?$3 z7L`x+98>Tt7kY^G!1_X0zQn5YkzxwT*UPkI%0CnxNhKhkr!iqqX-=~>1G8MCc&i@6i>Hjq7UO zC!Dw%@*pPfAJmteAjW4*dhC9~Q-cnfIINw*5Rb7S*2y0iv0jVN70=ot2Z(hAksC6x z8S~eR;Mr4IlaOdBF2WWvBsd#Jnwn`mFRDa&~eQ{50PIHGV2&3Kl-l{!57t7)w7or zPhaD~Q%m*{t^-Q{qav=m(6OJ=|58!qK#yEhGJ8nnK+pREbt-yB#F)Fpb>xSNO8=6<8{DK#>etDz#MV&`BQcHZg)bg zwt9}P&6WEuPz1>+++N>?!xZo`K`Ni5NmI*$$W3mX-{^y8(_l6?URr%8Fz|;G#hC3#1@5U9SeEyJN!0P_GJ2&g$ z?99n+#&o4%=!jwvw;{z$n#kqL{_qqBZaPiodZYtSzj>4`o_pe6Hc+@c%Xarr>-xJ^ zsdm>>yT=0l2mjkWmeB2{^KRLv_9gL>Z`-Gxbnmf5rXlZ9?l=APJ(}2iHLZQ`eShrl zyjN3t4h??5j(+z~!1kUf(A`e^cYV)$BI|p3jD2U?zI^{5Ke@8cGU@L2(U~H@8@aol z^lLug-I(qBROkctsrRY*-uYyfN*!9erBX|!4z0kUxMfW(l{&NnhvJqswN&cR3LJ`C z*3?p|Lo0A7Zdp@Hr4FsYp}1vD-Cm{64u#*ZxT9hC$?v~kCx5&1Ue3NlE4EZ>snnqr zI25<6sijhfR^UL~(ObXjK;(T7Th6`%m%y^74lL9#cuk!h48L!IN5cz#&wTQJq&J`I zJsO(6Y=_3Q+NyJ-Uf)(RHWp{i`(Bh`_}yPQo8lr|Sb=3nzpykrhN#rpj&>2xSK!Z% z-y(6|wrKhaEN5R|jm!9@QkPYyx9zD^|9^j`YXm#3w!Lp$D9kjI@IDviZSR~Wm)!f@ z9B2Q*?2eE9QmNTW@#XYPGYV8{J*-~wcx(C}hwek(Y;+m^%oC5V)j$2^uYHRDkNEV{ zH|SU2``w9lf9sj>p7+BV`MDHDHCeqvGxEH*=lak4mt)Zu=F$r6+0ie>-@isz>g@iV z|KT(LMK3%Ydw(OBv+vO3zf@|e)S(qP6t}FYrBa7h;85JMrj|+_T7g4x%bHp$b!Y_+ z#l@OBI~0Du;*OT57Y{%5%RY5zt(HnHl{&NnhvJqswN&cR3LJ`C*3?p|Lo0A7Zdp^e zRjIQ>(f2QId3y2C=P{S37Y{#6mVN5bT1BPK4u#*ZxaD5LZ5;XK>^rnrOQoJgrSzcG zNO$}M+ueB%?p}(rJd-!B3YaUN$(v#4Uyr}6sj)cA(~INtn5xP0^y0WMo&|G%zxTCE zDtESH`$hb}nO)@$W)b;sj=TR8@_oH3?UD(eU1A%CAO7J>%@-Q)+SmHF3x~Kj49Atm zTYs>)rN6ua_xFx|d2Qy_rBd^HTr4e>y0|uT%TlR%Jua4(N?lx=xn-%;ydD=zOQkNZ z&D;`|I-4sOX-@@~?@QQIl)b9u?Au$GJ<6q0dy2AGwNz?vS@tNGO6@7iUKN!(+iO{r z^9ua3KR%Zi>7oiOYwDuP?CO^_wOf&kWbf!_7g-nmRDs>^OYlPp`DB8Bfjs>dd9{_B z8}-X~FS-azv!?>f_0*oC>{Ugj&i2|CBX@WS2N4mcXf>du~h0=RBCy8ac}XK zrx#~sx#H=?vl;kadJ6R(%H?SDUCVbb=C?^|yen#DYPcPp2(O#}R53Su&sijhfR^U+FvZj_w9a@1yam$)oDs^ZD4#h2NYN^zr z6*v?ZYwGM!`2C7oe&cW(M}FC-4lUMFsijhfR^U+FvZijQQfG&P?`Pa{clh9=&)K)E zsRPQjtf>Qv6cF#|%c8onUgv+~uspqZQPGya9DPw`cJ<5k)NVyClA}^*7nv7*RA9N6 za2qROIr|PR)>5fwQK{wW#l6K_o?e`l<%*{lXV~St7kA20;_}^#%XcrZ%HrJt}op;?iGOf#tgwFD%WDVLAJD3UZ;iRO-Ug>=>3x z?G)rfajDdWrP(nomD(xDh2m1F3rn+Opi*Z$x<%Yqf#tgw_f=(|&S&4*KFgw;S77<> z#nrYd;$O92`1|(d>BXwkRsHhbcU6VT9+f&Paq0J0V0n6Re_i%!m$PqgS@tNGO6@7i zUe%sTEl)4*t<>`L;;bweUQG?dQmNail)iiL6_2;J&R$;)DS5NeSD-)h#N%uAPk;Gq zdB$&e`so|vvwlW@H(s}%3GaD7SpQs#qLN+p-HWrTF2V&B*t4TwfV|(;uGHE6UWKdY zzdXHob&U$KoPAY^tNNuI&OQot3SM^J!uBuSkmr7M7uIiUcT~(p7FO{lFT-7g? zx~f8DUn*6VxT=pzom~aH-|fq99ByL;EN9=L#ab%0RO-+Q9Ew}k)KaNKD{vt0=&fIM zAo9M4arT|v_fRL=e633 z&g&P=ZUuJ#C*-buk*flGuBXbh^s@>qm70~~5@D&-CB>PUmP*aaafz^0>XPEjOiQI^ z<+wyxDs@S5W~QZ5vvOP_ES0*XI5X2ysaZKL5td3_Qk|$XinTnw zcu{3`^}B0o*S^SAfu&MaiA#I^Psj(B{|$0!i6-N+rlwk4&MlR?ygrk4Poa#aUGs;erb6+0idR-tX$AQirX8qv2Ej>S4$QwXCT_ z*T7P#rBa7h;85JMrj|+_T7g4x%bHp$b!Y_+#Vu=UsnnqrI20Fa>g-VX{fb+@d+|1o z{IX9STCAl~OQjC2z@fNhO)Zr=v;v3XmNj)dl{z~Vd|%_1?@KuJ@cZmr7S)yITE2Tx z-{rEcGWkoQF8rpb<>|$$(^dWQ-gi}n${v+ED{<-fS75o9u)i*QwaeMJw=8>|#kpI+QqQ}Y$JNLhjR z*1d$|?g;d6A)Wd**zIj#?-csjUy$e7oqFFte&_EcJXhwveUB&l3-5T34|#sT{#4wx zKKoO1H{u=2FZsYbbbR-@-7~eBPw|JoW-c$%MHSfdY33s6eXd_Bb?5?EDz#MV&;bLgr0@80}epXt8*+dk#9)V=vH zKFa{N@7{j~==qBywTn%6(Q-vDPyg6m9P6M^eL_8dkn_6}bVV|s`mxV`@P3G%A0y9{ z>)D0(h`HC9_JzOuE~MC1qGQLv=XB>3BlI3-zVvhN;pFbA)b`wUc{k_xoLo@D(7xe2 zo^4^~Gw&t%UVgSCy?6aT>W7}i_*IJP&xa~Y^&sG(>O;D>Tm8o2Cmy)t6#JR>d>`;4 zeUEvL@Yi2;Hxs>}FgxlU@opyYZjANU|IwWWXTDq;MQ>qGsIopsucEpceZrS^!n0-9 zSFRQpE>Ty4pKUsK*Z=$9dUxYp8cnqs%QG^UdfY?C-}I~Qp=9pqRPO4P=hf>Z5my86 zy`p`{$J}vF-j$fMUa>>b&Wg}mZLmU38t!=P_k!aW{^~m()KiZ_T{QEoNe*F$^eX2& z9{oM;_?d6J(;;ihyZtO)y&GQC&%pPxa5Sv`p7R@TmV4eg`OBYP{Ay6Q)*>QY=YmO5 z!qu%BtVCHqwBCS{c)C@8`eXv**W2UoxShrwnQYcehfxda4u-Tc_kyp%|^|d#7W+H~jwIpS$BxvnD&; zyi()Ym!s5DnxXDEgZHT8XMf1E8?Kvj$5zZqHF4M^%@yTv5BEREHTw9z>_q!H+|JXB zheYw3T5V@_8sFVL`XNyaRFt0H?NNS|>#1j#ohz&6x)ZNDM;~X~RPU^mjD_2MV({7J zQnh0hUutz@YU8`HJ9Y_ zW1pwV9>3rfkGFRH`tbwwC+;_!lapJ|Jn{Hi{nKCm+NaiQ^-tfRzs9qE^sjLLrmw_n zy}srC`t@7SL=^hxYLq9oF(Z0rr2dwkOE{~FF8w7HIQY94k1h#vFPi$({jTKqVeh9f zXSW~9iKy9^&_3Pmk8rPf{14xFMMf8`CCX8+KEysFOSKJsth zaivalSuk5c=W{A^UO;fgHG)DnicX_-$rN9GXBtAsEwP~L(|}T{QJW}rn_^orJN>$a z1>e!%1O1Ip-+}r%bbe_xP?Mn18F3~g;9NI?#u&Or9r@8}KS}GF>ehN*r=tg6<5ffJmjV{;T>)1s{b>8rnrlH<=5ps;j~0NP-*s#;AC;vE3V>)69dsr zt0H4G^}Ga+PF#z)wDJidk9!L~ALoIa?4eyOK&BIvN3K77-rIWjl_^w_$&ySTmZ|XJY17k%S zr&@=VQa|V8P9ee;@-D7*kz#jm|Y)X2D4!6 z^e!;0D{`&rbP;ZMVY2*N1Suzh@?Cb+1R|sCbeE?F_!2~&eF2#Rf9fCXjMR%`YP}M^ zi)2>7dDzauhN;2ZarXr8R1LoQ2M@i9S};*{8B#8D_EqpvR1hJ@K*2~O8kGpGs)Y~~ z_E&xu(pjjKos*?8Lzhe-`nCqB-nQB1jb&yncPS@*4r!gYo8~du)A@IOf{xXhRKZP4 zkr6iCFvYr`#_ZK-^=gq*N_~O>{?fnOJN5j973x97PdQxZHbKgX9<8^sIFt>Yl`=@s z&pe*<3YqNuse zruEyOg4!4t+7qm%hGsLV=bSU55kj3sBUdTAx6h{@uATXe<+3ZvweWMXIuR$}G&oys*%Xl?~ zt&VwQ?qj~US|kvKpw+(+eCCW<=4aoK!y_^_KfyeUwdT6ft7$rVdpywtS6XFFuZX=7 zo@XlFW#I5H@S-wl&c0lujoosmGQru>vmK6o8;WMg3!H?m{811 zl7O6hvTY)?pi(m5)L_9?Lo~wT5+WMCYzhuhc4-7}>4j$!?Dqdta~2BbE2`aNw-0Nz zRcrP3D_IZcbyV7^kEs0i(6H=7Rt4A)ZHCjW%6R(v zn8bQbF6~yXk5*AO4Lc^9ji`&FWw}0elqW$EHKO>0BvTE`jMT}l)%F`b0XI^MR4Fsn zk88}0Oh)Vh-jM912noz+hj3X&*R6YZH zIgVgkB^6p+R_G+}rB}sHR72H3=`+Xcn>5FIX19+hYT1ke6*HF?M(GFZ$0>rd1(Vj4 z9O+}`<5-!u%G_YpYyu%*x<~&RUxN1;&A51~Kvqub6sxN+Sx9e&vdv0WmCAIKX2q6w zvK*hvNmF&$7B=ncklyfOu*%)NmPoT%lM5$_V!Wnos8It(6`%swSb@?Cj4`wj4LjHT zwIAqInfs`*Jf4N48b*bQh+<-{Azz=JeS#PzTaK>{Ur{Y&bVtKkYbsYo^8lK&mXy)4 zdZO+!HUemBn~YkO+Yrl@!cKy%=4-07Dw9VhyXU8k4sG;=%;Pd-=L< z%2jssQ+8D1WVMwtz2x*J0!pJOjT?QM;&m?3MJF4Fj!(iz@!kcPpf|Q~deN>qYAs~m z<8W()Gesv^QbkGYYMX^>jbOLj8n4EK%g6F(eyzCDh!r_U7^#_KcXF+^=tt_0K-J4p zg{U5|vKvK3TWw{{sH$Al8@UPF=i;=bvw>V3MRS5yPiY0hG!NFVsW>er?-*Qf0HTVb zV5nD5*&7RD)Eh~ZOD4RBC-uM9mZulbJ&*aswyBc0zLI`RJk3dG0*7z&`z7U?$*oPs4>T3n@!Ul(UfCb$=y)#LT&8G z?xur0*Nspd!(3Ng3G_P`*5N}x$DNxoJ4ro1Av&&xtiCf{$)=CSDDSD_O}cX>thWnA zZhF~^CfsRJ2es%XbL!Pe7akpaX2sqn&5ZlIKQj|&f~$2|$)@>52ARfXbv_?%beg-> z)ke#dst>VqO8dNLb> z5f>I(sXz?tzWXuU2-IC~NK9wOoruvkvbj(#^-_w>mF953MA@l_YbZ8m;uy9xNR<>0 z3AR%-Wsi{9>tkYrr}??BP-Bw0=2WW5621W7bjh^~mD&~zVRIWZ4$Bl3*}BQlonIBe zctrs;Rf(|S*0;t+Q!$&xXw8QY^bO3r;mbn9EfM6Gf} zF$;kf7uB^?5~B*K!CV6Y1gE3Wq(9Mb9B9Gw8A&jE8zSvFLe=8cTp|g`K^qIrj;@ey z*~v2Q;MQ>?N7TxoIOJp1&wRJKbHoH3Q=OA8*#?9(k82`yMi+}`P%g$XWa+J_Pm1IN zsrPm#wgRkO%pPFmo(9ZDuc$=_Xu(GpM{YWPvQ_Y8JF!gP_C18?4alPIJPO6UWfJM7 z<84mO&N+ixz*#xTuXFCBR$-)TwbbnHrbmur_euqpn}}geE&J*wdE!Q}&pCaDDKLF6 zJny@DOH5*>>CzvMaRF-IIcF%qx!%#b@%!ikrvvh{?G>9| zb4V}s{CvDN&ULPzJerCzE&0@^TT|cBr+p;CS*ICU*8}I;k;PjKgxGPWeSJoMJZpmU z`XS{$PQdaW(dhP)(P`=Vb6{2AQv0rhz?^-%2gHktT8cjCPtZbLMA1DkGwRrw3%2a zX2Q&hC4o-@sC;{AZUk9Ef+oTTWc8qw=LF%0wIUBIs76Q;9Y#CG7#E~a&g z#C7n_D0Z^9iM3YZhyMn^V$N-~)~3UB)`g;*(lnM#UD+mmar63>)`^V56-=hH2gS%? zZMH_c2iU1*7~HL>DA}u%T0hVG<{@dS28wA~;V~Ax7(NwGplQCNE}3#4N13@^R4DR8u@cej^y~?1DmJ8=jWo_z4?Fovly@Q_QAgYeA>Kfo z0TKy6`^M;)_`N$OzBtaNx^4rg58rYM4`B;JG|wc)8(A37!VMGdf3QNi^aB~H7J9Wh zS>uwbDTdYDmxy?Peu_1PtQt_lBGfae4WI zTRQz*=NRyRVFJ?EFve#nj93CgAA)J2x&^1BMxRNG2U9tss>OHl7%~zCI$%(e=le zgr0^t-Kt;dxkJ$F_4wSOpB<}b{o)A@2UI3Jd-r^v%y_7tfn1-yQr@ReY83UuP*Fm% zN{vp~%tZ+?_L?Yuk`kvuxu`vT5*~X!NvWA=IRNDuqqy0$L6ROEGaB6JY}*X0t>k%> zc5AbVDAxrgO30gb_F^JP$C~n@+IhZESw^Me={c=WKi4C5JYAQM`N-c<>KT@pc|E15 z7?c-Kj71bH6kL6bVSpPpzezH0bS>Dh7Dl-k9bIcJT=NMjiW4;9kyC1=-2_)R^KwO2 zrH0{^`b~Ub^9JTPqng?D>x3}rxkKir!h%pOBFl`zgQVmko6TxLQ*C6emaI&!shGRg zpsgSvNpaLHv4NC5`>u{ek1?ZHrJCKUQWnlPfuhY?IVLMHmFrEg=DQUA0(e0>)8N;Nh>$Iji$?OchYt6Yv3PV;ZBTUkW zvb)Yck*pcaXKDJNZ??n9??`{gVf>B5_-txcrp~t5Q5=qJnYnwl&OS~oMKdJcFatt; z$4VKCKVF=bk(A$B&H8v`*7f!h)nrY@SmhP4Y33srK^vW#=-o=e*d1l1h;53sqzX|P z>XU0L<>80^4S6TleI9J_QHbc6VNTY)vd`xbrTN&t5%n&tB~swb;9t@KaL%$+-gpoAn3;vp-wxW zPh%lTcXGk0+hL}e3u06}5$mH_i^Q5}y- z6I|%MmZWH@%(EnaZ+kUD(I1}ma`B>#uvcT~!ZauVHmiWahx|536Dw$))t`Ro40Fud70 zkKf>vo52{jPK8)g<*!QSY6x#C>j6{DUCd=wy+^ZPt1VkV;v$L%ll!P9lqgqb< zw&{KH>BZZw!XXa+D=KG&tSv`!Z6i84%X(Qqg0z*y=G^U9HtMV&LE?gs7HBuLB=ojf zElz#z`2`Hu%4w2XspkCznCmBLBX&YfoDoOE@A;;LRsxf!IjK9Jjva9`$4&^A`lQ!b zDalc(QKMj!C-7+?^p?a-+Hv$^E-^J@Ccwm%bMzZ^qitl+S@`rf&){ReBO`Oqm&m(# z7i|=HcN#y=I`rs0gYO@;*UsTY$w=z3P4?olZxJQC5N54#7aM!i4E32WnFXnADy>Rl z4oV4Q0AdaWRxib<3#RjdMcEsA-q8AJ5Qb?e8$FU5_oHS9Wc_Y4dF)!oV6C^bQT_H; z_4s%(IKudy9YYzpd!m*HorsxdWI)hjKFqOI1-gA|sFI zY^n5?d58(<;TXgNS;|I=NjD-URc{{sdh@8fjPatv>utL7>;~NDU|5=G!}fxVo_CV_R6Mp zh@S6)3h~H4?O+RgNd@le--Ntmoaer2snnszaH-T%sY5GpC~jF(OQjC2z@fNhO)Zr= zv;v3X&aJ8b!gTzNPefa7XHlo$8=>y6pl8?2!}~~-SAF4Z_KR>~1&c*!cdwr&{Xtw!C%~4}(9bQiU^(}5C1{D-=i2M)KQ+vP_9IrH>gT|3KVKAPq)<%{7EBOq@f|RoZhlOnRPY zLX>i+5BE-qVw19-2Vwv>QmJq1KK3WnTt@XvoDQQI%e%;I7IWlNE{scGKC`}rL?SkL zH!$Nt3uFXtegbX$WlIy>Pe1HLlXoM;NVey%tEAju;Oja%zhnv7k{9p~qr(fy~HSqbMXv|W_U89qL;yF$;xb2$Y^~9agCVXE%cLi;u4XH?2M-waU+vDBLsjtTPXImAOFR3ZB1gXJtytJ zawvCd+)oglT`6G5)##80?j~wwE0-p-4_sh7x9~%)SF<6S3E68MCwwOC@eDEPb;eIo z9KHSXCuEiq{d^^9a```^rU0I@L(C%?VZG{LM{B$R`klHBh z9AaBGf{4bq!ErTieDZ`@>(lK@lHW;jA*fRFbrLdUx$|2fAib@Tw#1pqpR zbF@~P+|?4kk=mxN2RTucWU^qx1A9*qnD6BzO<7vKzSp*i{lNb-~%Yg|1!K&8L6dvh1raj4#o&guNn~yGE}bZUYpQvkWq;Fp6|EdM)Sa#>3B*RsQ_i$v9i8=C4hbR z-UIWk>UyGM%!q~=H??f^O&RD#Lrho!=qf2EmXoJ6vPB2T)W#vwKlM`E66R)OLbDSP zu3q?cfRBHF6s8F;v4rXq(*dVqg4u@~mA+!x!Q_;)8^gDe3ucnNR$aXqYqNul@AbEB zYDP@>bUBnl2#uki&W3P~Uc+AygXF3In-B|JXCMDhL6Y9pH5Yxu2!TB-+azfT;1Wu= zD4CA00s`x`#&W^kS43hIP3z1Wcz?r*M#G&%nDO;?y)_U`stviwg^$o$z&EwxWZI|& z!{XR5)mV(75L{Pa8@-6p)QDP$;-ocN|7lz7h99`bESr4{H^$N7{`_B;5)vpI_vFu2 z0Q18{uBw_7R%>09#h$fYNzXsQ`4?_#i9;0W^8b>GC!j$Lg3&m2O^i;tjg+BpUP`X8 zqIfLj?ktEb2mM%M@BHkGQa^6Q2-(C74n^xb3gPG|RD_BdAYR^~7x#gBb~wM+WxQMB z^t`I@$o~a%buX}J{Ey#v62(WA8ATL*@ra{rHql28I_jS1xjv7^Iel|tL;SaYW`ukm zwWELWOg?IVPM`avazb%B>CDhk;~Nd)8(HdmU9P|Il|r39sV|^VukUqXK>a;AiIRPw z-7^5ESKQ1RhKfRZLOaT8tM5@D%AhFxRXP&T&tnbOHs~*iDd47^#9yUr*B^6~qw5>2 z`X+v^It#otEk=%A>C*Ghtf%Rl3ba zPLi+leF++^(JPl%f6Ji!!K%;cG6#S03ca?Hl=J^5$G4T}gpmQ9iUKVECw_e+OVp3R zfeKiHfXCUX;}PBki(KOG_cf)DH(&C=5OR{(l)9x>W9DLM0${++UFaoRb{R3md?|q7 zX&ieo8-s?;Lt)pqmRRxiUlRIR>*Vu0IQZU{x$Mem&Dq6kN}PsuK!0bDNg^tnQoWpT;p|f}GTD4Mn7bU8^ z-RHj5m`-EAQWX7Fx|=eBinZjwvDu_2V-;dUcxDoE_S3hNBu)U4-sg-jv`%S7!9SyA z?*&Qp@@~$lecH!@hIWo8X40g0=bA~>ad!G)m77rkl8a6+_*@O8X15bxW2iSuXQ6>5 zx4^d(pRCj%>glppDol-})Ehp^#7A$fx)yS2s)C8yI7;&%lb51yJY^Z8k}+&+f9M-B zKIRxX2Flh`>S_@c$5_gFsT88tR7A*0X1H+-70v;;)C!`Mbxq{Isaggle{&NRi(Rgz zMN^e`NmV${$5GYv_VNm?+@cbJQk>S$zl4fD3~#xi05$1|*^_?p_mj=#vtQh26XzEQHG&g zZO5ep*15otam@&E82-`Ms_`V`7qg3&rK0b3(b-%TP`^^8ay4*;9dT>b7$;}vk-Jyq zbTn)-sK-zgK*HPnrXdLbhE{uow>1fQl3K4Q&Z$Mv8{2VxbH4D6EUD1SjN#BoojW@m zS5pmtje&6>im6F8i_)B{T1Od%pVV)$##)3=iAd9GP{5sUWEtWcaG0BDJPAW|8mKv` zx+uA()EOtQh+>=;O%5JGbouAls!&Bij#bdDDU`F-;3%zS5i*xJ*_2DEaa(P(5YZ`0 z$$~kHgtxExWX7&?NiL@>8EedpZgnbc=0VL z5#`o15rzJ_8s&*?%!q#Zt8^@>+n_z)>!L?*?l#5Av^VM{rVf9*IknFBF0oJla|Jq! zt!hj<-obr8Db8_Q)Z{IbxS3QND#q#5uTO`OpM7lRRt+%yIGS(ihz%9!`I|PHo3b|n zZiaUXAL zdOib7^A8==3OIT+>)pVP_FyIY?o@cbnQwoCs7&RM3?j~>TJ!U10Cq+*5qP|_8DpDt z>f@)27wRe6IMOD=>yNpMQV(z)bt7r5^_|(*%G>J{Sn8BHEFnn zU;&v>m>lYtKb4X?2K9+YllM9eV)^9Iv5Y`I6nA);fGRi<9yMcohvX$8eW+F*s)j^2 zO+}J!E~(ayKy9k}$!fe|8c`VZ)Zuj#O1#F9!O=KlaHmy$#(mCq5Q#S1qD9$Aq^b44 z%*w$(x_;a4nZ%^Do9JL7ie)O$%aU4i_yRRYQz*k^vy~3|SFemdTCVUi zBf(ip&d{mHOw`6&Vrn|XLa*ebF|t&4kT$|N2{r!xM8v-7L{_=8aWg?;Bft zFZ^L6@{kFk>2#|GgCc@;}siH)%aB@UeK~kxXYdKc-u7r|pT4iI*vTwM!k9iXQb&7^|cm-?M-i zQm0fK^zx(gp)T$ev9O*g$Rfr? z*`)2MS)ko`b%kstjfb*?yQwADQkI)FJ}4U}Gz?q5NnXDiO^a(mLTIHUFIfFE=V8)b z8Y3LQXd)E?Q~D9)JaxK>#iQ}6Fjbk@0tLjnORZB7t4#qV&4fU<(5joo+k@o3(eR`C ze)N4b&W|x7WA@Y}n0igfvVsp6H0h)+s_mtvxp#gEo_xk4HLe})A#WlX)5PSfQ4#$^ ztg)miYIc$YgW73?2*&maj>;reD+cpMBmV^}vO$?M5DS+xDt+SibklJ!<5XBQSq6oT zlnZ4A)&zuJ+E$2JF9XyRMlVlZT@bdmqr=iFR+BX-!ldXfd7E<(^P~RrzrymK#;4LO z{W!LQ&a~%Jo2(O;@uiq_6E~l*0~#6d4(Bkran-ne2rcH6RtQ}<&-2cA<+%aoBlQV z=WRA+*Qaxox{;J3{NmXm*XZA6apB#L_%! zJSsk-kJM(kPYY6a_K0&tr7NOcv$${w~u7?r98I1F;dym+JxgJn!`hCw$Q-E zi`q4r^=hS+QSW|leTRED;a@dTzb|2QHLNd8M_6emdxf^e!6rNk#pu}NcU;VEx9%0iFRgDLr*XVK9L` zrcize#Cd4+xPHG$K|#^R99rBFi8(S51}dkCdF0@kr7(Ub)F5H_Vfw;?R6L9HSs?>b zsSePCAENFI!F8gKT|(=JWTic{2d%=W0#JET>U~iz)C1S=*xa>A9#EvKdL}-nND8(gwUw|6^#~-5Ylf%N3yOT;@2_Kwe{&%{psr| zoy5kkq4ihk6is(aM zfu#cqTf`G1YHfor;WqA7DFAk4TrdY2=V*P_Vq7d)f=EL#0-aGJ32DcAhFR#0 znu{xj%OJ|yi)UzzC~8LAs_bHIFb9Wkm3gcw(54ovCIq~Mha3i97}k)wDwO3C!!8Hk zOK86py(w#}8Msz;v}0{)iRGN?tSq;xRLF9UNv1i{@J8>bLaVnnWFHV#gY`~JPg|#V zhk6nf!RDtxoEw^#qmK*%IzwWxG0zA znL7$@4RqwP$uK#%r6aACP`g`gBR6|Fmu73D%NZHizWEa-jUl|5!Ni(6;+h7J5La87 zBY@nqQlLdysr+-9{%DOGYGs$Qqp!Ad&K0RnbJR+Wyi$9eT~X8=bQ4dzD3`d3Z;Gm4 z3_PXW)HmEcM?Rp0ms2dM4%0^X>8Ldv9fe$=rq?Zuz8&|4j zZf%M(R<2M!Y8HgXy4p62LvtUwpphJPX%Xb;pM90$E>ACh?{~MRqjv2T?%(v4Pi&Ew zze*RY==R#9zyH~{=kVS|A0Iw^oHK{xg}mJ5=e|vlGreN35(AB!PKa&|pqT<{_m&`C zswd0jXPte8ie5%-*la=G3G!}B+Pr&YI;AQ<%LJ@f<`Uy-Gq$O5AH^J7xL*8=zRP_h z-7fJ?;de&c6pctR*3s~Pzb4=$5d%(gaB4H-iOI>?$3`{1_vCRdTEr4~44Q{Uazt?; zHzS1G5uJyF&c?GQ)lJ*+#EL^9bc0DR@e+0kW0a;C*E(RI`u+5AY^@m+w!TlV@~#xQ z51Q{y_N**Is7mz9v#w?1@>Fr+UEgo|6&>%^4=cGw#Acxs*{jphKW17`Xvmh^kHixkI=DwVDyvr#kju?swLKZW8ezDxRd?$lKkGcK zwzs4^X{#rtl$xb*`uOlomLkfn_kk$eX-0$Y?lGf(^MvMjUH2s0Fq|D9y|Nvx+LxRn zJaIC-Sv7s0Dy8o1Ar(@8#BR0Ke+W7nZmza0&XZf5KdbH0tqrU7`INZbI{G9bRPP%? zY=@J#91p9b;e*ddQN6c<=>PR__OD*$y5C&uPJ)iwt>GF*MNy}t_7)-DZ@BSmr91n; zcy8f=tqzn%y0P^Y{x><7$9?Z}qhDH(=Qj-}TTS7`r)@jvfyv>`@_&4q(SuqrI`%|q zgC?v>+V0;u0Z##-|=}*g;gb^(R#7KuB?9{<}YQ8 z>23yVX#`jvW{2UL`hZy%Q9uO&lR4vwY3QYl9aif^3jI_zGUlLpIS`*mq!uX2T+8u? zwh1*_ba@3rd{jwj_0v6-*RV*XPl~Yd`Xj$Cf=GcaCswykP)*dtmV&vTn11D9BLV1!+wq`R}`M{@K z>WC>zC|cB4MbZz?$EtS>ncMJoKWR2z^iT+XWYdcP5hSj-!hlT%QGL^jF>Y+A`h`Ye ztZSYqScxiA#o~ZI z*EU#$5s8t(FEyLE>EakvNmgupXV`1_G$Wb z2^#4pMm_I2#Yiq7=YXZ4Z-#1m?$0-gr87KbfWfvb+XmGR>7f3$KbX47F=th^!5%Z3 z`pzfHUH}_rLgyV`lhx~{77Rw28hMk8TJbRI@R<^1QB6_8lHkH6I9;VpYSB;V4C^!8 zPKhv1M%;hOg=f0TFM1D z*SE}1!?YTz3K!t4rh%M-xw672IRco$#6Q!~Fnq#44mR40av6v9@-lXWPH$ZqDGR5G z;?^C3xF$#?tTt z*S?|Krz$1s!wRC5zEZMfYJ7frxJlQ!jBl7TYn|4UvW9R*5zeEvF;*Uy`A{j%rzs!R zJxzMguTe&@?PwdSP&eehrk2wM9`;kmCpgN@D4Lo;^-{%#?+~T!;-%-PNv4o#jt<+d zz0*xgPDdkgGk+^PhYmBb&10y&fM%BXC4f`V6IG$@W1T}~{R5gr~ zN6Cgy!W0JG?7W>ovmp=~8P>@fGU}1qYyu6vQiw@eLbF4Ywb>FfZE#{LYygJ;slKH$ z5Q0U@W8%`3@3a9q`0X?<(HL`H$P3~aJ-HZFccsb5SygH!PqEs>CYQUpr8b&JrciMj zc7gM>{FA+E$}6?8tL93mLiHYz@Z_iAM!}50foJC_Pxm8EK2nTg?c^JD(%vjnq|wDF z)^kijUNf3u%F>_E0!)~ZYgwLFF2m8^`jf#YMwxXf+hlo<*+%JY8lA?-J(Jex)WTT6 z-66s>CLLnS81&ubJYbq^8K>HIOrrs`Y|Ot_RtpuDAm<@gkkN09*M{K_yo#%-K&g#; zRAA!)LhTRQ#r4r1A8}g#ysJxy*?Iv;lQZ>U_u8|PM@Niy`^p3Rgs`qLZyM*=-ttm zTOfvZ^!6K43h0+}bt4V?ErysEZ${JzYiK<)L+X41%gYfgWF^q(MU~+qOdJa##)mr` zSAMuk*&Iy|e>4ru5B9=jZq`VLn9~BHpQgF%MX(^T8$`W8QXNV_H|pT<0;5+`wfN`A zKm8L@o`D|kU&cha8)9v)2_IEZsrTGN4xrJ}dLd2LnC>WN9j9nvSFs(%`72UHX{p^z zIWS5qRQ4rj-;|?aql~1?H`&jvJKhz&mAAsl@2LH<$CUdX8uLsUqixi)Zk!OOoTD5? z$z(j;3Vr${DA2d)lnXLXS*z#9R3nG=@V}lp49I+bni*NlzA95&SoQ|trQ;pQ(6~~t zrHx)7P=MW+xfYj{juKf5BS~2Xc%%JOGDjr_89Otoh}GI&#K=oX6iihztjXJtQ$`%E znnIYPPqFDcVo^6OE_Y|2rp_ug?lHrbpVkI*kiy65-07tq#dEYztj&z()xn#hcOp@2m+uA~K2eEp z{!m6ZlUyUk%rY8UD~J}88P}9Wg-Hh@h+@saSnPTf3KLOEpYG%47g_Vs(uP|f@$`JRB36mOnfs0bVv&3Re9S!XpUr??pr4w;(gmb`J6AI^&bjQ^r zAorybZ$B*=&n@N%v}AZ?lLa2*=dkJRU*BlX)=q0GGSn)F$wo^wg36V?t5o5_nu{v1 zT1#eH%cm8`0xFs;UTgGCtdXBFJ&&HNpyq8elga~G&)z4@qdUEE6_v_;gwdc;f^Mx@ zF|EY3tCaZVr2XE!6_rU)z2{uKR$GWWx*n7uPK{73`URD@b>r7iTyi5^kVFr8g; zQS3ZQUhqDO+*ez>8L7GW&DnyQTER}P*}E!s6mR&2Ty2YUKZ`<+EeqWk42o7!f(gZH zi^{twOD~8ZYcJ#&?!Vj@nh5{uV*U^AWDFOj?SL z;`ctu`tNTF1(kmYGPRsti-_YKo1F5f87sT%9q;FI^F11M23C{^=o#Uz>uUWw{io`N_K0+CmVbU@aG)jT^XQ{2yeP$rf{G}GlDOKE-KgL= zO0=w#QPLP>{2YpPisHGfww$aZIZDbAyeVo>_8X+J-eFyBTcJ>RO^ph2X*h}6+yAuE zT%NK%@G0vk+R|QLf$pgwfaAiu+{@NDPe$O;$eD?!Nk*L>a49-2=7N5fLp&;E

4A z<94t1?kQiV@7zB=Q*yrdLGK^VbaomkxGO}G{vDm(+xrb@+H7KazJnyJgK*~rH-GFU zhA@svG+rh#u{VtxskKGxbFP?XL5B{)l+zqH5=;f*3^;c||YqlAeB-bAIO+o)uCThZ0eA^q;s;^!c;!v46>+`43br$XQ*)bAY8S^7(g@Ui!CDt zXQiHys!CXSlSHy&qru-riCSbOybgJz)2pb7@qDdBny8uOk`1omXH@t{?WDr^V!c?u z@FF1|cob%(Y8>k;Otnz1MIP})9VzLx);heV=hz}5&#l3~Ks7vyGZU1QvR`L^;=53f zA5^oBCzHjE-X}K*Dx|*f_4;b{&~cuzTn8L~Flj!jvOg9PaipwGQRSi(BZbBgdepgv z$XDBG0Fn9_KBw3?D>;qurvru4BYJJfQmM2wyj41gC9;Jx2$H_+&y?o7OQ4RA`Cl_oEBk=~{AP=?`?{|fVhvtxFQ?ATT z>nj$H(6QNM-5l1-i};&iIQu@8XU$icGLKWzSPiQOb-+a)OZ~}VHnt%_C9B_=W znjVqgFsK-j2j9rIHL=b0aQ8sI9~ukFyOAE{6enGZ!$zM2*6rbjr=kqQqfO&)v<%e$ zJ#Z$n^(TCewvrmjk%gXgjuIm2Gub$j9Gl@9&&X@lh!@9ER6}*(0N}!+jR<0-)+5=N znqKt0bZ&EKdC3>MwOH_FtQ++EDQkw+P$G9@X56i5cTNIum?BayHxEwO^EhX;f8D$2B2D=qkqyQck_p=hB<1O+-D0rM(h8?=g&WYvn>Xs4I< zhn|*JJ5zo_Eez-9&Epfjb+LV~Fio+h3EwyL$vEsC$|?1b~v1oXRH? zWrW1*KZ}r@NElfDG=?Bft0c|&I%7=N0cqe&6U+V#VVjo5SSRgI@rf;>`gW4v(nU_L9OaQ48YSF`F%KF7MTLZnea zIP$CEfk?h(jJ#My4Ma{FL{rn!Ji35M z(zRu=<0-0d?kA3~GnoeDIMRVW9^P2b8Q1yk1(2Bf9yWB}%y^r%zag0C5b1k`U{FuB3o5-_(-CJ3QF8zeek^i8jB5g|>~ zCU%#*#8lJ8#d-Kre*Fo5b~lF7AP-}dd;Iv5gpsL_`3fu9Y1^nlY$&UYzSnmUa`C-T~9DfYu-JpSo-(q)=;UWLj>S)bt^ ze37bxqh3NN+t|UR(M>D1kD36V^{)BK(>0NokgQO9$-?9W_ECvcK;*URaE-f9>-F)e zJ|^oE{>hE3x;~6I|ME?by4dR`Z-)AjtX5ayH)$dL0jS2+H7tJ&>SnD}t4Q3&N8&5M z6hyZq9AkZ~Eq$ZgRjRU-q>Rbv=DQ2;`lH0qOvV)x8x8k@__sPaiU0cP398W#Ld~Ry&~-iON@95n(>QN>q(rK`X?}*hm&5HCLmM`2gMLkKWKgK4yh5D8>$~?c_Rnde~vdk_T-A?1Q zJ<@jw$5V+bMHwD_J%Hom&BlM>{u?)&huPBGO=ZJ1ZyO$dSk~dYzQ$oRyX$=Us1G|W z`+_RL+`Oi!L{!ALup~(i+%=t2mc2-{5wNC&wN~B|!+WJOTwb!$`@s2$NdQ_NTAHqu zm&JANcQ!#y+HQ!J&*a52U{NSKa}H|K`}0=Yl%k#oNrYzr|KNj@*T$vPn%XESRY+0% zaYo^hb!&C4&ogcKxQ~*fGT7+$7e9zCmtGBMzcv!m_4@_DR1&akLDm6wAT0q;*9+x9i@g z@_UG_XK3agxKq{?`WWCO?tZ&afx@a&JC28R;=qS1%rxwCrh=E*yL&Qv2Yy` zRVj_w8iVS79}FZWZ>+jL6340M?^RVb;o zPnj}x2UCSevfrnsS*lPf#h7JyM@4Ids1&8asx{?(N^Ilgkh7zy^Ac#oKX_Ycw3eKu z`gq%yL}^xfw$f`Q^LZ16vDRyf*gpHbDmVZ>S2c$8T%*^T zQqHQ7jb<6{VNL1lRMJn78R;aUkfN-YxcFrGNDd|Q z#x(`!;r{oxR~qxj;ytyK)sfCp(x`*|g!Lhx=xdnJ_jbws;ZG}3Je!mig_w@&D7=f6 zCVGiFeS%ku^+-qCisp8BLS1^PzXf_Mj4$e1$)j2xilSDe3W!$Q0BKE0Vn$7e99G_n z-UMR_wDxbmP0EN=cUmo}q1V%kzRW`4oqDUi!TH&KW>A$%aGAy;Fbkvf^ddK?nG~{m zMS(S~hqDmE9_liVn2n+-N*sk2723+GoFqZFXz*)v1QVNUY6=&**rrDM)mFW!A)htJ zkb-wmqBH{1z40aLB5rkccscow0tXgaZPgUj(~F~AjQd<#4oZ|9gMPI&f<|-7r$sxv z@``$oX?v<&F378=#z`@QTRQAS6t$Y8B{gI#{NoDb zrx$PJU%0<c?c*=pKhj=!{nj(T?s5G$AN8+ze6z_Y z>Yx7d*FLpARkWvXJhguKwIE>CQmDt7BDKY808iNA3F$PYh1N~>c8 zqlWkLp4Wcs^VYa|)1ze(Oph6)cOgsOhYd_2^hrc4JNmJ6D1Ak`y6-)De#g^!UZ|T{ zwa$=v{fjTQ3oDPcHm=RtirtC&ZWY@_(W&BrROizOKN>mB`8>6As_i+=@G(D&PH)M6 zaz%#~$~}XRKXFIMAE($JwfpEZG1xnP|=LaMSmkntlqqjH!@N{E^o2E+!GPQuSA>vDW~@0;lI!~;0VBt6W&=PAMkZ4 z68QlV0E4P2ojBc4;+;;F@W<}~_xy46wVRNRs&GcBH+lRFR16wHmcdW zed`+%r}T&g)-lyT#B&cEeH}&}twdnuPUnbi97~H{dTF4GK(t;{StW_ss1O|MmCVPEpmxhs`6&kVltZ zzYSH%2)L2<;nZW7!ALhZJ`t66P^~J5;1QK9)>?{KWIDVjgRaH(T!}x^ID#KWQK%E& zq|lJQv3D{&>;Q3>F9wqP=>wc?RE330T#+u{*AJ=^x*;X`*bh#!%DBxWM8s7>8z;nU z?8deG4OSc{&#?N%YV4r*f|Y8m{de7tZ(ub^R_R*^c9HM^y96JVGp24roit8%9+qM_ zJ;Ac(cYy2To1A_6PBV6kBgQaTc@@>HJJPaoibp#Ypuww}CD3vi`4PKRnyqm@>OR_6G`=k*sg z-ZT_NkE1<+e;ZC4llMU+H+xc?2b&7=h}INS*~r6{VpRcYT0`{554V?d1X%xCGAfFo zT0I+;jn6VSuM=MH3zS_`YBx0cTU3`AR2BLlp2Z_!7T@aRR6pKWbw}j-i=})KtNlSG zp;7do-cL1C-A;-;kG*QOp|PxZQdD7Hq{(dJJ&ZAw&@sQhIMQt#ez7iBp){F?l%@u1 zC%@RGTX^aO{p9jd~;dZJ5DgLoeF+Cx#^^Xi%Qk?A40Ha_&NBc*sxgFs7dPgT+i=>E; z)~CItrcy-Fi(?<}#qfGeOD({7_9<_7=>U6FAZ#Fz!oCQlqZ5riImy}UGq>!vXdvc~ zU8$V3$5b?rB1I%|1BBL!P*kve$M;1jpCe*Duk7T(5>rHJIYr1>q{wpB!WnL?{G_jR z&cxOj=bBEofID}aiS0KGzx^tVA_yJzmF?jVW)Jt8u@_0_ z@SceTXCK~5Cp@Lh%B8|Pv2E$APUUhNdm|^kMn96?K9M^+x}wTpY95<;kMx3Z%*e|o z8olIZ&+OZ<{uL^bf`~XY5vNf$onD!J z1tPr1R=+wL9zwyPMMwVe&oUNi>m?Q{XQpSKh`SV^Xjz@2;}x}5^;Jd&jPnu5tZX2q z@$cyK7)iy_H1Wa6Snae?W%8p0@yDHLUXM3xq2)zr%2ul@{Uyt$(HlTr<9 z%u0i(kviP}&))mTT9#$^UHj~J-tF^Vzb>D5=S}&!C_3xiKJ$F1s7y{5l~!pOoLzS= zrJ9~0dhRs16&Z0;DJE^p5rr)w69K7YYC1-Y99v9c;sBaRPMjbm<_`!WB93KxVhQ|D zB7z-$h{Qq&84w8x5R%B@^IdzN`|hi*t~n-1{B!nu_v~M5uf6tnul?ifv+lWs2l|pI zk9H5mG-fEOHqZ?|f755u_w0s-vIEnc=;}u9G7KcsR<7p*W%}@9=GQH4iT0#kHY}I-TMT4S zUaqJRyFMXaN0n6l^WV88ATZR8WZpUr(P6OAtU@6wm)+0MOPO-|EN)vJgs6-urE2Ur zGMCU$4PBx)&b!n0s)V5S8wN!kAW2d(OO0D%PtIj?mU&7c8yeM>((<^~v&_ys)n_z~ z7Mw0@QPCClt2?vagSC^Ei>SPUTuU2{OAlzzJUHd;50Syo1-$goZe@9RSV4E1=II%G zsK}sZr6DcWHDxR^c(=SRH9>5t=aTc5Cg*f;qDCV|j*G1@&We!P1An7JMI0f|^|=Lu zF_91HIUN43_naoFyl^{Er9XX1%@fk~Ozw3!n^LJHxiX+=wgaMk%}pNB)=(m=q%w*i zSCR8|z=tf^q8h3g{L8|nyy(c>=5GTe6%xt}Zkw_t^Eq7Eon=(;I%nrA9~pW1^`{Tv zSAJO|0!$>R=2$$j;=YDWe0Cns{k)a&c*u0>eA$wFACX2o{LZIj;AL>QZbzk`-)Y`d z7VKRKbg)+n>CsR=0LuwJ6vf-gx6Sv!j^e5BMOBidKAzyEJcl7B6=rr(9v@g(;m3&% zVDl+X8;CbQHN>(G<`@}SmI5lNrBptda;ojlQ@edhL^Zx+!{64C0bm=@%y{CYu8=DN zZ6kh)F{qg9;TB2JxHy^EuVngCO~eab*2dm)fO3ak`7WMaN@luiCc|_j!+llZ!Cj{1 zyCZ1jn5IbsxxF6<;i)%`8hjydq|v&Y;PX0-5)YYG_}V=OUEKllp^$D^h)54k2&i=} z-)U6XZz=q)nFD|tK8)W}zc0q~<_Rs0)Oo{q0J+DNy*_NO1C-7fIJ$8*Sk(=Bp)HU8 zTJ2^ybwOh#r{tB3lDOq0A)CvPYDOHtLucgsSqo)3ORyRXMsBTj)qQd}I%%4Y;}$z* zI_J}<6j?eP>$r&yf9czDzs!WvOae0N3kBp5ZxF?%k1_zIlNZK^r>EcKyS-{Wc5bz7@%HOZ#+K3r;_ZB`S`!<5ul z<1hQ8n^-SerQh$1GfAacmk0T8KDlb8r*gl7Uu2P% zupDt(EMIn?y{Sn6W!T>{D0|cN3s80w%`^Mg&(zwdFcv~;qmc2z7ml+2bNDPVvmR(9 zAn!hLA&B!#Vxmzh&Vw}rgWk$S5`uvx9@447dE9kM2N14Au2W3#+j%P2oVhzvNTPD2 z=$d5{>_oK`YEzWwOq8WaB_c z;BH>`LuRQI^Ab(dgs!I1Hubw`vJ+Bdz?gARu*#~%ChNwaQ#2NBpnAB0{>-gLeY(uN zeDuLZKKon^xAuhHgyO>(rV5QNod-%rO|G<2VyX2y;?`&+$6@wnFrJW{M&=Ajs#1W! zB~x(iOx(x<3R-CRh$;)e79GmA#Tv7=yypip_V$P3l;w(Qb1^v(XrGt%ao z(HV8j7$OH5#r0*6`dBj!Jz2!&B%7EY@H(Y)%<>FE`TkJp6yaZuptS3hH=b&>pK=0x zp2@21>J`;dQyhGs*Qwm7f|SZqo5=Ziy^bqH-f!4GqM?SNf!jw5JovH(O8+I00F`E{ z8*9uFoO|1AUg0YaJ&yxHh?^X4E&O``OlTH<;>W4aA*59H%iKbgcx7C+H}~}lY8MXX z!EMB{a7y*k%2g9oyAY8#+e6mDeocAeGOSi1JVowd(j!C4<&&Ya*;c0Bv0R5wQvq3E zE+U_|o@F0inw#B5yBA+Mzw*5a`Ld++xR(mEB+aCd7RFYx5TW0=;P6Su(iQ(N{zVeK zja|9@h!0T~Jq`Qp!Lw0iW4UVWvQ{7@P^Kj&vkhUx!i$CIAUUt!( znUQkf3Teu|+6F&l!H0}Sc@qhEhT=*tk&2mGM4bTQd*K^&M+xW<-c$D>SEAD~<$HGc z^3R6wV|jm!6kZV-_{wIBIgDlpo*ux@)0aBp88l_^dpc3vpi>%7E_2)y7ZkHV7n8Fu zvG4(9;O0^2ol4y0c06Qpof>3wSzJ`7lq@)M4NuRCjlgb;a$H;nGEE5^V!jJ^KXdWr z*+_>AS1}FMU;mqkli$5~!>tw#yTf|+`TPBy!S1lw`VDr6#bWR{+xnSo{XT!+@MU+% z^!P%H!IQyako(ZB-QizRDYqD0W-i^ibNNEH82lnujIv(*;{CfUPtQJBU9n#LLtkBN z*wF4;FD?dI<}a1yTiHMs>%~s8tWs{#+o}7--x$JEA?Jf-=w@}W`u0jZQ~9;p34iM# zU825K&*m0K2E~j^cR&Im3Aqq3$XuV|NYB~U1?OA zu0&r3#ZJ-1PI!E9IHP~AgjZ|NCB7t@J}z%Zox~hVrtg71#y})xexr`5_EpO-`C?v?%D6Xq3#_6PuH{-!j+PTCdDNWW$2p zDq9ndRXBlQ#gQG+a%8#Z$cJ~kyX2E+6e)h9HA6i-k+W& z_F}_>W*s`wv`Y0l1D6O%@paPWTMV^=&#5@KLuOwW+_nKa!E`i$+vJ`rM{l6 z11DdD;pSr=0xH*Xi1MLEV&x1Z$D&x=X!Um-5Jse&;Lx)CfYn*Mj;m-m@f%9-F=82P zrFVS1DkC5s?&)gc0G#UQV}f+BCqbk_u31^h;=Rnbx%OYctP)U*X3uFHKrWgC4gdlK~vwoh)8K|#Y#$QxGnQ+kfXxH6X@mxBR7aS}{P-kXRAbRA<`8OZ2u z(dI`3pPsqn@~AQ5oS#91x+Ie@Zdsrnu&`DVsw-H?a{*CM9TY&BL>n$Bmz36=kQKBm z*1fqv$qI-^K%-7I8WPM?9>)e<{Vx@J^w-FQVYAQ!xA1DHXhlT|3({UF5E=;;C(qE) zh~X(-pl@ig68Mpml3HXo&RTV(=@{0>5raYsBkpnmIED&wbTBs{IwwQN2(D1vC3EYm zXN*UY>WVRtl+a;Ay`*qzk1+Auc}27<3uly~*J8(sex|2-gP14qs@u67N5Uy8P5?sb8D5@y z)FZrPka)NYQuABtdiAWoMuT|~38j2Wqfc$lMZ3b=#uTAvp}y$Y{T#bGPWIpt}9 zTT~s-vD`ea^IHySF(VN)U3ssEnwL;&Mxce%bls3lDyAd0UQ?)H?>M71JalM2aSKeD zZg|R|N9>2zF6hi#r0EG4dV~V1&AeSAV5>+ivv|rO3bdRV=}4B5>Y%8IKqb=3!VthX zzf)K3JL1l2?CU$BC16- zh|)~@%+th=!Nvsw%^5HiHwdWPl!$;DN$$wg)Gp`edi6a{*I@4=nYElV54ks#TjB=070B~L2#vwu+Ra6F3PS&q9-4O}wQ zUN3CFLtRO?tAL6FsV-olFU;$JG&h1gBQA*C<dx}Vz@qctEXv`m~%~8TvWtaC@!&m zUU6bQ?<9%S*t4QIntV`g%}jzUSL5mmOj#PYxl#m2X_2YIOlqDi{mStYm1l5Xz*`#= zX9fk;d6536F-%O*C7!@ul%02)8N=sjKzQUYRkI zNLV>9s|fQtbG6txsif}pjgTBw23bswmvIR^xUC46!qBv>;^b5na1D|65*cJ5W_;v? zlMfTYkcC|_gv-cLQlgYH8M5B^h8^dR{b5RKVzJEWC{%>>k#o=h%Lwc9h87l_Ap?Of zRC=6aJCYk!qP~L4^ntLW00QGFg(NCmcncI|P%~lhue!j(TjmdmJY1Fw)>Fp}B5wo{ zjoTgP<+7e34>frL1RH8h%vBq2e=ecCJP*Lbh&)L_e{vR+i8EELgQtl)h5w7^eR7V8 z^sJITc{L)>uF{OY4QnV#?5wEXfLvXs&|{HHXX>fkcO-f(`K{lUX)@m}_iaHa>CTWd z^y+pwcFp48iC!<$)V8Qh?6t1SF{#Td``?h1QiXig-;E*l!vj4w9wJ*X(P`D-UV zg>U(I(tvz-izo`^JE`&}D@j`F!-!#^^prfJ26WU_#j&e*2bIq<(o%O&q#Vk`J}^lj z-#mRlb8$(90BOs4P`;4+aF|)zN77_(yPN}dvxH{j<{pq$q849A%w1A?+ zPTUF(gygh4@9|wGWeeHd&E^{#k}MgC*@=XKH;8impSvF_BPmo~h>7Zqh#gQEHkWQU zyg+v?BPkOmL%v0(^m-QNm5|~rw^jR8aHl}vB)aG@0I5HhhyNgE!?~E6&Q4E8YRnx_ zc^R#Yd4T2kcC#SLybcNk3e$BeA(n|)D#|4?K0F1>=84)KEc}t!BhrQQf+8yACFinq zi}l0mwg1IaDsKSnjgaz2!%%iIrd0CSSV67Gj>~$b8rilB4Htj)kqL!W6mn(pQ6jn$b%o~qhZ%kbCv+@7xev9Rps`!4DBP+g9Q#hyTb)G;f!`}rY+vn zw0#)3)kZ=++g6-AoTFItm0mX0Y7y^w)R7@J3Jh@97Dr0=F4D17n^zHfPWTknYe!i&Z51B=0iIwY5 zelf%|*dDNJJ_C~4Kt{axDsC$YviCf&B?;81jXg`>o8b+DXT-xNs&miKe2?_daB3cc zCvww8!)15rO``5DTtm_&e#fCRCvi*eTv4^WDJ5D2!6WwXoh|<=H)cKk{!k5C~#Sgtl?z6a88TZrmta6_@Uj6|ra7|Oy3-yC0vjz1y zwR&~0;xRt^SQJP&;pB6&Cb?V&Oa$1~xI*KLi=IN-0{_q-{`1z7T>8kryG&^$r%s+Z zs&dIhX`RVY3n$+?hf!P(w6eCkPpd9v$uc;%lx0aN%OYKqOp8tLzGMmU!P;98SEU6B z_A3200lmd#bwAkuNU^xLw|9nrjc@o>2yqf&Fz*l?`Og z5M0=)WH?%2p8)JqEtlouBG^6IH&D!hYTztXP+v?G;KXrRkC6PU7t(2NkB;0G5|HCpt^?j6-Ez@ z%t5_+F4%j<{8cVyC~|QsgsleY$l|r9L~Xc>3uMKKiaDXvZMAw&xxTve8qk#Xw^E9` z$dUN8y*_(@&vcJYRR9Kp)xMoAmkhVr8?+*u2oS?wG%x*L`#kKuQMs_y8zcyK7oM68 z{_2tFU`VGNB6>vZtrJpw1-lFA7S>$!(5ZBawl#;YQ|d3 z@g9)LNhh|*Lr}kR7oXV zmGIm*Gm@wZRRxQb6i?woG6@1CZjxfS@>6G=08Tg(?_79~L8Vh6``rG&qxwb|6a~Ef|ry=f{Mo zPK`lIj{mWLJ38tF5z89px@~)-(QMe?Je9!>{Rkn%%u^bD=+rD*Uu)u@V^#&gq7y?k z23>-`CU)Vd#YJxcHCRB!LdOU)8c(o(av>Qs?IS6Hcb*KjwewY4saNqAvQP7Nlgr|_ zO3Vb;QXSLx`#U7SgVJH z04cSeZ=^MXlzFL+>)f&h)b%{Ag%C%KRF9$@O22={z+4^a;iaBIA+(`XoCc!?#BpBH z$`n+S6n(mHT%DK%(Gk~BAmrrI7Ptyuck#fPlg$f+%1H1O0^}+xc@li_JfRIwNw*xuqr69{mss!VKKeqHW5%4JS}l1c!wmA& zOF222nZ3ud0BVFgkjj#mlqAw2H$ELgMykwe+$U^FJh^N!Y5mD5SLg;m%Gu3FhOm+B~@kae-(0&cgGx({T%gtg5=5u z(gJ$sPj?l0oWs9sYwLAtY7FDqFtCg<){i*!){Ag?_QB`xci2G6-`XACxoQJB*d5A;%i;=`FXT5k zB2(egWBd5*gSYRu;_?%ZHoPUVk@ccv_=Rt>tYy1Z+1_>c~lHCnpcHorHhLU>O`A=lKgb^VX{aDS!SM6t5m9P7L zT=N0n2KJkzh(!Xk4hD{qi++DYY%xH=$j%?x5XB^c3!4ZeuQ{MXguX)*QuT^0J^p82 zPn>&D7}g8}NVt#V_==@YRxQU}Nc}u*LbLG`t^R^qaP0-w4iF2C_i~dc!_g+35L~xX zdRj~j&U=RroJm4zRJwdy3doivHJ&!Lu|n$MS_h_=s^{a!A2HAXQA>(Cb#KG zNGdMzpvG%HEtw7oRK62bH}T3rESL07JA+vaZy8LDKBw|pr<;R2#16}qZ*dR*4qv|( zb{rD%O23NVN=A`Q)wTL!b`)Zf`-J@TFY->#IAbpqZlzi;ZpjwL8x)|UHayOAx#{Ny zlRn5_WC5>UA4BHUU&9M)IwDbrjK&gieZtA3b|Wd7HTYiq+uYeR378Mi6O3ez+7yy* zw!j{;4Wo~i5=Ny^ZUeK!O1VCs1Lc&hi3^(Qwg!TxCGYQL6AldRkS(4A0}Fhva@?w2 zN4KMobB=eNM2z4BE*gq7+-m?wUJT2CMDs}SbX_90d-9o`grv4fGm{M)e}W9Z61}J( zkW-e%F?~G`7oLpvKeG>lVNK}Ua{BxYHhVon4Tf4NhR_-_aqS&KDuRXD^Z?eCwH zWqTZ@@fO5jul2m|??4CPoPqB-mv*0C?ldkV3Q#ev0;uNW_KbcD{{*$!XLX3w329)X zc3w}Q2EdRD52RcX7!&Oww|;u;=2qI+>@80Yz<`+=8%)kk(NOI zbZ#qqhNC{t9)ksGf)#~l5E4SAl~Cf<5&bj;zX_X-G4u@m5gUZ z;lK_Gm&meQi?^1(^QXC#QJYZvo8g$kQW6g9RK&MjDoimQy15`l(45L+RRY;jHh{e9 zR|0o&r~z!19_*8VteKrCGYSZ19r9dF9U)0Q?-B@=adFAjc&$b}xzP$@MW8yN1yC4b z*C!j@<-nQYTe?ow_{`??Az8RkJu9OZW6JwGt@2{>c~#|(2*>+=rl&ZgDZi0R@{=c6 z1Vq?VfG0CNrgZ5gmuD(j1n#`m8c>V~$tJClluPyr#Ffp#w8v#sB>iy*N!e2oH6`&j zQ?b{mqFUPQk;@X9%v7@}Ptpor(HqwkaHb0mST1D5h`Z2*Hd9bMlLbqeiDp1;G-{40 zRVg%hq~Xk%k>o2vs@jy>s*QJ5QbYJhyrj`1wAUe%6}vlQO&KbJDhKmA>7ZxH)r8Dg z1YRHI=LSi%95(^4y$L+j3~!#kJq=eC^&}%f2qEz?heI)3vUJG^ zT1%a%G>9*9*>$K>SbKep5fFIiCvyg2Vl@*E!xF`9TulLYM=}1m)alg3QYi zyd_$GKAx#f4TcCQ&xe42TR|xdGJVmbw46B=wLxIzID35ZJg8Z~2RmsdO=TK(-cGHw z!o@XDVX3k>r4ZM6YIh<%sa&Qd7hw}=^-6QGD)P#Vz`$=wF!5>Xzwr0)L_s?}#Q zsuWFUa!@gJ7%(hAIYsY@bUD0}R0v&y%ryrRgmJ!15d^27g+vkz@KX1XT}AqHQdr5EUnMl5A+l9aXU4_QC=oVlY?l>H#J9=uhPuY+_7@q9e(03zPBRa%h*J?2 zHPxRS!Vut}Hpz)XpFzlwe0VEsz!gb#(qUT?as=8OWC*s2i(KMkq4}?$g{p9`NEs^d zK=9XHk$Q4V@k)DQ*b^9>q(-<_U*I8&Y{DlE_a=%u6ID8VIno7J70UOWy?jsg0iadc zBr4}8oeCCb#n-}#c4x*p&6hk=slmZgMO6)c^6FBSCpk^Nk{%N}ruDRm2?_?SPOgBz zegL7V&$tgw+CwAo?|hd;lJi9tVoTM6)|>7=y-l7e0>!zhVU5fED@tYRG^FS5%kl<3 zCz4DJ#_5E_l-S%e7=x9lZpv_((0Es^M#7ZbHEPY#M3YMgDd%t z&5(v46Bns4j?v|A;|V)Ys2k%=gN=t;e1e&Y&hV-HLBKEAazV%^T>UHaanj% z$KUvznzIA%@30rT8<+FYpVsl*u+i6VX5Tb+uCSTcU8K5hak3zO7ydP82C-YxI)-j{ zYu0wRfMeF)!7{DqG=oNV+~L*DU5?BdoZOrFw|FVx8m224gEcH_M9!E2wva+jQt(rV zNx2(igkg{fM+R}1WRL2AaQyOHQzw!IRj&E7q`QP<>-fF)5cukcJsY84`b&^-CR9<6 z@ELrsMrI`yqh?Ym!55^oMqELz#U!}xNCrxhbMcijN=>o+ue$Z0^ z3<@$A4k}2`rFMNp5Es-sn~XUZwq9^S)PYN;A^|Q+7DDpyO`%oNwXV~~H~`>3!xoGnzb?Y|Ni z*z?@DUtu*wAMNd78HlZ4wHKd;z^n%pr`W;i4USj_UdMWoMq)|G7lQkCAIrd4kcCE|z9zdv*{dNlh87WfHMrCrZ*cV6@%qy4P*y<# z@)_6g4I~EwP0;`)^HHTHCI!}-y511o*H<1YQEkpC8IgtVk`0M$^Pa}lDn2veu}+x$ z0@#L9sAXXDkp0d7*WHX839=%;V`kxz6K#`P)SG0#10C39(?7ZOS;OIi4=TJ zZ-LifimjKFNAJf&S$M%-M(-q(s1&!J#_m zcRcF55os6Hx@JG?6nKfN@yXmNof}9XiCO(v8R9OaP$%OrhLn>0dL0ris2@B);Tk=3 zH~ZD6(9@PT&I}6d4l8k&lu&9i0SPq87=D;b5yGKUV1R+@UX+w9tTf^5hjD=e7EYEj5^=oC%scu=6; zGDIa6hb+)J0&w@75R%79&$$$o%Q{seYHueEj;obQWt}1rHPo?oJJKTZGyjpwsW6Tb zm3crHuh!)y-+gq-WhKV?ge>rdpKD%jh59|ithU2KNHMwL=r(jlI_112kK)dl6j^hj zf?AUe%9Csu=R(jcl102hsykP&fgCs^1`ZkA$#bB{^~#kR6Bkhj0`yYKenyZiIb>it z6m8;)hM-f1vY9QIi6~K1g6CSyf(SW( zRaM|$Lx*UCo)%bpuem;xE-kV84RhZ0q*3QO#Xdk&x`YO2Mx$>M7=3VQPk4o_a+#l? zW}eE3vOKcB11J^2O$IgAaW+Yt4IX*~nkRhuj+=9%3LF#w5|gM?QZ7h2mGoL`6S9!N zgw7oe)F36DqLTnrdOn-25*tr9xcTG16_&IZpoEYXIb7};fr-O1Eacz^%cCcbV`oN&bP(*;ucRWOFa!pgUcj zzOMdWF7^6Q3~lr{U8S`}XS8Dv&zl{=o%e@p?l|S#ls5CW(Iaf_oeSM`%2B(dqBnW> zH$YKZi!4u<&?)sBbqEW6RJViRzHn#jrF8V3hbu8T9!^!WTe^T+IyJw*XVk#jfBPEh zc}lW@L{q7ogPrT>`G#9DxbgkIe-oB;D~R9-OC&#Ol-9AGGLl`DNIs}mT!F~xn(%MH z5M5Id1mPf#T(n2>3mNyJpH<)q8(uEjtCkurr>DFW#XzcQeneuaRsiNIeHIlSN%F0~ zw`2j@LwzBmkmv$j@I~d@vZu^j!iYF+J(W&5av>>!rjsiC?q6g-6yN7i(w#c}ylZO4 zxz||V7=y*%f&At#L!|osUtOi(jx#Stn&42iE*+~ARX-)-`96Lw&saoMikZSy%v^FE z?3c;pzf|?q6i^dITz(jbiCu%!%Q-8bRaI*1(h%~*r$fwr7q+A%FO`xJuT!OJ7*>k8 z+i*>!=|4S9#N^U&O=`GeUwM~{CSP~k(M{Y)(QaHBF$x&`Tq_|(;|B`hLu^1vY42VV zyosc%8~N%4-7VjT7u&QA)Ojl+HXr0t3|+zQ?}5aZ6BkF&qnS%iRrw9wovCzv2DSX` z94S*c@o_Q*5TZ)vzGom)BF2=tR$>Sr!X6@J;_F>KIL)-dzVTh5D(_zW4!2q~do^1x zy2aq?{>g7HwtmO>UtMfIdF&R03x2;(c85r-cQ5LG#4QH>cb18F<(H$eH+=TN>i+h9 zhtIuxu~-ZiF5>p=&wT#A`R`B5Kg>3e$nsNgIVm=fC)cy};`L&^C@aPtS+J;dOUmJw zqtB7$PkdgMln<}SGS4lN48K52u2?Uoj`*`bvr5@|5&!$LR)^}+XV%ub1CGM7X0z%0 zO;IR}4+S$=DUm6K{ZL}?rEL6Pamw3Ccmahedq~Ge7Rk{ufALQQUw7T4k)@Pfr(Cqt z_fwu%ICO9bA^E@4lkWLf>e86fN9|YkLh%y*zK34!1s7BnVP5WBt#?Rvrw32oa>$fv zht`9@EjN_glILVyZ5vDq4V0exeD$7qQ=bPxf6CWShdIm2H9`WX+7IOzCxsf6>hiLaD;|!X>4v&8xMQTSTOaF3x^Gd z`O_aH>4Izw^bC`T48vp=NQ~nnealqy6InblHj>y9Skx{}-+&6?B5sh1n@XruAvOIV z67aLsgnxh&b$)GE$;UM1fgOFi{>9%zwlog4Rg>GgqG60N)_!eZS?Ujt!Y3$VGOVQW zJe1*=K`}FH8%|xQl;0ttEE{V{#z`=O=+{XaTS&r%-q6+T>&F$8jiu%Z**eJV_|Rr) zyS{FGlj59iVFSZTD$|2=`o#@lX#C-ldeuM#GV;WVHf68WjD%Du$H|od~V=(=T#cSFGHJI|i z+8kao`AL${9_US7CaNQ9VEZYHOSh)V)sg{VM!U5`H9EEpH`HAeQ4>kPTT<2l>EWqi z9m-ETK&}0lLC7z}iW0ss3P-xZS3%yVMte@M%?pW;9xk$Lx`2;z!@FuF(n_VMa^3~r z{ic$_B5yk4mjF)0nDVM3<*vdakwQ=V7ECRgZ%FXlSt`L=4uxZT(6|W`#na zoNpj0|!uNm0Ri6Pgv>5E7M19axKvio^BTT-;mpTfFI^ za7XHmriR|_A=8?P;{9Ksm3;fSs$UbjdfL8ZW2_k{RNmFJnBOvL&MMyj=K4zaG2hfE zqF7348QsSu)V!Qe+%c%ucE4s{LE-Y@3r&?oGPP7nw75ZB_o)qdVp{`}YrWy-y74|X zDBhF2F|0L=G-w`DY^|WdK+gQCCjurzLCPDSWt${QXjkrG-;($p82Hu67{K~rB&ZfV z#^bO*5mYY@4P9IHThHz2zncbpV4VZkBV|Lc(ax0C}64pCGOCm583<9WX5i z-*E9(FJTHJmWY!TQxo{6@B^waraEx=x<(Hef43gO@^jFh@Mc2*kE!k;xk*Y2 z>-R%Q@k#hxo!)^ic7NpWK`1p0eHHk*37+g#hFqMh@j^`Xc%^Cm-YN5hh1-}F>Kluk zELGu+5YKou!4fP!MSXASi+{Qw?sT&zE4O{C+jGIFA9Olpo~h4I!^sgv0gGdmH7gvT zgv!E+((cWeg6p@Wr?*@7(y#&mjOkC7ZR}-N#5(jO)Q?)454VPei@S%|o}t#uI}8mT zBqh2FTdgXxil2ma8#gG)GBr;CR3Ndw9;M~G{4&jda~n{rU9(KYU~)> zFLUyago2=byi6he`yWy#P1o1SYRbtmv`xO&2-?1VM_ZnFVNZ6}qjoPW7c2mNxFBkr zfL&;3pys1MO2M6~w|k`M#74TH3Ymb=57!44b}FVhD#XH`L`B4a#0hlo9K(%H%9B(- z>w!BO<7$RJW2hyD%o{u)?=ZBBCI)M;a?)k({?ey$=0r8c#hXdX5vNI1kM4kYcvpFC zB1~VW-?kzhJz^lb`l5iEo=8*m?ka`{C0Hk1Xmd`gg1N?#V6f7icT`AvPEjtiC9U-7 zT1=3>>37=^6w9jh>p#Yp*e5#ced8G#^4KhmzpDfvS|iJ5Hd~kodrK zA0BLqJZ_1Cq`j8M@&DF8WV={+PapoDJRfs+cDa zV191fQ1oktCB(`3&X~fV*QWAd`UXqBSYL#A^ zEr#w0s?H=|>9|sfhh0X#z2Ffw90zaJ?pYeL%M7qDu*$92DQPKdkHvDR2z_e2xLD|$ zAzKlG=1wc~bYO0))REa~DW@(YmODg2q!FjgO@)#UOj}zyM zr1qA$R>3=S^(3-f8@3ce#agl^3P7>XeP$w?zA6J@$$W8Un zvet_*q9$idm1tCzyO9ShTHoE(XD*Nt;UkSwkTiL!InQ(=YTBg|ou?@BX`82TF$j1M zn#(Pz`B)KMj|wS8`xuGFK1O%Nmo*S{_k`6cr^5W5ko0Gsqg0d73aBWKagMV@G&Ni= z7)f4+Ev@4td7M@lMQD@$)xO#Yn$ohu{e^c!MLtP*JPgn?1&>O8BLpR`uBWJ^3XgO9<6komwYY024^ktnJQD5NFEM>P4; zE5H}@;31=LoVmb7t%pu90{Y7iU;lae#fHS-%m+sgfgpo9iG+xBOWlF@+dmt^8;mz9 z&-jzOb*j`5g%8C;g@~`*(Hs;Ld&?~JgMovC1JBxbar5pXdjYu2jcgLU-9GQn z`3j8SVv`lZBLeb?o-U{~X?0JDHX1<(%5#$kNznoz{HYVyCQ69XhzKK%b-6N33AtTh z^4vBiUm3lrqM|7oZG)wY@smIA>A>I>RF+wLq8h?oD*FLCEX%glI43(3nen*% zIn@dAWCdf%Lr>=vEiK}aOFSM*g&6+Z|56b26-lU}4KrUP)r5bnjJ2T3b(7)_;3$CL zHFpgNMlm%|>f$bY=n?_%CGs-_;-e4ah=M2&;haKUJ_SoB$RM?}TztJS<+2!xAr$Fb zzQhzU`MQfMAuo{>uOVXWVQq$@>02sd9scf@ z2d?{|g8pPFeJ+4Rjzl>!DAf2@ZQoR!&(`0skFYR5Z+8DzH$D zBB*A9S_Ix9VN-&Y`&>NFU~}zR2;Vqv`Ho*7-mK3kzXUBU+CAqz`W={_bK&D7^3XhM zE3(hvYT?aruR3U#70t5+)%5kL8S^{m0QzkJ?}$ZY_quJNN$z=Te1A{x^1E?6yl$GN z>U&FV$nCp0ape~-z^t!NxILD9>azJ^54(r-{lgG87r_##WT8{60({{Qs6Z1yT$JA72>wH5|lrbvk*!S-0zTxai_q zLy@^5?)@ZO->7^_pPe=5XW^`g3vV38EN#6vuc)`LP7Hj4Ve9!O@&gj6952IJ-yGf{ zgH_SES?6K88C#2vB$4qHip7ZjRgXhOALxsHG_+@I-XaGghA$M{x_p*2eRYiQXLE8v z^P>*}yTdU|cnD2MP5bZ(1um?oC#h?-A@zcu@7V&jcFu5~F?jfR_KCxZda-);J88hvxK~LZ z>Y03U*=u2PQ}JC&^<1;RuUw|9$`(9Zi(wwjUYAOd-aVoX36>9 zE1x(E-19~ZdSwlUg-+G>`}?2z6b0h_>g>!mr>6%822@{f5BA>peMkfK!Wis2uC>0$ zMuT6_HE9q>+I`QX_BcPLgM)xLz40ZW>Jzp^&7$k=-@yVQd;=4J`86y!tOqFGan>8} zG<(1s9hY#43yfJRDM_WHn+;#$Zy6M3wh3{S>~Y(F4V_$k!)Ou~eYP|#Gw23{Xi|Ct zW&QetbxKRyuOF||eE>T=f4ti0a1pD;4Zy`OcV^Gx+YdK?jh66jYEi>v{U}j-wpy>! z@A);F#5k)e4%e>lM_P#ECv-4c(jazq;5W66cJpG!Gq&x`!2!gS3I}^9{`3`WSQru9INjAQIms*73+O=~Km8}N6$h^jZ0&|vr%Ggeq&9(IkdpM90 z-gGH_j&#?lgnyXU8|-g5sahv^9o3H?Z4yKv z1vmT$kL9CE!SJzH+z7GsAQ(tt5tG8hdsK^!tDTV&_s}5-X&ZBx>y-96`}>`A3I`Db zqd5>YYZtnN&#z=J47)=HIJrxMDX1KSr_t%GsG13Mmbk8H;** z%GnfFshm-tgPWe%o0l_Z|LN`4LlMfZpf-Nh`M_=!y3aq6R!VqG=l^Ur7qe0ZhrJXp%HTd zr7xgY)RGxN zIt80hg(^ySU8g8kRp*9u7}qct_fCS&Xe((Vr^$2@@90(J(m@9nx}oHWsWzVZcmaGD zh^f02ACr_)X~n2i4xQ3iO*xX!NXV15wKS70B+sJUR-64iQ&8$bR!yZSIt9)^wH9^D zD=~S0s%F%1PG>Q5@CHZO*CGqPZ$@Bt(#Y1AqC91=>pzo`k}BjmgKuEFe9YYVmHCwG z(s5Zq?YW-tbYEU>jw_9#CBW+LEmZCv$Yke!4VR)*;`S8mz)L!%>|BiDMe)n3==S?t zE>Phli-0r2ZTS6~N{jWP+UkkYRS$rha?z5CB>p4&RUYWVg;dv6r62yBPsnY8E$MoI zY(fstI<_L>Ym85Eu*$fSMo_+YiNcCimdN#T#M|(+q$`sQk$Jk3iMr~L6snx6WFKvC z5w*vIxIk2j=VV>eiu|4ARHcolZ)k?x#&k_EQ{bsC?yPu8K9@wx+&9H53gB-TZ+Ijm zU2Cp}|8v>dmzj2Pg6R} zVx4Rtzxai_*g!t}VD*KzKWx7G2fqLPcD~$gQF-^`lHU5-kFMCF`@0v@V7=JA_jRei z9P`4Z-5=_p`_!4zvbVr7IjNOvHoa1N2N@v^V zbx|Mvm&2M>JWu0Tcl`bckJo6E?*1#uVGjhnRULC+r4m1@AFMrmR6|Pweg%6-D^%`!}k}o z72*duJHDWMM?H_-;2xf_SIS*W8T~k?`ftuV`?_{1RhUb440iNB`vg|^@IcQ`$)}bz z=2>VuhD*{JZ4V5(KD?_lEp~wLJYvh52x}J!FTO7oM3+!K)hG+{^MU7Cc@Rf`$0WRE;6-w+TuN)0kp_5n{AMe+%?Lb&;9?Ol%Ho z9#F~*#&2jto0Nac+0ZZORY;}|LpNN-^vr|6DdNFUItr6h>`e*OI>@WqSyDqW=E^lu zJAb!Yz3qajFHx>;e~0#|6z!We#su`spZlWt(H+eJ8R(IuRErFWb483omrGfQfV-U>fqkP-e~1$hgyq;%S(L&!hWxdK9{I|ag?&!#5^iZkfNwcX$wyFXl#+#7{L zxX`~^&gMZPBVT;jN#D|8VkoKO*jP9D-Di@?=p6P;USnq=n#WTBYOb>Jw1>m!m_|~M;W4~O681COk8k@#)u_y zqe*!BaKSP8@R1rS#$XGb$`&qAE8QFciOkVbBXNerV9hZwT}xaaB=X)Mh!2Y%DCDs3WN0|oHat-Z zH^hcHP*@o{C4O=%UL2@C?wMj#!yNli6y?j(2I^iwa-A~X$b0Y*O0BJfJ&fRi)}>?t z0&Wtmjf9A0Sr=g)I8YXNGYF&>h+n`|nZ=L)hXF^TemEh|;SfszSb-LNuqx*1hslhb z#}Vv2BkwJ^2eB|gUY6vgDx_vja@7qmBe`ZT^z)vX&v=~G zJbov9*}EszRk)AkzZ-Ru@!kTgJjd{`Hg%>f7QCC5k7G!G>c>T@ z#^5SljHVPP(bNc+TwRpo*mh{97oFuo0s>;xIxZ`xQ>N&}!asgHPij4|!B@9laYl@f zYpyGeYB@~WtjNJge4WO9F0eSvcaiEVX|x#xsuNZO-B%#Xn24(@FwHBuj*`bR^URF| zC#W@s>ySeG*Gm%XDapYSvwsGS_*!P#bY5v9FKJXgPu3NMk-=%OEv4C!};=JcKT3@M436@6Nb-J?el6H8(PbL)FU? zSzEx|2!(WqLY}0GrjIFSio>%J-H!345OW?5z011WnY1-6rppENRLg zK9;Ul*f z#c$#%7ETk5oMqWOs-z;hvYvC~?tow)X%i{#oI{p@;1zxYNueCbFO%&usBy3@tuRja zFIN~ML>dGkfXms0uhOLfj9x?^$@V1gHnMUgqz}Jc;fz$_W;wd_jNwA!6_?k&p+fgX zGJv<;dzAyGEU6WNb46B44~?L_?SxB{#dUBwHw{tb95`A|!%I0-t|}q)a>WYZ5`X5Q z!6QNf#7>{{{CYu-^GxBbpY!lXFWzPq{D*Y^AFz0t1Y&Qy1ZgL-8{SF&e;51*@4d8~ z<25MCmJ;!H(rlYv0v}0up5O)XUw4_E9@r_HgDG6PoKoWHGJQ9+!|OTRcc{MkU+qL7 zyDTJYGTY6ZCy!+c3(t1*c9A3fk_(HDM&c!~F_AyG@m%g-l_jQ92Q^s;KjjPwxEm@< z9=jsTRW9?in`t{OBBLn-dEoMQ9*UhdlG&-~umuoO)q$BBN_tFuR$Maf&n$#zTjpZ$ zv0U6Z#?j&~HaV9;L^0TM$qUKH%Th@ecQI{cQTz&*^io-P5}vudphYxnD~pS}ZIQL% zi@!@XjdVlv267t$&u0wjFZ73w2wvQ>_d?2#OMF3f&P()YLvd*cd~1JO=8|+MJ^10z z{%#){+kW(sGZbvFzuO&x@glCaCDoJ9q*%ql;ssokwB?fXV~VSEIYPzd5-qldg^PtN zvRrb>>2QgcsJJX-6xXDIixOzg(4s3`$f89t;k&beiz%9D&Muc<{63}n)>;8~16%pK zKoO0J%cWBjZ5!PIinMRZWgzgevt_ai_YN23UzMeeNr`ePAO$1P6v^(zvMBFkxp=|t zbmFmHT<&PY^DWrsY=bafID>Mr=h*J%0hDdygK(vudD`X>csUolBptZq6bGsuavi84 zg8zJrY?}v{w2i!=>v!@vsM&F!+Ys@)AtibRc|)xzc0bkGPK(>PS|w5k_v~xCSZ%pD zn~!k06yCXP8_D3?8GR`HC7~4alCHWUONgtO20`$}rMMKZ3Vk6LnC)^gklJ#glqPhz zkeuBWS^ljzN!;a%bXExERm+_Sj1$pI;kUw(3YW`_T_UnBxtJ(p;&SQ4#kSEa!t8Pk zm1&%9-D(^1z;j%7OYVk4Wlla^;If;&+i7Z2^06)AzxuO7k(c=|l-^!e;^Xsn<=RDP zC~-A^NWIdW9bB)bZ>beXYUaz`wyqqoUFx(OA^dy$D+#s}%cDsk>EmnrmUEU>)cU+c z+%hELppXXB^E{k^n7oY5)kZrDUGy;*!3eo9Nry^_@V5qPM;0GPnUnZ<;gkc;jNm`| zSn)yptUKmOo|+RJsv&Wpm4Nx=<|8?tKz4T8Pv-w2LH;17d7@=c;Y%bHGhJ{wHF=ne zZOao;s`1%z$q(VukYYpNdFDg^lYU8Ds1qpz?3DkJ1&8DD(f!KEk4XlPab3q%3QUry z!L#tSMjIX+ZQ~gz+kBeM7D;COJrc{RF{Zt=!{u4{AjJ=##Z?N=b79>b$%SSA|2Hmz zBJ%vza3sNRdIv&XiYGLI>a?-Jo_37;iwRB6H5ey2RpDc zo>J=z$|lRGs=BiwNYTROL+A1=#1Fp(7uhF|;u1c5i7Z#R+<%EIqq&51X9LV?M#e=g z`k}uZCN~O!@A>XgWD`-CkQ%E56H*gWV-XljH|f-b)K~<@(oH%wAvG3(v2>G8O-PMJ zU@YCFQxj5S5g1E1>C}YOSOmt>O*%CpH5P%fbdyd^NR35cEZwA26H;Rl7)v+l)P&Sn z1jf=$IyE6R7J;#JlTJ-YjYVKA-K0|!QezPqOE>A%gw$9B#?nnXH6b+?fw6RxPEAOS zMPMx5q*D`8V-XljH|f-b)K~<@(oH%wAvG3(v2>G8O-PMJU@YCFQxj5S5g1E1>C}YO zSOmt>O*%CpH5P%fbdyd^NR35cEZwA26H;Rl7)v+l)P&Sn1jf=$IyE6R7J;#JlTJ-Y zjYVKA-K0|!QezPqOE>A%gw$9B#?nnXH6b+?fw6RxPEAOSMPMx5q*D`8V-XljH|f-b z)K~<@(oH%wAvG3(v2>G8O-PMJU@YCFQxj5S5g1E1>C}YOSOmt>O*%CpH5P%fbdyd^ zNR35cEZwA26H;Rl7)v+l)P&Sn1jf=$IyE6R7J;#JlTJ-YjYVKA-K0|!QezPqOE>A% zgw$9B#?nnXH6b+?fw6RxPEAOSMPMx5q*D`8V-XljH|f-b)K~<@(oH%wAvG3(v2>G8 zO-PMJU@YCFQxj5S5g1E1>C}YOSOmt>O*%CpH5P%fbdyd^NR35cEZwA26H;Rl7)v+l z)P&Sn1jf=$IyE6R7J;#JlTJ-YjYVKA-K0|!QezPqOE>A%gw$9B#?nnXH6b+?fw6Rx zPEAOSMPMx5q*D`8V-XljH|f-b)K~<@(oH%wAvG3(v2>G8O-PMJU@YCFQxj5S5g1E1 z>C}YOSOmt>O*%CpH5P%fbdyd^NR35cEZwA26H;Rl7)v+l)P&Sn1jf=$IyE6R7J;#J zlTJ-YjYVKA-K0|!QezPqOE>A%gw$9B#?nnXH6b+?fw6RxPEAOSMPMx5q*D`8V-Xlj zH|f-b)K~<@(oH%wAvG3(v2>G8O-PMJU@YCFQxj5S5g1E1>C}YOSOmt>O*%CpH5P%f zbdyd^NR35cEZwA26H;Rl7)v+l)P&Sn1jf=$IyE6R7J;#JlTJ-YjYVKA-K0|!QezPq zOE>A%gw$9B#?nnXH6b+?fw6RxPEAOSMPMx5q*D`8V-XljH|f-b)K~<@(oH%wAvG3( zv2>G8O-PMJU@YCFQxj5S5g1E1>C}YOSOmt>O*%CpH5P%fbdyd^NR35cEZwA26H;Rl z7)v+l)P&Sn1jf=$IyE6R7J;#JlTJ-YjYVKA-K0|!QezPqOE>A%gw$9B#?nnXH6b+? zfw6RxPEAOSMPMx5q*D`8V-XljH|f-b)K~<@(oH%wAvG3(v2>G8O-PMJU@YCFQxj5S z5g1E1>C}YOSOmt>O*%CpH5P%fbdyd^NR35cEZwA26H;Rl7)v+l)P&Sn1jf=$IyE6R z7J;#JlTJ-YjYVKA-K0|!QezPqOE>A%gw$9B#?nnX_3J^(LTlkPgoZzyU+}Hv6WWrh za05|KPRpM{r<5~7q7^l+QJ!;v7H6W)JeP_)Jt>*mQz&6MT|5XXH-$DS;W!ofP7dcO9a@Hq#o@K=^Ri<2$e0X^y#kaVeXO^RQ&cS1!y^c5J41(r{6wUx7 z5~ucy(lb_V8)$weaFUEp8?14#Aj^)Jd5YyY=|ffyDBUDSA@F?ANMx5JFEEtaknZ8c zm6m3-3#o<8wuwpEI1}|y8ah*A@{55sNEhd69wt>}rI0=N+l35MHg+)#`d0e!Mdc>3UyU%%DGMBtZrj|{~II>E1!u^nT%o3H<~bem`P|ZUd82Q%e!b2P-(7*hmTF>sMeMm z!Y#wB4ZUCC>;IMmTOQ8`sIow%kwB$AO9!F;!jeziw8xSW(R7qZ%m2H4d_1asgZ!|8 z19I3CW+Ym)*=S{eQLqgqll~L`3T>S@WF88A;oG(uQ{bft?Cz(k7f@aft(-Z;;|pj> z>Ry~o-#q6^!cLV21zhC~t{ws(<6q%=CGs%^2I<2KIqwLwEsxTbaQo*7>}d^Wfs(da zST?9vEn?m5nzp_t$>*LcE(Vj9tGreF!|II2YLg#RSZnNw>!J;JQ*d{ZV&AS(coGhM zph0mF?n(@a*4RaO7!qrF)b&{038b3oftDpE2IvSc-VC8mP=||6yJ^Ft1RW(9rQ#IA zDI@)#`9BD>z!L0uyPq-gnXE!nL)=8M^)<0-+E{tp`UnI}XD5-CMPXrf;!Fh+CF~IB zn^=>JIPsYB$DYrwqYeW4G}N|G5&?k|392o$28k?DbznYfH-Q2n@rRR8f|dx0pf|U$ zrb^91#8YT4LbvpJN@?C+uq8|Ago>V|BwW6mk?q`59~8BA5uNBs7#XD>TcZ%JP<9r%0}9tc8Xmtdaqr=M{;(`qP<`So?<=Y zb3|{~URP^06o3y@1>)ZuAW};#C%#WmT8&pLwWb}+$`;y=4sa)V1!|g0eDD{{f)S5+ z;|jfL5>=#7HGP1V5QsSVE;zKYYC#RXpx_1EP&bI4P-=s&`8W&dKR;ATpP-=aSywTAiLZ-J$ZV?xmLD zNZAx-9f2T{Q}LOjmZZI|E^H6_*o3=q6@rVpJtBvS>&2#yQb3gZQ60XwM*ACdH`Y<= z9#A^!jIlnYP3>opv|iFX-`}NreiG)Z6Ogf!4pH87&TV>MgD%pO7qf7*5WdVcbY`#Q zbrY8921!)k)Q7IE%oYvI@5a+_3$Z;yyeeD^pE(Wt%~3pS_HNSKRo{FD!RXJ!d$q=p z1cCKF5{7$``t}HTpEu_k`?E;ajohDgSnPwKnigppWX(Sgz748X(bRUo4PD)6+6l+4 zyd&2-O))L;*t`{Nh7wcX(Ge^uCuC?dz`mz3(g6*l0@?dIoYPL2+ytf*%0O!T(I1h! ziiXl;z7ybynn!wHd_~r4+JuvI7j2(EB8_p-rut%@Am(20`xysq)1+_yO`2h`vTN-j zNXAdYA$~f}@efd+mL7};G5n@uYiRgu?WaR?JYR(F#{a|E`^QLoJnfG*CB&nw!HORe#Ge4xb}GY{Sr5fUQ4hNbpa{@*jpELA318_hnVj z+z$bcna;?Fc=6)Jix)3`y^Nx2*DRAd8UzPjbKkcDJNrT zwYn-M`w)Ft=G8O{Xmq&tk*Ym3#*@6NO$r}byAx&&?3#vy6=m2sdF3PqG#%KB}q1Mx`N-D5rH6mR(lWD8MXPQ%WChDx08O<4P*y2sY0^TAhb{(o&Pq zF1xB|7G;-?;Yvm4T)vit^~CCIM)B+Rc9{G!?16J-b;giYrjHgDsp|3g?kV809a8y>b*j zk?lj@JdB|`9=eFiRlN zLT3`?gm$WC8!Pkk25r3w2vDcqoTw8bU*?b7uwRwyN`p?yj#unIAXyvwT@KGqq~5Fh zXJN9DMxR<}7884zS-wVlR8InmWgYJQ@~=_1DM}aZ9(i>KEQD*OfaIa=hV)MU%axir zYZ~CX-tgdb9-4;sL|X^PVRacA%b6sgdvH_?ZALF97;|Ij2M3k>q*Nn0Bn&uury*66 zjdNfTon<&BTdL^$d9FbdR9=jH@<}A=eg4iYhTMI^mfQ{q{ciw>^fw&&vIG;Qkqe^e zS!2rdq>K$5qF_;0Wrfj%Q%;9RD_lV1xJ zNEq{^0Myq{jAu5WIGV=5OSz~Oks%iOn`GYUQC3{9z9^0NC-ir!OlJPMS|hRx4>cnS z)X7v?Re?$bTYzt4=wX0+cZsLf7_Q7Idm~-8rm>Rp6Bo*49hhuA%Twl~b>#$+>c|tc zp@fqP5|vegL5xu85w9d#qT~-4a16h9<$|`?e1jsu;nWe~z97uOfG)#anWE^6s)6dJ zp(KM>JvM)8WUWbOe9ZR&E{%yPO@bJ~EIAZer14<(ZTbmeYMpCn!|lrL{WZ@O)oyIxFc)bG-2#P6-l8Z)M(?g6j_?t(eR{^~69|~Xz z{SPO8X_oaeNXiiN8{~5ki=vKf+akOuAS=(-2{l=mUJ9=UP=z)U8#MrOM!q^36&DgB zQ{v%ogr;S-K)OpcfODw#HsKMZr?N_5$P##snmU&gYM79B4RER!$>{VJR^k8sKZqwv zf`o5KNgq^W3o=A6_+?iKvAgry0ez!zlj4dBBh=*wm_NjSEdlwMkY1C^2$lT6GT5jDI~z? z+#|2N66H2T;U2qcTJg3_ib?P$6sx|TVM8?&g~kv*{+EHt8d0WJ5wj@18W}I7ygCeJ zJx2^!elZW~g&HhMgHVaD=-rW*Ie-!8`i+7krT5E6rq-(40Jc=(583)k<@@57+SMq2 z$045$L7EudIW)zkYRzlnk0ldN5D1|$hT^OX_PQc4oZkAQIw zGHWHwoM35MOJ?*BV$J1D_uuh5QL2h0$S%aAL0(Z#&O`g&-_z;qcL zMUN;bep@eBD&CWnr{ymXiGR$pc~&f4)UHzCB$PH)QtJBbyV6}UQ2FAVq}r>&6Uh^* zWaN=Evieim`|_n%Du;5SH3Z1r{1iDfqg2ID<%bn1^Fw+kzo93=+>B(LL=*Xdm0-Z-ftEVFi9A zh1zRu&Ak*aIQ~o;K2s{L+^uOT9FScn)1bjx$g?ECV7c}GXb>WV5Vs0n{w+Kq_NmWS zqiAMCWOg+qksj4HMB<~i(8e%r&bu-THF6a}qSXEYG_5Ywa($JjN_1t9Z$$TG@Z7bW z$5dCNyjTPx#kO=xVQBn~GjGk16M6x(sPq{;)x7-Jmkr>*d zi<9b*_><0C_5kKyPyOFOPi<@Cd7`o!I4B_u3s18+QsK@6=!5_kgqiBjgfBnTG}22{ z4W!axWTdBV(b7>gv6TCW=9f5S)t;46%7B9A1R2x%c#0?3^(6~Vl;Av*(!4o)ghZ2? z?bMZNK0>iZm+zE^-eT?(Cvv3M8Fy8hhOttW2}L3z2~A&gl_)|mitD?i5&YN>k$!g7 zqGa5@*X9)Rh@iL$)Yg@6L@;Jz1TQ#biD_uQ<&1hIc$HT3`l=HH6$rTtlX7TNLK&TW z8d0wvZm5{)72tX+;7S%kA1fSiEiI=Q&L7Fh(k&?n!Knvw5?p^MzDJ)Wph$41T*BEG zhR+gQ3EF)P_&mQOU|*0zZxO@T2+5;iI{oSbQUV z6uBAYHzf#jp&G|Bj_RT1hp`Fy(L4`B6lr8=E91>DFv}POj#$oyJLM}#NMVPgyc=ah z8#FfD&m!}KSNKEj*uSz~;}xv;joxJJl!Y@yW165pv1j)A*)n`~bJCPu89q@?nO!!} zyGjP}mfZ`tC69=`6{#vmj~=p_v#$imGj8xKO93L>+5_RCWDeT>f(^z7P6c0yoH4M zQ8lRMRn5?-JZQ>!k;DHymh-A$qVNjQ!<*s5!CndR2kDY0Vgki|$OfJGdp-oZ`Z&Cf zmeEr?5FyE6XG{Q)PBXPh)i!Efx>i-+30-16nT<)+w5+_tn0nqZ`vyLL%uGsqBb_F^ zAc5Hf1akE{^CM%CrgfG2EjsLga90?0m zgQ)Pg`{XVL(XkYax?;fapMfPdo*R1P1L!`(fkBIQG#pF5NMM0CmmBtA@I^~m7E7Sm z6HE?0KEQ`rl%33gDi*z;FYYSLDkiDt35)zdjZ!E_pAr`QyLTm_3?ba>bBA!( z{=q*L+G0GP&#E!oD~FMttvt^=YrE@N0o}9i`rh8&Syvu^Dz{Lu7)=a0v;aN1xC&Rt7!>$_^R!j~n7=QETSyfFwHEbNqUc7O$vS$+} z?O*VW8p_{OkX#M5xH;aaNs7BYb4J&%k0`%i>&{7_;hn zMja9L7`0JVRfB9e$79F~hVUbab2tR-Kt76XZ$K6EVsGz_4spne3}70FT1zn1RXcJQ z#a!`&7$ebSCzEg47>?{UeN~&yHQb zH{5J6TPpK~ZLA!_*N@GSK(xhLGxc$PxkiuCdh>2mm3#Y5L+B8ZLd}InNWYT{$3{iw#rid1I zktwj{oLD7w@%;46INUFr+|Xlr`Q9TkhzUH$NB8fOhxu3>Fx;N!$kHOQRSRu{Lt_x2 zLDuCAnJN~rqAZ6uQP;=F)RNHgwRi4D{K=?`#@fZ?OQuK;P>&y- zB}nF8245k@S))jRD{TY%n`Ej-i=F~^o-Pv5)HH3x4jE{u_j33Cd6`X9k5 za)vpNaySeTs@OgUyX9Ui=O_mZe_bfAhxw2qCogeqMBPV{95e?qT$5``uOpSA0vRJb zE+Tyz+A*Brh=b!J$n}r_`=3*+O-( z+AM`7TWvPNda*LHG51VJrZ|uL!~YvEO#SD?NVcO=mA%wf}_hC!Qp(a&NvFgU_|>QlDsGbvTr%HEgf)JWu_!y zCzyvtLe8(kfsofkWz*QneQH2_2nUQwNwoB_C7V!d4S9&DGBFkks!aD2VdBfpM&bOv z=Z34~W2996A4f{4nx+SEo(S|52ZLE1uum9?bF}`b8D_>yX?vWpPYy-P}Mg zE^hz^ESy1yinWnKN6L(j0E?&~H}gT_Ii3=(;g}OpW1QG;fIFejlg~Lt>N#}`1{91$ zQ?)JAfNYV-&7AAZXw%Xl5gl>>mJV|!ak4r&782{GlLIw&R~;`FDN%EHldWgxR6T`t z2RSKA)l4!~163_b=_y8;)iQAoS1ncnzp7U0GI1s@rlxoP0M7tcsPZZXWYqO&A3mfm zVjlp2&}}P{IjS@Q<(I|mSs0I~)YcI@4%FNw8?@p~7$DKS=6&56k0h8Q&f$b*^yMMB zL8{`_^KqUFza_WRrYK^FaRU~tvqA77)Gd#XH6ozLX=RYFxKuPYixVBwiO{0Nv@0?#zA z5Ee4|A_nBP^8~#|@$v)E`0qz^EP#;PWlGRE(tBUe9WBHPYhG+9gu3TOVp)ooUg${o zHa0^9sfngrTlEKcgcgaWNW#lf!11D70W6-|`>;oo;LyST%0I`mPjPZgYjDEWh$c z7I!%lF24#Er{$~lhSq_Wma=lW(V`F1NJ6PtkJcIW1rP>aE8|RXh6I-!eM_ z%V+R~<-xkA1^-kvdXVfHOXprsiKi^{EJO(et;}?hq!2kRrn|F)K>q}kp^T}HVw7t9 zv0p6x=@IVl-UY)S6Hia)(ZdnF!@_(@Sg)K)MrmhNQy`U2o3N0+qi08NpGEpesqT2u zEKC!<9er2Of}>{0nBr3|*2jKZ1-*XHp?OORNy?S0cV3HKz=o(T}Ri^VQVoVM5P?0`KH_Aa2OWgd6Pgm;?HEM~6{8QNxS zE7p-owuRT*f^+B`O@Sp$c#C~+78N!=(1fI#)niO0vg27^vS6ENx*eLz*0diVTIjHS z!}xJ_0G)^HQ-&Al9XOk;&irDeAh@MmFw?qO3Yi*5LL1T_mj z?c}ok3uiSNp~@$18ELzw1C5p8q}Hsx=0Ksk8KyOKX|QS|eV^=X1Cif28`Oe3_|_;p zWV~ySh*J$6x40;R9NQNjNgE7V_z-NRTGSDAY)MVim_EuY_$m(ZjCXcRoyy^-Ms7;>z1P%W6(fT`VQNLbS&7xcz9>1@#8dO_X5_j{n%nvvGkGq>}U zNYOeOqC=xn`J0-{j5Y0pSM1gu!Wx}h+ncMGLNjV(*&K~XLc+)cOSCdnXhbV!HjU7H zB8k9GsGLDZQDI+HW^9ipjc?_(VB@l@%JE@V&Lusp$Zv&Hi8hsB^2o%N$!i1t%8tu! z8b%L8wwz57dVAXv{1S^gXr*O=RcjnI6Vw^opj1IMDj!nWpiQ|>ZOi{9lc79IUHCj8 zcW41B_e2SaNfBlO~U=ZkjR0*tF-G{sU7eNwnLfg*%U}NGdcFf z&WHMr%XyfPX>6IqkotSmscAq_&E+&Y0u5&37tn9zjQ%1DOxIowxGsZT4+a%T-eO%WD6yf zv!Q}55B+~_-&!NabRstK0FB}-oM267ta*{ENp`}~FaqPNX384JLKXoXdX~klWlhg8bi~teNV7prH4=NN7Oaqq*!PLo08L9;kzH;m4B`h-^!Pp_;S*vy1ETo?(-X#r;8=8-|#P~h`OHhQZboL7P;xxOnFB0>Yhj`$fVabX- zp?WQRoULPO?|oe0e22qVl0_ zX8G(+8LKynR`X*9tgQWL{v-KYOuCB697(_waU5o+0eNbWZ)Qc+*cHd|l)5r!(QzBQ zsVzhp%*{SF+lU{CLf0Uk6YMi{o3zplO?jY>Y`0TWb&Pp1@#LZ9Z{3eRlA!lbtO~`f zV(uB*| zLoOp7*XsgEKvoj*WRNKFuSa|*jKA?s4TnO8dPUIH&?GW)g^2he?t$(U1;GQf^wcn* zZ!$jcK$eJ;g|M7xoQoFo0Nt(DnNzNfdBGt#jNYQbX(j_D36?jK#acN~`wLOxZ^x8K z(TV1&hAB*sRty(C1SNWPprr;m2VvwOK!Ig=z$T&tCJ>{2GPW$s6v6^g0&9N^KFe)0 z1K;QAA@mzdUL|XIr=~^|GNu3@KDzrBtKT9v+qzr$ zzOGUhaMezgRr_HfP1bpdR8j)R1Sv>&WqI4r!DOVCNZ2Gs-Ya^f*b(~_BJ87;yxsGV z!UF#D?GK1T`1c=^9I_E*?nfHRdx<91V`@V>_?QJ9qK6IXa-xXs{b;6Urxx0RLIj8ctU=nEr zdP+#yE|pFdAt=#J2gCR%3A;*LQTKJ=(RjW@l$c_9G8x9F$69HoQJ$>{X(my@)F+fj ziKFlfbfgfT0b)oI-HaFhn&=d%NEZeH$&sgTPi9O=TTf?BH#3*_QP>w_nUHvI0HTGH zoiS_SF*ZB31yQjXsg;e?kU;X!8=_ zJp8^*XVDM{QB^o&te6ID>|=$5D@u|L;2of7&H;O4;?wfiR&a30tI;6 zoO+!Ylu0sZkoZ$!Jw#PTCxAf05K6p}(@ZO8by+6197?KUJ`IKkKx1^t!%9|aAtX({ zlaU!@9xhXOJ=|lAqLvc0 zY6Bndc_72i0a2p!B{w+Fs+S#C_5PxRf1o8b38N3e_q`MrfPWr9wQ-32`EY1?%!Vi& z$+J6(e?zHZuHjXUq2BR4wI8ub5-DC?=vRSy2U;xEcUOc#6CK3y35$@qnKk$fmcoIC z$eKU%{~*vCZj0K7MaAMwNxAn<52F?7=?Ee56Z#W+GI_#Q3PVV#)x<*ir^<|(bPtgy z$mH83RW1SESDsiDiO~!Hr(fj_N8?jQG%?iqK)|Ufu@Ux6Ta6h3cA}9Uy*Zndrh0hJ zE27McBeQtUnW9`2uKb!-3P!A+?$mrfkD``dE~q>w9_2d)DuVePfli!Ikor5%Sk49tCRXK`*PD5jGKoNu;3?<40+EQN zvKtnQ6y;$7(Y$@5Sh=Ca`lfNJff5|jsWQ=CiHiK=Kj>-Xgu;PpCRT^oQEzW`a*$@| z0A<$pO#cFm@!mVxgHGql?am?9_exhHTOekCDmY5-Y5Rtv0I5kO8#^#sE6S;)R$Cui zC%I89{BxBqIWT?iNF4R`)LN+m!|5|7+4GAN_OWaZvM`}c!o z{204#L8bfkp0J6 zXOy^XR)>aU%&SrU5f&0R)dV-nJH@%n7HiTrzENJQ;(0h3 zW8yg7?^^+4dtK2&U>-Ym5SdKz?~RznQz$hOv_>dwHep(h`AE1HhKLmNbY>)RI>lhr zUJgg`tcwFq(Ad@Pjmy**yKL5IdSJ|&kQX?|0-1>{`(N3abYsqL1MS3VIh$@J8~$fw zX#|Ka*h0#U%*TWlo9q#Cy%?>+?d*0~mZ@B_#D9d8wTs8X!pGvvYJ66H_Jj{b9*5Ub zx}7Go24-$7eLgJPpUF2GXxo4B_fqp`1uDkR`(hMrz1<TMuPOlBIw;{)*pEI=bK zSbNDQH#9gFbf2(bq@~gPgQZGN-rPKt~B8w7V+WJ}b@IndPjLqDcYf>BshH!5Vy zB=QCW>^>O*Xc{`p^?8H}q+;Gc^vq=nVawoZrxx1F5G}SekSWqbHlQx-brr=MbF3P% zG()YG`!5aX*zkn)3EJ7NMUU{{_qVrh6K*BFe{I-T1TeJ(Y0$Z*pMZ4 zBhFBAwyEeS91Drxkbt6B2gq2sh|i~|Xz`LGA@QJ+Omz&@e71~rRylH+qK#oJYO6Y4 zULae@R6?eLq$8*9aA^7714cVF8Y)dPr7hx0mVHtL_f|<|6&Fj&!0u^@3b=txh43sJ zP?wW&HbmjVVhj|KC1;ctpVwn#u*4`Y$})4trfpz0GhzSI*s`4M7lU~xrcz~w_YzOg zT5>ZyVw?xJu_fAzdm6tZYDuPIdwb+y1rN(tGf6>>?5L^GNl4xRUncU;2dXs zV~(D}3UvTS0OTN7!wVGsDwNd8rh~9_jbEiPf z46&LN_)-K~1hbn(RayGYN}!>IRxlVdJLawmVMnDyQdeeU^cl9pn#vq?&;Ys+vYM%Rw)Hbx&oMU>y4x z>HRXyvr+vL#^ODpjXhdBpQI!k7@B2JS5idAVr9;R-U8F^wN~JI06#KS%g-1`- zAox(F2g^Bs5#RN~=QP5BML!(Cgqt_7Ok8YpxfFyi&ouU zp~bvx)hT373*cMFI?D9wq^qiM$ioqt5|3yOc#zuy54m=%A$*ZW2H2nYJ*Py1g+KKd zl_VLqe!XbC;Owvyz`zv}RYBGjz3FKa0E~HRio?xeh4WtVI3E1hDCXhl&ybxui(}Yy zVk@1ITwtjkI1grIA_8A8?lY{wLN7dK@Vn=yFS8Q5l2Roz06&FN+jd5E2RUC0CT2zz zTM6J(w!0}AUSs6d$h!1s^?YK(mqj75Nr4bj*s$fo%fiAJ|8ojKC?i2sNsPiEebtv1 zodrr&L&QPSi#rkhKBeQA$}GB~UWkXks7vY`1ECz33PlNR$!%Oua$$Ifr7K5Mp9jsNc_51YQ#$+)l8%XR9Ke)HE7<^3KWCPh_ z`IfL?cla$~!3OfjnN-W}@Ey_e?1O&^TDDlQHw4Q&+>zt7KtuWdSL=;(fAd>gyTfn5 zk=}aobZY}y3k$T=SW^Cxx9@guQX|^p>I8V z(7s3dcSRA=Y#G37Ux^+l$@7lbho3*cXXNwb?|d^wJjcA_y@NfE_8=GaFp@R@Zn((? zV|fN=W0vpFu|i>PU{iRZ);qS0Z?@U&#$=&nx6-ba^{ec78{@IDTN18SgI=wmLyoIk z%{2ySnqkoLwJ6^gj0$#L(1mmCBy7y>QC3bG%%ApXGUnE|=GEl&&~T>7ZCMnG?F~Ci zY@Z;wnhAAm>OD414xt{qqKXTnm|W8jtkx|3cGWP$WXp(6sJt#Hh{!u(!rCC$5tlWq z$Hgkk&q=tatLjpoAD;3jIG;}a42rtW$A?Y0?G~N7S#DNW#)Qpf#57fgQZS;iYhxDW)6Tq1 zoWFT5lNQb)AFBCd&6k<)97AB&!gs7gC-g?$BhXtm!iG(W710A0T7elP!{7oMb-kEI z9i5cR%hAv6q+H9IF2lMf*%Rh8wr;1G4OQWO%75jTeJb$LhQ&@phDa}p@EeMd7X!y6 zKgr^(X2hkP*~p_mvo_?29JU3RNZB(iIgm$kCyYGuZX5OU@eeUl2S z`bA77pZFQ{j!Jf|ayw$l*q=pn9*j&uAPC{r9oiaHoSs5MtMRienGm$q(PLE}2s=jl zm_2GoxpP|_^;{jZzo{-y8Si5Gig6NOYjbRiRVGV9Cb~;kTTK>LXOK!;(}}1Q+`PtrO)4VxSYvhGaTaFXE&jlb(B(%o!~*rm{`oqwerYr~^vfPd-m9 zGUx*eem^m~W=$MnTM8SuNYNsID7Y$i!s!+!fr;*Y?rA^^V-H~p+)=KOYHTDPutm)M ziZm@t-;*qj*`b{_+VF)v!RU9jWKHlEvc#QlhC$$lW41iquO^QZ z)=$`>kbxP2&myuj9US}Jn^_`(>LrL>R4{AFVa#9s%OMgi)vv2{sI9h6q@T75nCeLW zd}h?lVnOIzx}xBa=Mn{h#KQ^LCRHGPfp#$; zEwRv~7StB@jbK0X^pmjA67Ck=LhVBeD50h1O>hdMDJS8Hctn*GdVvc#XiZ)J!Art|k15RsnHW4nvzxfMP1{66a zYBeOGm+~7Sd%5Ks9YhK$@QzTCdhO!)5O2Ew!y$%=c&1|MHBg#i3x@{zxL$BM+hBLY=p4CnTNkWO~QLJ|)eE#MknW@u-wam*U1Wl>i5XXQWEaIM|F zY0hW5d51d962;MH!$fuDZqSbFLg+`$v`#3DjLZ+qLObh-Jajyv$`2ooRy4<(Tp$ed zYAXB|_JAq1g}E5>1zVYrF19ObjsdU++1RRC{OW&A9dB4ZxmLY2vy5-~=zVCw_NWo( z*#sF@v&d!xO#*uCC91MR#%{2~Y1JD#Z0c&{ntD}xY)W7eJ-lKc}DQeNr8iI@^d&BA$`_Dr9kU9@0aL!V=M>iWj#m)9aR9 zdK2<3?NapC)7!LEf_EuPIUl?<^WnbgnfB(?V7(g%1Pz7uTPRW`QWfe>jrvpg@d^N9 zsT6$*=!D%TBYnKh!XT+EPDo2HWFf4kUvZ3ehi4?g) zpe+wM&m22=|R}e)dctt%als9soXHy2p60q!pmdG)1-w& z*v3mvA*~Nh*ye|swyxNJ55%HqC=N;GF_rE3Fa0(}yQ84;Wol~*^9KTkIs9@9QAOgE zYIJxda6Ax|#&bd#RW3fM036woD-NJ#BGuF1N4ph<^7k!D?PNkDt-^sX|4d+gjxY)< z=YZ3)*yWIs3a#XTw*;I7p7e$j4QqcxT}%@guwe0S4OrU2EhIx|z)sKPxQL)M0gU;h zm2b-4@)q8yx|!@)ANXS zWu|h~cc_C217L;3(Y2Pc?CU`)QCsFZkiQ$Tz9uGd>Et_{z7J56ab>vO;*T}*|TKXd8Z9?EW&|c2?usE3KqP5PW3PHpXn*CDM3&8qwiomB&LXD z^;_=URva-Z*tS@B^@{a^54oPeL(x3M0B-w{)^d7@^8!hxqiOR{5zfC;UTgkD1jM)$ zNx3uX5T{%!+mx=fkdzTa3iV0JtsqF%R~lLV>vuIOc+5ENuqm83B21X%p|qpN>fz;B zsfcLwP~Wh3h7d!?1VClrVDrv_hW}n!zNXPy%@vK}h@?>vMQ|AK(ml#%TX0m#HfN%9 zy1pS5)ULpJ5!=_EmvLS*Ms*{}pM0EC0q-h!K`7A?1g&UOox2r5bJ4#?9L?zc2c5o} zB&sgn#y{|rUM;bMK5K_s_lZFML$IN4-MBGx;g!agT}6fF5ucnBE*GdNC&!_FH>ieU2-_&G<;f2C)fcI8)ge6IkRU-l>>dNO(DfT)ikTTrzPm`G9o0g@}yrbRY-~t654dW`oMAN0RC(iePwz$+tG7+K`$!1Une_XV5 zdXo54xmaS6L|6}^0CILFg-Y>+f~`;XIC8Pd#q}Y*;SzuL;h*HmH&nM3h*D+jpcGnB z!wlu2o3a-N?F~A}B+?QEQX+vlm^DR}KI-+k3dVWoWAjPxs<-pcJk-mpj~kxkk-8!X zFj+BYc+PC0>a$REt8}9K6mLmrX}AwS`3-s(j))J*+;>UqUo8=XX_4Z+t(d-12J3Le zNK3i{r(Q?wg+aZAkW?h|yS4FJhQIXZNd<`i8u)R>AEZ5ZBq_O16>mHUEd7xs+OF#{ zj}rs?RDVG$0lcHcb2TalixZbL=pd08q2yusDhLu-CAE&td?KjGGNizN=a-a(W)a>6 z&LsuRyNNQk8TDikWPw+M4g*G2yIrYzCR*6FOpNV@s&X94rb=gzHBcrQsDnfmNRWi5 zVrYjy5qX<78K)lAt;75yH@Qs@{e#>z+Vsiz>irhS{mt$0BYfjv@$evg7(3&SB7|{% z+%ma5;M2S8rHW5PS;f;EZm}iH2*h?-#eO385A2&!29uonXsQYFn4O}Gb%9nTw5T{G zQFBW{W4h!2L9$vtVFI<$L4wiL5$p)8tkeB-O1L06Pna*%2gL0|N0F$DPyhLLh+;gkVP2e$F)`f=Q$sCRrVH;yR;2OoEow3ozr+NGfsLuQrhJqOEqeLdG(y%ANVati*Yhv~>nXU3m z5XCDp7)2ucUw;_Wo->1M+yKq@ylifFsDhSpdV~3bmel0G$I95~(fs^`uF*_l-W(6dU%+vt*}>PlPuUy>7#P`hxDX>G*<@O(;XGDJ6yD4MZ~k z%Bs;(vku+u#1Dhi388ur7VTThy!<$r3nHyyR%wUn!_E@x&OG|`92?)k43Zoxn138jakir^w*>^z`Yh2Sw zx6?wZXbDA1qJ>JNKDVHRrMF&mvn%E>i{!a?){DiW$5JR>v@Cio_~eF`%Z+0($5QsW zORzLx=LW|fTXs)AmXwRM`E9?drpD~ZX5I8)us4{~Jzm3}2lPW(pfRwF4;sR=(Wl1q z;bc7<71&u-)gP+jwZWfO6*0Pt6~Br?Jhhv?R$zB1;tCXWIg6Of`KKIN)|@f5)~$(E znsGB4TW-}aB6HbOav#f9OpL-d!_K=g9g?E4s#`8$)p>;Z5AM#e6*DZ$O0ZxL@?p{Ne&MGb}bQ7Kv6HXBP|o6wau)SUW8j7i;G8Y88oGxwzb@p7igHI?2U- zILJMaDa#W59gDO2?yvnPVicS(EJ2T`>>S;BAekZ_YgC4Jbw)N=S<>#$hZP;6!0Z<) z5n?1zosUf!qygJX)pB}(?HHw#JD*S|@q)o0PSvLScL0H7TqZYl>luda;;CbiETL43QJGl>7S2<``8k5V%u>q^d&ZLh%?25OPpT32Y!{h*W5sL!McVVcoK`L+ zunNUgVGNHT;AE5r^?2yW4h2&dd-OhtR#!zhxm%DrOyP`!GXtPhaf)6i8PwcRxEiP; zm*A9rPr{*i`MQ>tsxsP&HtY%=7c%8x@WH4=S%{Q4BZF9SVcS0{8eUPyw57=xmT4%E zDLdy>Kr-68o(h=!qbZWNL4(}02mlSZX>&*;H*DURy4ZMw0?zr-J21LTW#QFNLpqZ% z@^QP|-rjsps}qH0X~`ko8^*{O)0*=7bz~}FJBdueY3vaPlrrXgb09tC5{RC1l}@80 zi0CN{+*}smNY0@w*6VZ}a?h?auOP@elJtO{lFtB)gxdM}$%*rwyZRE)e2M_S>B}=L zVYw0j87zJ7M8A?`R+9xv87Vm84pL-_=`kFtIxbIH)(K9HE|wj3wPCc(*K5s(?LB16 zr?~V!P6o3iKCKvD&_3L{LJ)12(uS8XNy}{h!~ZjHh#9TTK|legs1g=}I*fFwQ2SD< zfrvX|0j6!e{ z=;>B)+~2U-)J>h;?k3&x(;3|}CDOvofe}!0B0;dd%ayUkQ(7TAb0#bRjH1QDO>I0b- z6toY`0WrIxJAqb??Q7?emRKk=FOIV>_=@$Z`YPDOA@1{ZnG#<9yg=~!b_1(j_X*1e z(tjRSGSwf?iH{sj_FGYs0?Jr~EXh~vNv2#3vjo0+tkdnX(`HE#4r)3>>|Nfe9*Ivkw0~7(X;nIwye}3JQ@|0Lt(M z)zP6nV#})jOFp#SHVpRY)JOsy?Ly$%Pj#7kDlE<@Pj{RkcVD%_dFe5k*V1E%@3D9Y zWWT`+NTef;7u1zJch-A-5S6MIwYzsR%M-2EDcmd2+5Px7v-J` z%tbFS&U=aUADpSSVaY*_{1C$v@z2n;6q1KWKx?aBfLZw)$)VBsf>oczl?9&O%B7%i z7cnGWvgGlQwt=Jyt`os>>FH&_Wr?~#+3$c_UG+0>{mnAye@^e~y9sRrl~0C!l7{TpG?AQ!SkjkZ|i8-?O2+S^hz zl;Jl#p`=K#5r!sw;6nJNkA{KnUc9S22Aks3cM+~xe)RTTtstI#u(+!Ul;3w)Ve|KX zy&=CW23z@Wf5Yrhc87Oce7`l(?GC{P7Tv*kXNRS~HSzHj{yUae+y)ZWC@k&m-tMrs zUi{46rtupb-@#HxSlkBk88(o*d-44*U5Wh8sKn}Pb-&o7cuqp$y&dv}{pza0io zB|{n|w6|&EA6#_bA0AZfHpH9no#97z7x3`)-yN9v?@sOOjtJ(SbZXkx`Y1+G%-hxE za{ksgopie-qfdDOo6zns_qjY~7fS)Eg(kV~BMlC%IR1R@tNj;+$<7yndBK#l$JF*Z zgB&h}Ia?Nt{|x3N8$i*WM+_|I?2hm{8S@qo%m zWPS_)pLL{=s*qsy(wKCW`pHUGKi~`@9a%^(!P`_`QWz|NhHOa-B4_$u7m#-$fp%=T zODt?St-b@#P)iE)esi49m=qL-<2M)l)39D=t$5680K#zWd* z;#|NU3}GP?`h{VJoMTQx?}CzjN6I8ZOow(BYAoM*tq#}#XWNiUAe5~R0I{jkt;d2+b{k!l1EGoTL#FR8KHO1Snf7n(T0Zm5m2x+@hBScHzvI_oO16n=lB-6iv{r zPQ5tzv{74x`+p_Nak^$UBl zokQ(unhlB@Zpf%6cmu*aZW+YPvF<;^B4Z7)GC9F!hpO#EEwWoiswgusdKjC&*hh&} z%#qLPGI>EO%!Q@4{~OXQ-q8OW(gLo z#spKLeeh#19gtR=5_&(G0sy}@>`Ow>K0%! zTc8RJmvH@^{}W;QDBTF5{!w}!YY{)2(N=ex-+@--Z(oh-b!=G{X2>d)l}RVL+HKmb8MANZoSN4k==!6Pvta z37n}45bl;?6e<>iN1O1#iyVlW)x2g7Y;N`3`AysU%f!d?}Vs1dg*@WEJi z$|e+=gV{C8xS_*A$ry~x4;dy3wg^Z_#>^F|IVOq^^yv$0YjXVrgG$FV!t^3vZrDh7R+sbfjb znT{?BY-bThu{_0clf2mR7&D!N%1)CZPv$>>*M@uiQWt8D>%sQemJBm)cEAGgIB;1m zEy`8G@TY91ZS{y(e&?&K5y$Gun4bV=lY5oh#ir7)nd;|>!%GH(X;b74yV2@ap8pIt zGrmh564HS+c6UKjhh*jFABB;j+RLx~g%GLO5UwD|+CLfc#t{_Fk7)JRgQ8B6INFeQ z3)B?mDwE`Wiq2LCFq-#>F2Fm(j{_Mc0Z9OdoJ0q7VBr9)^)!00;}ncQ5d;}ip>Jvh zC2)eKY{0kjLri1_)=;9v!W|YVK4%39-P}=HpTaZw+gpq|dA1JaT}^{Ecf#uuH)qXBegpyB?<4pB7^7+Cgux|vHs2~46T3`wCAPOf1FE7~!> zraO_@YuNie*^UNfBpV*_Mp(K1RHtIn&vYAU26D9=@>BJirar-#9SA`AC!Nr{b9ttp z*pNqRl1IUEu(D0nhOmY3dw#`Zs2@f_5+|HkAl{2iWf5i#j{3)zFi|3qDC-e2E0Phb zoOe0nWyB_yw20op0H?RF3VIuWym#$BzBy7Ku$J0jrzCiz1AkEykoDwEqhQd@+oHk{ zG`-bmB@p0fg8oIXgqej#o=WOMy^_BWsmNkFWyJy(T1hd$5JN-(F!8i!l|N;S z{tK_G(yB=BT)+T_G*yMJ9AxUtlT49sjZIY$5$JY(XWVm_j%ym7-KlkVPTGS%1QKVE zL)AF=C7>u3%hspxqp~!0%CIBWw+MdA(a006hodr(Ne+NgO&>{R zd?%G_2&4p@Nce#`fr?B$H2_J8>|nJvAz=IwWfCWEQx9jmOd7%j_vS9zu@E3yUmsAe znbLrPP@dk6rCJ!^J-S00gqrT$c?_el?iW;?0l_Wcysz~s1Q2dxjh zszVt4(Lj*qhhC7U2%Cp(#%E-d3$Rly%3*?069A9`u|c33pJ)$dR6z1!0QX^DfC3Fc zUNpy)Fj8Ok2OK&03HYDFdRI{iPaJqx;)~&lX!jUOuw?2*A*mx4D@RVyTS&!n*=e{u z<%MJ>oOuSHG?^qiMETL@oPa~vPQIiqmnI|Km_@Q#iu&a-0q?6D% z>w)rRqw=Z}Jt8Q2Zb}S@99WcoRi^I7rpNbeO$b4+G5SGDuz^Sl&a(}uMX|1pMY7e^ z4L>~lr;1ccbJd~*M5rQx(iVOH8WrXhvEvm{asE0@z@ZmR88w~&B+3*)-2~BNP?@>f zjs)1_<_bH`_q_2B6O45FqGkize1tR#_NPldTr06j|>edBdB5+*z7<6KY9N{`-EDEQe&p_})|VC>%U`2MnU_as3oi z>%u-s91($XnibW0F+JoQh{ufjVqWBNUNs@?>D=ORSXvEysr8vLC@L0oYz47eXQ2$=Bq$;lkuki!S};up(<0Bdwcf%wB$Xy8aLT5; z(YbmlXnF>a0y#`ZfdGu4b7tGm)bM>j--3aQd=AW~qnbu(o&kURC|B#EvF6xP&P1^@ zAXriu-J?0UOdtd&rzx+nFw0^DF?{{;C8fW6X6maBn8GKOr=}ZGV%%Zq`>?sxkqmaj zBN^fh2@`Dpp-3-O(mE86lOWTrwBII8XW}gnD5D(jA1Q1R|)T2gyToJr)V{e|S7e=2_I<0@~=v6PR8@gHw@PcK1A z(q@+&bTj+-(B0Bf{aKmEU(52HLY4gu|L2K$$}w zKQvOKMqVjclVn}3(ViE+)V_Vpuc1(Y@Ut*S9cj~!l`T6^Ont>jXrhWO5XZE_IeGQV z7mNqSQj;^k@(vb#H5gdHbe^N>_a&LNpND$HC%Lz^ZY=Z-FyHNI6$a+~aNl&Zb6q?PpXJC6 zdP|nS@BHuoR*0uufLI>xhvHB_+^Y%Gt4!Jk`mG7R@T4c!R*VlLQdR9;ho(twENP}O zytQAkN640EEpE}Y8SmLK3s-h1ky1623_N|i9Q$M1q}PsP^aV%T{&}pvcxHl6uaWwu z=5JQF44cw3*ao3s4(GS4nlyG(_*kAp@K!ru`f)_I)A;2FxAg>;V{-O7_$44sFO4bh z6kE#$38Pf}OnANG8-BLRP8K4GR;s~ua>9T!nryNZHUM5y-iom!J0+)j>2!+vZH~)k zwEWe740WqVWd#XVY_TNAP1FrD)aB5hCnAU60ryFEXi{h^@cK6TP)dPX-H)`5;U@TMaw|SOTdYunqC$T)=zc##y5L9>fheQ2p^Zw{Ytg5f@Gaxtqq@zXX zK*&9aRN@>fI_@&#*P4&B?2O+-9&%ga_)#E%!l+-LrNm3(7<65p!8mVt2^;z&7Ra0ly?_-OpjqW?$o% zqhHg_jpy9|pm`UzMaRX(*#=q;@{6B-(w<)*@hjFp&fSZn)6)lQIs~^@VZC^~SX{uW zFK1XW{yh^GY!JaxES9xl%6D88m-aV41E&gIfOyU?!1Q-7VywC+CC<+>vq0q5M0U}o zy7yzGM__?-jTe~`T$|51Lx3Y*M|C2ol(6U)Kd#m!H+@GIeLm=&*4TN{Gcb!LT74B9 zhz<-R%nyy>^SitZJopR^P${L2bYMLvJ1N8+HaE3t!qVgtmYp>goB~>4rj0_)fQ)p0 zse6OZf!4(XXcCq+CK+XMiX9aq$YzDxRb@p|_IoQjVVJ#kpn(bTzPK>sm!z?CsyFA? zD4%=rLhQI*ZjXzPT&5y-XJTok5l1)N4L^(@%Kd!E;rnQRWOEIJaUgt%Dgz9Rb+aj2 zgrDrzpvDynaN!(VN|BAl6Zhu9FgAa&WkpS~G$I?Z5*Fy)JCT0 zy3CH?I~>P)1pRc1e$$zdIL8ftvMuWE2f=cLs2Vn6q#7HxC&l6-OLWyyNKZCwRliPCJTz7I`Vz6hs(7Nk<*a7yP^F~ZuiDB>Z_ zjIfES{UDaWD8SU&`S~w7tdSy<1zCLs>PQS|(e#ihPU(h5vT-FOb;34`ty@z&a5e!n zY%FUNyjz-4m{oO^q43p8k}1Q(typYSQ#gv%ZH7#cn@cXUM81<=rqGelgiNvEjNydUFk6GL z2*8s)-Q39!rbTYzWGF#a*)^OIYSdFAJ5pT9JQ>6r0ay9Gc;2)W!`65&RMea^MG@t7 z#6&(TCYnWOr>2>YdLMP8i@FLY29A-tpx43?Of<=DTrEN}bpuP+we&{a+pP5{6K&$L zwr;4?F#|ZwM62OCgJ9aH>ZX`dl8U_NNqogrFP}Uimo%>=5?gJRroL+yvcwnh#=I$o z#ReZ-bwKl03vWJ24z~S2M0D88P(11&1DJ^IFy%;3Y5QC)5>NYhsD!FAYPCbdN&cYA z7hY0Z%1dq$Y5vLscz3X>p9J?Io`Ob>C4ko9i9Yd{c*FwL0JYmlR6DJ&#_A{3+d>4d zR^Nf?1qB01Y7el0($i7EY4L;vVzY$Lj6(T*scJ@KFppO20F`4bfRmua8EdL#qG;C*=TZ&3V(uQmm)) zkT7LEmMvDbq8zi-Z809w11$1(&MtY=K;F+(E5=zD<{nE_AH?{;BTPXGN&XVDxu-wvn_Y~tJ|8k9IrLCp2O}7f zED&%U-#OZJB~!}vMg9auI^(Se6pbPy-r%W3|IoJTsLvh!K~ge36G!^{yijQuRzw}&&@dndy=M-S9cjomfH@xol|54~ zVOK5QNZ!cbJ@T~77F_S9FKkqNbX*Ai^05*&q|hGkoKDjW$VYZ0P97!JN)YV z^+xuF-}E~dpW7Y2eRpej_>NW(vNz-|H+=41Va zJ~oi=Y^@jXTC=@1(SOByv9(_O+@}8(>w2T#dj96tdJ(%rb_Vs!(cFKtwWM@f?!qCr zq||R=f8_(PI4zeO@%epf#COfNz|vb%g2k;D*Ycm~?nSqOe30e`pAz$E0jVY|0(>Uo zqZwOLjnd?l{-W=Z{@A5>Kyrjb$4lK@<@nBb`hutR(7l`yrAZS3L0{znlkcg}xRN#g z`$Il3H2qbq(6HpvI-O_|zuRer?iju^$?U}pszus@0KUs{|94+y+ock*E$Dle_dM@a z&Z`VB#9S4&i)(i;eNR)jdBH_5(_V=AUa#Ax*d;v=eml13@jJ-x^cjJlyX3DuM>Jk~ z5A2h{Wc^!s>#xxi=K1p3Y2+qjcRnkQQ4BuEf@Km#ZS$(cQga3Y+MjxG$VV1jQUtHPPGfdtyj>- zl#uOKO{mZ}l}#`1D;c0%YK1{hU$tCA-uXj($DFTE79Kf(eI`dL2Sv#vs8MAb3_WH0~jT zECeD(#(93jUX>h~q*@?Duec6*Vm=4Em_+hTHKakJG!J(ysw&b)me^H8QjkY%i2@cu zstMMrAi*$>JXrLI9C3uKY9tg;3}>bQ5{a)t9}!biRfO3^#cas1m2H)i`rgW`TaQUQ zNFgi?2_sI7O_+1(^wq#@tfavR4J$C>unne)fH~kQZy|wQoTGB`4-}P6BGt;UYPrG9 zqY-&x;YEAzOJ~wTji_WNfKSHMH`uL?(0*u!^)c-e>_cJ*`z2j=b|`X=WNF3yk{Tr_ z8Z^575B4)ZXP1#SCo3qNSedg(XsHx3_5U&U_A!e1g z-rQ-v9gm2+&EdMq(88VG)~0v4rkfO@D2^dKOa{b45`>9DI1HjBLt=JC$c&@_q$NiV zLdTSVBnkr~wxWC>f5f6?=fnAuLLi9)2XPP>hy)~t<39p9`Tb74?io^a;+pAqZ+)FQ z=hUfFU*}exz%0J()(_Y3G0&iM)+>p{QM#F=L@4IbfJAsXbf%eTiN(K`0}N*)0-#Ph zYqg>44#%A}hQn=ON%khN;Vz^GhGin%k#h~i)p)!7 z#rl(pFe?&48YV$l-XUe|QH1T86?1}J7okiY?Fm>hU~a4c1CmTj z4m(5EFw%ur|JiNAm{u-t(f;?^_I^`V%>L$l6iOrE?%wvK9h&=X6NNRbW!dXp7jJKU zufy1v0&qJoAy_u0ZiW@#{qhpsz)?BEbiBuulqyq((B5&dY|HUZFt+qO5};^>MZ58w zS4Kuk$edxk3oFPbQpUD{IKQh!30E1b+^~X$ZQbWns$xH&k=6`d8*|%7j4$^&W|1um zc;1%tvieL}@03T7IKrKAUD}H?fJAvSum%M3&JgVwOf2V^FwPMwG(AnKr9!5d5FK5> zv*q}xy!bWfhWAr!(C3(%Q)=UR2h<8yj~W-8r25cD5-+q)haOgfh(~#mh}BQTq2{1E;bDilF>;C| z(VlF4>TP6?MN2yZnfztX2J-B4Xrg5)BVlqTUHBXC7E~G5&rmtyr($kkn!LfJosTm^SIF|rw0#r_;jv7XEK!@jm zK2b2^@BA(~b*P&sm2wfW`9TP;zHx#%_1VzC%p}l!A%M98zxa{+Y&&7x{GAG9 zyZ{@Ji+rRT+(cw3dP_HeE-f2H12{B-yhxIbq;A@g25`xId!H-#Dd_j6Hw&7lVzxv&1p7e_gAo%qy(#uca$%qxNIgiPJ%OCi4$xVXl$4&r&@R*O@ zai6VPl+#(K;|dvb6M)j}F^xR8oOc;eKamKHu`bCi4ezK>D_d~*{1H#x(iPHYE`w7> zgFsaERl)=qNgGoki6oLf);6slhlWhpp$Dw;Nmw9aI5pj+%Xb0T8Emo}mxMm&CszK4N!&c50M) zsa)U!ofb_Ie-ch923e9Ys)!pf@u#{Fk2Zam9W%3CX;g6Zjq+RUa-C!YIOBQ1)QEu&}D>A$Qo$?9I=B>pyOC}dgdZu_qro=KBg0joS4Ev^3u9&HXA6WOoe;Z04<0} z0-3m9j)PoF6ae+6WaF}IdlOw`pT^KJ*F!j0(FLxI0T7WGjwC-XYGN^|yo*G`=`_yw z?jI)&%X8^it|VcN;1iH6m}Yj_gchYix}T%Gfh){f5+*;*?4{^}z)LD>4B|(5s0AA< zxRW5=)rhEp7e)zf zYYA%m^0GZB-%NhQNpSxvbnua+b7f_Z2|V*wL~VZ;5SPtG+R(bR-REeKHN1^;HjV388yRMUwvK^aDZ9wg?lYJ=!T8g1 zvNTr0magH<9B=HnqZM;~!|>N*b3`yXcsdl+}e^{wf; z9Q7AvWKo(~`>q4EHF}Cq_~&1lvUkZ&UEN27PaKmpoY2c0u~4T6(b_XCI}UZy1TY~9t!Im36))0&4jXS&Ok92Q05g1 zxf2R3LZZL{+=K!T(|S>pBB7`$g`%d=?~*!CC^{V@Xg=NP8eG^BJsn96)Q9VV^%qTD z%srk38-8iMNZC7?OPt;&_u-+pIz;>+V*JHx+Wf7s^_w1(qnkIA*Iqk0nP66g@;oW! z&csuEexSK?XLt;wwmx`g7=Cfyl*KQjmba&Dya4u~xpuq3{|Xfms%+6%X}##3&qLW= zaPjzj|3MRXVl5hNKMdR)y>#H z=iH?V6c)giq<+d4Nqmj6>4}g^nk(8Vinww1qMuxk)6+FJklUYqQz)?iv&###1W@+J z(w?55okjV@n{Q*i$k=Ae34-gB;o!!b({|*^Ex(V)KlLlf)WPH$_J*^ATkVu$!KUl> zXkD0*urcKa)sAJMt!wV^(l*!b&;Z#GZ>*3RMoQ2aNZw=%e+mcqY6b$eC~j7f$-T4t z!4D!7K)VC3G%gMrB{^C$7St!nLRqRp6;3mbeSSu*&f(O0#By@y z)Jy}1wY@p2Eps*IfBjdB9RK}ugiwRx~A4abDHo3nIjt2B;U7g!?~2H z!f9mKh>1KW-YJnZHlWNc-5OxBM=c^#sIb0=(X7=diLe>zn-dQDJna+}O0Ku)mZOq6 zQd=jhZujg>p-}jA|AH2bMJzr!f5!9hbUW5m?*2G!*Ff>6ZY1)6Gy9_aEB`YIA)Q|= zGOhiP1`MMZPSO#&+3ArR$P{X+x7v}Z1dpQA?I2TBAjumf9tD%N3>5wmj7)JbAspgd zLJS6!naRR@T0{lVxAZ6ki9@xf!{+n=fhIGIQl>~J>1sO`*{e*+!IUY0s;5XthJ2!@ zK#A7Kj>W09R=N>nPB7ItH3gu@$H3%VuXNmiY$Co6;FrN|GK7w{o38O<7BZIdGrv&E zSEdaNqSfAlCLEmU_J#qOa{X4-znI9W31S6O?PR)Wkg3C_Da{bw!8X$?8QOS<`TT&x z9TGPn!6=sUL01m#_ScSiP9}FIvWWWb1Nyi87zN#^)ndpQvFCPqIhB4Sjfw(3f3l)I z*7}W?h~h?eIu;M)ObrcS!1x$;+S4~5t{Gwiw~b+?m9A6+>g!KRY{7>rPvtyoDxs^k>*aQTcx0ihy4GKDiB zl4Eizoj{BPCnHn-jWGPo7fEOXWH!JJpMLtWF`^`^_zC`GFJpsgH?GOvwWHi%B**iQ z{1Wk51BlK%TVLc{g#wi++J*)838ysIcyBp0@lIH;*+>!EDpSQTxR9MXwW;ip>uk77 zN4I&dC9BNIyiABV|gUDO1E#4wX4*J{3H> zG^llkeoC$?Q|!lJElTDJip?%~z-K-}VfHTco9DCXNdL+QBV{d)c?#vG?B>5C#fB>1 zHZE(~_a^u$km7?LBp>+GJwZI4bNLk;L)IjT@XZs&R5f^FLl;>d2C=rA5Q{I?blLFX zOzIA_*(NoS8%Av<5;a&c+2BGvP}(OlxH|O~8b{z2mz1fRE)}rJY!x^t3ZEO7xMV~I zSm`=t>L>5;vJd4!BP0or5HPMj5FRx@fR*h>pe3N-Z(hFO&y_QG^UPn9k+4O$MQqAaz)h#>!<-(sRu{OMEqqCKVxJJ9w&MZt1n19~ z3}vmiT@Th*X~vOXP#D(PSkHKvYz=2;vt z@wI-0LJ;HQj35}-Y|@(w*RJc+vJ;of()3%)87^Vqh8tx!-@A;JvL!ymx?Pj4INQZv zwxNhe4hhM{*Dxcw0XB~D;g6E?Db|agF^JXTgIID~n%0ZM3!c6B*7N5bYQ0GF)?%>U zv;56iy;RR$WR}C7&!39Ct@)n-T3QUgbw8~aPq91Po_+Nfs@-AQKr(aq7M6nAA98Bq zk#>h~e5y|8^`sL2IJIj8~ zkzkWXE~JBj8~1G~F9V;U`0ZFdi~o+dg#Y^xiOW=1uSJaZK0z zXuf@HEpqDx->%9wOe3(qSOKiC%p?eaV-wYAQPvC$Ir@f`$0ghSxEWH}&hM8SE0#&- zOvw?oHqMM<>#zhXS?rr4L)iUj!R-oDD6I22%P(LT=5JTTxSWZ+Q4{Few!eJ7en!%0TO2c6I@uFSLm)Jst;u5kTpO>ZQgxa`-^r22DTu}?%hw8<_mln3P$vFEf$BU1O9P@TV`O9 zu@@zZSyYrU>cMs;T<@cHDD30N0`ZHk<8oiiI8my!hXE)9dG!v#ZpCl$1l|Cj=7SgR zG9yIIG-To+Gsb+}3Zo%XhfMKV58F^=Wg}?b*RN`7ov0m(D9g>OQop!*~ z)-VuwWsdnE+~u91Xt}!=Ge}KoyetDgEghyqW#57cXU^TjcT9xeVy7t#!kwE@G&*p> zVZFf%R*d8vGXUm((jC7Yt^=a&5e~XRLnFrp9A9ru&2GS zfE%=|ra%qpsW%k^PmcGTY#%IGb=N!%8#5O1aMWlW4Ws5joBOr_LdMQIr~a@V%Hs}@ zJwjJG$fYI*Jc=;9GXFrvd5;{nWdU%+6W`)t_2s4YMzXway{HDJ7f zZ0e8IlyLdhk{22aDdA7Y7xy6}Ad?V6XJriEQSEiA&9sa>+@sjR9CEUBM>b6Pkj&ra z+f3}Cqx=DC>SfLjopNO0&cuT{*|Gtur`#?;?QnI_9Mj$!Y!YZS6KYb^ktLrG!-b8l zOTT|Gy9-VGcT=wgO@5#AS5O451;f2$6K5)7<``&!fLpd5u9k9v9WJ98ha-iT#Xayv zm(nSqci}Fjke)ot!x5`It@rLwU9`?2P9bd8a`Du~j4QA?RuFKA^EWj<-RlpPn$2!w`|w{SjAI)5>>t z){oR{2fwl-Ufda`g+cT@W*JhrgO^_o#tn&Pglgf*`4n_Y3>RhwSpv-Pnm z&q8EoXb?z3sr__zj9bVDUiwagG8`a$?2PJ~!#~HxJYR{fZ&K|%;I-SpspHz)Hr+A0DnGmM1| zTUg1G0$d5@M)KsCJe*S7}zA?F+ z>HxBlni$Cq=@gn4LPwO{lOXtm#R((dWdxL&G98j{5Xq_El|doX>>CUt^psFE;IfQn z8t0?w2~XgRyOxacYS4T{bTK(5Wjd`8bI$QQ9z^$m@HBRA|vc|g0mC86sGjGCxTL|#z9`F5L5k zVO~JM?Spjm+a;v|9%leGKhvT-1KGrhOHjsEJi)C>B>_w5f&lUHW2$_`2fkq_Ciy9z z*$xqE$p;K}<)zTm6bzWm0&Seo`reoF;Y7baAVkQEV)Bz)Qc4^Th}^v(;Wkb9S9 zOFgB_1Nc)&j2w%aVbXBv0sz=h#i6qY)Y^>xhc1zSJKm~U#uGf*J~VwW*v63)BA$Yd8SKxsYN z;qU%xDL?NZok*Dlxt99O0Y#_=B6GAw{_k0MX)K||91YR%Ys5jW7(G9V(fnck`k;AsGtG~REP8Q(Mi#R=mg{@_2fX>uI zhVvpSnMwqLs$pnm61i(G6*i&~wjthvsAU=F`JaG7X!yh=$3*-W;+1$w$EZ-Uhr%Fj z_&ojy^X!&}Z&*qrlJ;f1xF7)r6Z=4sq`bCSidc2Lkps1O+4rTLmc7ib#_VkMMsgB0S21fw)_dnDATaCa5oO zdCfiGS#iNXg-7h?fdw$u~dV>Or`eBxsU&xDD&8^gO0QBJ-K!VG1R&b85>CJvcMl@^D8R zmRn_`kt2VI>zRqhAd74RX10}4uh2(7CL&>4=da9UivV)Ww*C@6q#F8zxr{~fTFR?Y z()kwza6|5BknAE&A!e24!`MX>oOAKpx_`V@M z5WWPPSixQJ$)vTc2q5vAqYNr=$iWfvl>8izEhdwT$jCpzMtcTLBX6agCW84Ym|*cX z%bu5i>Ge{+zE?QQp~1}Pc!yRw>B?;^4Tl%e2Rnz?_WY!5!YP8RDRhgyd-vq%e2@GM zw_=q^&*p5GrbFOx;4LH&!~N6R>CunEHogc%S`J(l2^R9^af`_e>SlVASlcKmd!Jn7 zjN{-V?tn%NkMg_Res;{~s4QQzs4>jSee~<=%(1zrqT`Fpa&HN-?P`E2bdHqQ*1A0E z81Z-SB1Mm8%&^{`6f44k3o5z#G^V8*T41>49j#oBvocG9$w8!IXODetP zZtVu)?6$DQquSa@qu17wjGuh)2oAG((97xh3&<3bI;WWiGL;8TVKYC$8X^`Ts1ehVTMx%mwi*JH&Rc9+`%JlUKW0x5t&NGNA& zk%~~%HNpX-D2vV$i5o0CQ*rq_c9;VPXmn?+1FTBn`e}gd?40JP1R5Vf8CzTw&GpmW z&bo)gWjqHM@U_N5g~6E;!{JWy|4(YYi2d6#Y=qc*$|+(NaDh^@`AxQ(iA$5z>jZS# zW``#%VT{lqQ`8@5V&`CkVLR@IHjt=Aw}owdN)7R83#qg?c*3p+{Y(ANYd6f(Gc`4Pw6J%W4lA9aF{dV!&b@mkg{bmH__8UtdeK#XLcS-{Gg4JKD;T- z@BndB8ZuV^CH>f#!qLo?oWYI_DDaoe$`qyYUzwt8apr1m;ZR#V*~Y$rwt#^?ep0fLWijVCk|@3b+1febhTB=-};vII6;MD3rvk{EgYOr znqd)~$C^?yN79sv`J}ni@Mw2moM^=eqZTPsmy_xw2532Ck+#Yy?ia5#S53sQ2~N#! zB5Tm7NHOsUlfXA{v-O?8DQU%y8A=9_$Dd5-+9S^MBwdOdSSUphm{z%wRZVShA}4Km z7PtJ|LWRyU%KR}}4?5ZrkmR0UD3Qjqt;nTWh3?}#cXM`bRf{$4JeRVSm7s^~lfSMM zq-GgF=2`$oieU*aP(rDXj}Sc)Yx!Ti<6$J2E1 z$X{BY;K{WyW+mE4Byb9+7`!WqVM<=Ke5&$|Hq)LE#>Kr3AU_wl&OZ4(`%Jg zppDp3&stk6Q<8JozJR4vK`^i{IOKRCi^(k~~pNFnqc$mBpN|h<1nG9=MtObj? zYCgXf@Cfbn0Z8uJ8UcnSvh4?%I;v$aA*RZddH4ewCkoS((up%nsJTb<6uH2(9ZA9- zaT(!^CldsGR;FM(){AnGQ;dPaKaL)cSaIfeH;(`9-ylD(5gR_(016hFc~oo`(S#AO zPscYDJ`#=`_>$bHIvFqMI$Egc@&qYKBU=MDyw%hMF!sZ&4L`%Gd3jt2);sj&kGIdF95gmd?q0KB=*d8Ule_u<1m5m2J7FF8dFQ1AuK z>(VutA5v(J5@gYuR6ccw+fVpqYpd#HXE%%%u*6_<1r0+NN$kq(jUywE;bLq@pi-ck z0|T&Yza04ZM|whx$NCvW##rkgAt71ypj?=}CmxJFL&)K7z@fJ=r79e+FexP(%klDgtz zu7o0QfM(&8HPSOc(Sr??buFY_i_47RaB}iDMst&kCzdX{?vUpdl;iCc z=Rj5{U%mUT_J+Ut%~e`b0%f_u1)Q*?1gC1fC@w(59&>Z{;xV?5T2k`0pA>CQaHQ)>H$HtKE?6)AaE0>6$GG*+!m@NIR6EfW2zY5_I58(|R$d~UI;Yn+n*Y^N8xDEN z6&Z&2+v4#?O<8}x%|ptX>oNto6qK>}zqBL&FG^hSp46O|_8dLJV${=LCJdKF)z?a%>Hhy5 zrB*jyzSQSGOx}t~rc9c@4T6Bt_rH%>nP_}IX* zWB=+?KTMdg9&`g`+M$tPAFGj5WN7=y>ngWM_`-nhWTC}1&X?E(XpSe$YWSwX%P~(l z3$E%?1~UV7m3^Wt~#tnQ310_B8dcYZiv>F>x$LDc| zp1{99mT~_UMovL0k8oV^3#8roIG%7)r?fAgT{(-*XTR=NT<(;Uevr-EDkxb|Zu zF|7Zwr!?gr)Icqdu*XpJNHEoL(R#LqPv8x|NK1X4a?pzhX;nEX?Bsz&Rs1k*HDXi1 zdej+E*i&y=%Yq<82t%3w`ac4@c}%f6-Rl+}g+-l7Fs4G}0YkBao8C6Qhw$XuH`sAf zTw?@!u)R^=IuK+vhe-gFjp+ocsTF&|4kXhAtu%qvVu@_)o=kQUz+e?_3gdSWtNXw} zo~cXx2mu^`Zn(ze)=rmM3+xC;mu%NJEjsvN=@__E0*jnYBT_M)@wu8Sfk+h^(isE7BT^|>aCde;cxNnhQ!}OZ2)g+X8~MK4 zgc!Ze=DRES&;T&^_c#eI`4g2)##F(&bF!4WMQ6cYP=PQmUGC~Vu#N@G8MaUwSt`yX zVIaUStE&7She$azL%3iHp3$uj_UlJzzDE_%3_XfxUB+GKk|fet`J6S@gL-<}Snkqf zK0d#<{4#}Yl|N7#>YX>V>tc)ghqJ-IE!T9h4x6zc#{{22nIk2)d2YfjTL|s+7{gSM z8RiZ2u-HaT@uhd?GA$tZ(jCkZ)jVtqMb?yh}V|lbcaI-5>VEg*7F^*il#1a#>Ekl3(57TbBh+&T!*5n2K z(hKZh;<-y7<$Z-ZEOF~P81PA($M|)}-c&xR(O)7O2oVy#asJC~3I8u_)d!0-97|M_N;S%BS5V_;-Ce_xn zXF%nVZX-`XyUX?|n-sLLz>t#G_73C3IcrwzQy}cyF&io2?egx5#Pd}H<`@LcuUJ_w ze{hex$+1npvBUDra=zCWw%##N060Py=ui5ap|Hx2b~~cVj<#8c=iah=b8fFf8rnU_ z!Ds;PTt(JEWaNZYD5bYz2?p7q>tZK?r6nwC3tsGQIdI`t(88%5zZ?;(ilEPDFQm19t4wKwvo2gFLM?%+mSD*;Kn>b`g|A$WL-S*Y z2TM`v;cY5zd$_oFGy22%st1WY$+P@$8Qv+Y;g3Nn9Sb^VLCb+~niCY#O;aE2o3JMd zmg(q~*ufGeKV^B2>FV6hGdLL?y=9p;=S$A7{OJW!A&=%@WrkSQ?2yeubk z6Qm<7$x4{?4k}>sv#*%_m$(69Rz`xiM`Fx`%!-rm=10^L$7OoSGN!jc2p*GK!+O&Z z1ng07H>y8!4jXU%$KZg6aIWyJ{Ta+4ghF!k%G*#5?B6Lha#H82wYybdDdLoV{gM>N;eM0cErSlg)0}{Hy!|fDZ^VH#Ch|AK0=>E&L`NJ|hzLHe!GhLcZccY$k6a+*Tp>%fPa=JyKs^A5E=R~= zS#p&vLK+R}6J4hV>NHj7$71YL7yN5V2nMHzw$fjLj-5i z4q85fKY)-<;gNv=)HURBB-XS|s4Et(kPs65(F#^Vww&zU@$l+7IymxI5LS~KfPB`S z+FZ*ss!--wlqmrk=C0zz+sg2bqjSkYNdkOEbK+*E>Y~C*Ax)?dquKtZ3=>wM1fe0v z!59g!e8NKT=05jXe&*lt_X#SeR4~SiW;D{Q%b%yhlj2led}hu! zH*NC*+=p(8bFe%&fmcIiLN5+O<6CaXbs@qg8I;Yth8aHZNux;0Ho6C>s8zZ$HsG>A z2Hs&7nBh!VpG-MJc#>X%6z@$Oq?=mqv(+KWDU8#R zAl+f{4Mfgz_7V=6Ym7^*y#DQf&SXxekfH1Op7h!Z`HC&c<|{PVmRN0ccm~TNyTIUI zO{b~~h*!$A>Dfd?g7t@lOv8N}{7L!pNr$`vsn6W}W;(qRBWe%lGrcIl?0G7q41|{0Xqil*zt%+p z{H6a3AP}C#IN85WZ=W$hiVUR{pf4Iyk+SCnna(E6E7G&6=@WI5npP#O3$i(1OV6hA z#dt$WzSW|oTO$k2qtY!wTcnEvj^34^&T6j5*k0$T_|AW_N#nH#1_!ckcr zbo}6)p)l!5&4V{&5>Cq=P?1|KI-aJ5+QS9%V2!V2y(acUKw`{4pU* zatHas6#o)vWjbNtm|^OrbfAWde7_1L%#dtKl`_4OsQNR@QKn#JgfMZ~xG)jpjPlWc zk8OtMV~of#B7tJiY%#@UR|~_0NQ|;Bfjq04t_ls~B_UHPWXq7qkye)C6xZQa!Xs*B zN<6@TVflB*l)v;nhe*` zD1H!iCVUx+Z?S>O0|fl7EVJ;a6gVQqNepp-lq;beiut88ky$f)mP&!O0tVnS3Eto684f&L#W>{&5$PtR| zQ*=V#w2MEqfBpXUovnU(J@}0LdOu~(x5xd)3^7U3z@FydV8OX|C-F+z`e?Zgu)U>U zm;*PKd_x=%7u?iNF)Y1o({l`jbSo{5!GGS~L{Z zR&u)8eJ(m*P1{@L1~XuY+qcs-!kuhbbN)u!hWz`<`-Vm`My_Dob=bf`Hz*Y`!<)Y zMfu)k?DdD;lEsNKyUn`FO(xoNZjp}L@R#9c6U~IxF@(3-Ya1j=?Ir&qRryFMxvSVP z5<~L^Mx?CWZu(!01n$}eF{$Ch531lGQ@qyMCMRMwhdGxw8Wkx%P0W_M7$X zyV@qxke2LOf&bz1F?EYE{-97h8?^6LguV_oRiplmeM~+Yy zSa@uou^0+JS$RC|hxo;t?e@dp;Qr|wZ+?>AccI-NbPu;)dGpQVSpOVVgPkYm^05@n z=j>$MnQm1Gj- zUMB5r^J}Hc8Zd>30TqWX^+gr20#r{mT*e)gvYgT`sB{jKS3 zWD3r2?JwXh%2rAy*E&=>v^I@ai~HA`4^EWL(%dE$pGz}hVG2#FM)4oI;v{ic0D`V3 zMJAi9?w zHk`2 zdO6L`YMW3jW2Z!5KHFl0SQC>iZ2e+~_Jk}Ezk?J|8j=XhHZnzf0fKzY>Ik9rN63`U zoxh4YwB)Ik8H{FOz$y*umhK%-GVdKmoaW_AKn1L_p-X`r{6aX&fBL~vp6oE%raM9; zZEUUwZ8eUIX^dGiD+$TRrd|6qbqMHva>;l(isIuL2IvB^2oB#nlH*l|cpx-uQ&UQ$ z|0zlESM1zcH#cK&pkWW9R}Ip!M?~-@6@k-{A6Zx3(|qWQKq5tnXRn6;`(8CU+zzcRqjXw^!j4TR#R?oSH~32JJul##=(+)Wo!b{QCQ!cyJ%v$G_

sM1>eeZ5U z`4;Ct3JqMq;mhB6lXq=W0s*ZqJ*iO4^z z07DMEIz#K5FGzru&H}vWIbjS$?J(I@$6vv2o&P#XQ}&?j?ZmFfmCxeVivMGc{k?6fBjfUt4k^5uWG zzBiX)JjsLm4!kq0{%rb{=R&^o)w~tTWz2KXc-_Q(Taqh=KbQ0}_doa<-zDl92fj; z-)$Wpl-F33LUo|oPZTH4C1KprOi{%S-c!HapEXN%pjc|=#4omS3C^=?=&-R3Vdd$r z`ht-vlO-TAFyI4PrnL6Ksa21tlx13+A)&wkNtQnWzj6>^sq8D;MS}+PBNq(t6mqRE zk`Y1I;+o{_jN<$Dp4LHj8nIg*lb)ox<#jL6;|_J&laby1d#AzenMw;Q)zj|q$4gWG z$iGDyESAS4`?&HFQ!IJT33)CEgEONAF2fwk%iR7rTVR+ClGm{ADzB}`NN${67^x@- z*zgt^riVgHf~Ux2W9@ST(C!HRV=iL&ns3EhpB2bAu@HvWn?8Edv@2M~IGMpEi_Y4e zK|T9m5GoWhQNgq)O||3{sq$(%0GE66o!*C4L=8I1IbodqM?SY|?9VetQfONQGLtv^ z)gUpbb0!$C7u|HlAnfgni5e6yR3B6RflRo4jnIH*(7YYmhlr#apRI>8Z)ID;f zhn;*ZQ}?~04dFQ0mWys$x#&nS^V7lXA z*ZieYl|SVPhlLR`YT)XGLe2C!{_=dv0AF6Q4lCV9C>5~Pm_`AJ)G=FtVM~5OGN=9R zf+K%vc)@W?E5gudNa@`8~&jJj;w;P)_xa_)R(`!MaVs* zmhu|-492V5D!G?Gw9kPQ@P~5+DSpn%^BhZ?rYu0=Wol_JC4aAC28WQ;8>txv#%Hy< z+K!i7rhN)+6-(8Rpl>@UaPmEYW6@Hp@67H{4q_-Huxxrr zvz#1a1BoD-Glg;gI_(OcpLCvgz?l+d7>R`@KPt6W58eMg`IsN^vI}!F(A7(L5C{Xd zpY`ZXm_=LTb9uhS1M;v1sy$!DvYUfI!JGAL*k2#DEA`fnIsu`$hg@r=<^*aR#Xs;N zz%dKd=;i4ODBK7~#~h-1Mk9Fq9;;4W?1l3z>^smh6r<7IEQgn~@&YPhUy03WsvR15 zr96QgO%*O%>+*td-Wx=aw=?HLytzl^lLCvXji;}MCVi2wt^@@lP%RgT5r!>L7dtvI{8}0c{1rTubo8#M+$S4T5}TQR2;f0pa2UX z@*hCAjscxz&liDzQ);O1HYjE4(xhJnO+LkY%T-a{ArJ1zB1M6KSIC#%do`M01ic}Q zc#we`m>||9enG)_3JAjq>8_mi_bjNT;=pd3S{5eB$lq6yOickYNCnwOOcxjt!o3hO zMZi-dsLa~uod)fb`~V|0LeUe;&m8M^D8fjR448M5)mfm@2jzT1Vezo;&Tj%ZfSuha zd89c^%~>JbVMd#W7XW9)Gsh+1{IXvAR~*An_Yv7kuyclnbewahoyU9xto^3&M@mND zsbZ?T&7yjJOT#X+BII4UNFbsiU1}1TlPT>}7X&8$#;;g#6GSGqtbDCKH18<%_Utki z1nHPfQ;(04DtrP?0vQBb5%{rm=2f7wC4a|K{^r}INe|^RE-2*=M8X@AQKte+nOQVa z*foqyGdWF^7yM9Y=yceuMgr(86m0C*xP^4G`m)b#?Q3SlF9Se7+iD$L~Z8l!ACxnzp(AN{v(i%5%v738LNe1{ITc$i-V`MC3%!kyZxS)B zOCF^+ETb|G6hhJ@FhiEY+PHwKjFA*OHjNLq?~~A^F2Scb_)fHtcvQ7T4)W^VI2&ml z3!Ax46G4f0@U_hRSdIrrED|yjLL3oD8AGqcD%iy`6u^*-`rsccpOT*dUy}PF zsXa4QX7ne5pCp7Qd?GC4vImIE`Xa$8e`BVpsSy-FjzS{?uT(%SgG8g;It>bpc3std z>Hg^VW_H?EwTyG_mq7TH={9J89WCktj$Y!{_`a}4aR9F_c<@JmyR0wxv-&O*qWHyu z-;DPm?Cd$Rbkes$2$*E4JblN}zVjv0$Grrb@EVt3Y77Y19K3|+T%kw&B`kCe>>7zB zg+s_>N~Q-P|EmxyZnN>dzktURO;c^XjHltRLf$Z^p@MC1Y5WN8mxVTrsWUA79ki_jA_6FrpDmf$kCiqFL{84*n z*_7{wHfm#OP;Z{l&kD4(!*ELRyK4ufFRc%Jk+I9YAQbz9sy{jSG?2iYc>DT& z%vD%opeFD1d>(|P_Y``+9PBaE<^F-KX5N&^>c~Qz&DtGJJnRiLVyRRxX@&}H;hZ=2 z^Oj49OTT1B24nmtGI&N-6I^7Inb71aZH9co#R9<)f-r`sZ14JH+nc%as$0=t%s)hR z-(`Ncf-EKL9!5BI-;k9JX1!}D}v=v#(e5XI>NV9x7(*}(enK?Js(eTqIj%K9a3>nt}%^W01}#K zS0f)_qUl+1apCVnGm zEDYOgr5?ky?8!Lqklf%pZTEa)t7F8eXW`~sLat)Mo zpFB;6sn?Y+?SuH)zrZ-OYhGL|une4Dn;tJ#tDRRS(+}@)Tkvj= z-61Cye$NN*c;_=`FWwn0usEAs!#e0IFe?5W%XGV%fu3NGgp0P?04QHwH#p;S} z4eSgL+cRv$ghG?-qC737#FN0Xk0%Z<#~qZIZJ*MdXs}pRE{DNeti6AliSyCX!}V<2 zd#DG*Z+G{I=a=_68SQJF0eW*6>qem6nC_mgPq1F>rk@`FNq~Y)X%MM-d+i$bQ(QYT zy|(|_Ngz^K;xNIQY<)0qooyzIG+4;eFb?9dvw0lswAWf_~%W%D^$*bWY!O> z&_NJ^qx;-&3c*LF2-+S;V|S*fr|b0)3J=90m`5?L(QY-f!!pX${s|5VetTTQDbhV$ z53@sXZOi|%<=m#3#Nq@y9+b}l0dG0ibB~*(kqR4M_rjDV**tqu>^QA5o8NxZ#DW#W zo0AFHaL=+b#TW}IC=DSH5wMESu3cHsa%_qU^W$_*T0?naiR5$J`^3Ga8JXgVa6t>{ zlqu9SCNoQN(}!`ABUX(q%E(ym=cS!^+bazR;vpN^N!z3J%PM7RM7CU-#2yOhAeEe| zG6nzXNea@B-5Q*_xlQv!S>5zZQBDU1r*REm_Q8D|mXvbv6w)Y+qXZq(%ve@!P{=MK z6HG-e9Ws>(AKVMLyT;-$%a5p^2LG*APO-8(ZMO=XGMoTJsg*Oz6sfccqr(%LF!{$Z z0Y10e%Au@mP5AX^JhW47j9$$(!)lZ+M!Qb<3sk@iNG3LW7FL}U%Q1W~gy;5nYAheO zw+|m9QzTAKai{q>LMR4;D&B4G1t%6wAZoM2-RX#0vz3hFA>U0;C#U0o{+BIdGNJX+ zF1h=;LEmB~U7=$DLEoUZgxgq~t0ZGMPJ2e~*i_VgWqI1~oT z;R#24P>o;sGXy!*3^u}9O3BvenU$}dDA8m@#$-UIfgid%0Bp#YFG})UFKRY{ZeZs0B_pKbs{n%e86|O8uxzAc9DQMN+*N$C! z>0Uz4CtWi^P>`txp6DDr%rVDLmffJ0Mj1Tt8&MCz z?Fl=762u_KK_7q45jjm)W@LEfl`=)Ig-p@<$n60fCYLn??S+4)Xzb}AXC2>;?mx(}X zss2-+SMg73x`dhWHJ$0h z1+vV=V{3n)tc@Qkfr2MBG*6)Ds?>3@N0Vzr;)JR@K9hPkvT;b|zA8eNQxd|DfClKdqe!6zio zu?RhtjvzyWbMf-}1!zq$8M$5qGm#PalQ59;-77^d(NVaiuh0J!1#>7_Q~Q|)5Ujtn zc(W#Wl8Q!`xR$L^a8&|%zL&AQWWk9|=%HZK$-(qD8^mndxOR+uboKGRo-5%{H)bw@ zP$~>8!JiZI`t*TFwPV3aWBBs4#+sbMpT{M{J!MD^Rf<$!Kw+%DHrCq(!Faa)KG3e( z9s^W?)sg+;%`XAhvlpxN;u{a(5VM+3JkZwfDRzek%mK9ZJMiqq_m*n}Tf0NfIZTVe z)!v@gi+x|uJM51>fc2tKuIKX#3U@xg_tOv42J*f4?xqbSP^d1UtazpkB)e64YGPV1 zzL7SNLemBkT;4jk&pD7nVbCBh?BR>cr6r|M(rR&Iy_mOHf8qhN=EJAI_68KquwFcU zFn_&TQeHwq(cG=LtnPA)b>eb5`uzGgeAb(zdKfo=+;4C1`Y|s^t{C^_ zK3zu<2dpE}vtJ;=VJU=>XXKPK-%-SD{v9rZU=PG=&$^!kCGh0`VL1x~W*U4ij1k7H zpOte471!dWzfl@Q>yYXp&wj(458%9RVX}GG?0)Ki)R*?Uvw2sI<^FXhtlOLz&_KZ! z1vK|rIa&r_%*+tD5xJoaIHhSIOmsG=luNeX-I9x*mG7KOEHM7@gh{4Lkjc=%W=FpR zC|*cJUx|F|p#kIy!y<--d z;TU7Gn#s#72+*kIHs~)JE1C%tS6E;NLrJC|0QTy<*+FOVeI{9e#KW)Uk2o#yriA2| zJA=jN1>z(Eb|o0T_`-M~j9$k4rpw-B0{uK4+s^}Ah3dQ-ik}H{4sP;h{_&d zum=^>$j!8yZZ)4%oU!Dz#JBsgPalR>8K%d=Sx+Se^vg`#{2Z~3m)|k^1e4wQtU=^w zIYwr6$FJ_;GI;cP+W!ou?Ikb zbQDq093i~K3zSCnhyXbT#H9N}A85HHSxF>*e;XU++#YP9i!zu4Nw1jt86*x&qBKTf zeNBGHY`kZj9Z`@6Yqa?`Z5c}!Ws^x3Gf{BJMEg!8LO7Di9)JdU#NNwz3jrYVBdL6n zYC8TH7a`riASURaB|=9vELUYD+nV}ybjp7l*a*;J+Z%@Sun!u%Uy$G2nX|4P5Ou(? zd&J~)KKtx{@oQzP?7UX4F@=Wf*bxDa#fKK=&b8h+Jek}tO;7bM?rlZo`p&I}h53d_ zEoTI1**uUwub{_How|nW*?M5}96LqMF+`0b3rs*+V8!GQIQ!b8@5h!q2kqe!E^x-i zU_D|<*Yim6UisLRbs1U=>u47F5yaS< z!PXy^%`hap0l6I5*d2Q!VNN@#GLJuKmBZz1vE9?p%)E;lY4$FL*D25r7i8eqcw>eZ z#g?mMB0cO(=;x?dv^2+5PMOlsby8R18NJ){V`#ZSMa97}9iSGt(~&ZU&(5$=oQ<5+ z06AP+Zabr15crjqdkTbSwLY zhvl}+0Lz|-wvFkkS^@}kYXNNT36y3ex~GzX$WbkfO3*FL$^nm7&kitgG1Ev*bAG94 z)$3tNACynPjo8f*jQ#*4OyQ#zkfrdGos7(A&oF;27usEk_Nx4(NlYA^Y|2(z=+EM* zL&ceKhU=|>Sds4U{L7`u1jOd1YI-hnQA?nM4I=;(CizG|KShulI76#rDAgNhk4!PJ z40!dVmG1fxL426ShzhrbSd)@u+}MtTBQ8?Kyy2wO0GjM}_s%4irdygILASI#^TF!} z#&m}(RBS?W;JV2|qvaHjfEorRm{RziEpQbhkU+4c))1}tRH*ltI zJ$(U}05e#gP|PL(ko~1Vg8fSQ0v&`JwI#9D8JZ0(y(vlp-~$%N@@iTEriL97DYPyi zOatASDM3ZPauyF6D()#js2yVXKN5_qocv5#fBZ-A#n<>jqVty@Ul5X2sn$fxXPAxiTn!Kx(kLOB~p2 zDD+K7F6Bk0J1Qa(|AiwXNJG=F{(KsgObiBKq*1D|ZFbb{Lb1IGDTIgfz<^wR2z~NM z&Xwt!H@Yf^PuUK$Gck!}rtVF!dPM?Ta5CVFk#+kQd4o|D(ST|q*-$W8FEfTF!51C% zHC#^9DN&m+`oSv~yoEnNt2jfu{-hkv@NS4`Q%0{TY8B-mB*Vne9cLLwN-?Q6&q)li zz>QiZ@CJB4*7^gAjD2zWfE$Xkr2TBZmH4}-1Ii~6qF}&?R z^J$^+mZ3yf^$R|!{e3clHkeo7`Nl|b;U`8FZbj5!lcrdqwrfID6Rsl+H2hD7tUk(& zjLIsk=uhR7pTitw7>2wkyvf)X5@qti7$NePMe@cv0_`>% z(6c~O#0IIz=8||5A{LvEujrsihl8jp3Zj=4-m+_$E)Ss36dP2ngOo}VL`{QmLku+p z9G1uhqCQF&;(2k^lZAmBI#Ps>sjrue`@z0-{z&V_*=B>X$8DStm12T9|+889& z{Kg?xTo4`@muy_BpBm8)Uf1|WZTO#!$p7h%etHA9j+xys`FB3+$eRX%iO&0zAf zNK0O!wS#n5-AQ;#IZBRfmFp?~kUyLJpMQmfl$nGlefTz9_%Q++y?Q=SQ-$DaHqF9ejF~Dw?jf=WC3nXM$U>#>$<)$NBykP`F0iyeGtyhs<^8B8~MO7ihe^UXsi)tab+b2WHq z8|iqFB_c#VqAbo+&{zW7w$_!ZM@n-6l=jVQNJlrZ-}P5RIM1r%a0In7=R0q-nuq6^ z`wbrY6YdqRBha$?fMub{gv?!~?Q?%CS{2`-bi>3rYxh)hdLT>TTxfTzoi$qpwgDK2 zW}(wQlXl?WsMjLR*qVUmn*>f7s8XM>Se$`23xRUNfM;M;^FYuwzF6QiN2;7mai_c1 za7$}d&2RUNaj4^lU{To#x84gKZa2m4a)I6~AHvcjoPgzt$ivT=BB z!nT{2!SM~#knwPtO8xHh9-_)WWCxS9zw{jpQqlTOi}1(BMa!M5F>-H*k*74=JVHcQ zoUYP?#~Ck$)%<#y^J^q%NU&lIXhA1{4K6OS-rUwUp}5Tt;d}=IF2V<^#Z|k_OE38v zG|Dy!qd8un^oJ85t8RocE+^G`sV4(G>4L4z_*7%98EZQJTyVclRC7Cci}ovR46s>M z^{N$*Cfs2e$8%Nj=+>&pKk_Y%;W;OHdak8sO>`A8b3?_`G5zLq##z1`q&U?K`PMA=Y7ZyD!acNy`X-=>j+!FL#XMce5)v4fR2x#R^%%QO5Te| zNYt!GI#_?Tt&@21!gC3*4 zb9kaPF!hnlj;k}V`F`HL`1vWXq4*-qaZAV-!5K(R6}>-CzDUa@j{taUBF`ph9v?`2 z#Q2Ioo*HZIZ6fbAL}c<_1o<&eSaQMS4UYVtDcUV8Js_X7|=sse+!1H!_{d&Cn*@$4^DMIzRLtEt;V(0j+ zjvdK<+%l{5E1CF+fkZ8? z#z>3@+&nUtQv-nqK;pUKP)zS3Y^wQHJpeb#kYIy2}%Uv*qbCj(xn zt)%=tljtcipm_Z(q*HS_-*GgCpCPX7^5kF^qnW&svkpj#&Y@HDVixbNB8QJ!y^&OL z7+35o@Kq1R1B&EoOqi}tBb^J=c&|oO<^1#%NonZi3F|rqJy9l~bV}r;Q<5t>#T@`jVIT=AP^=*1 zkZZ0XK;H*Y?0!{vB(rlc{(MF^{-#D)aB5g}5-1sc$@w}6UUlU)x#)-L`gIvOYglt3 zJLioHu2U|N$nZ#~=%DOWo^L`5FvEO|m{iWHHyi2HBv1)gQV5gB@Msmj^T%Nrm)ML3 zimNUu-hR0UFW4)%(FpKE(c+Ftc+9NBPUZDWa6QHOguK3UF4pz$c1pbfTIP~zM{oQn z5L2x$WlE<|VnQ1;CPF0#Ae!!aZ9E82mkr(1oMbSGy$w1=&-`&Majq8$H~6v!bcrtn zyDqsOGups%ihk1rycF34RG9X|RaB1(-W^*!;B`On(C*znfbgQ(xMLr69G=E zI9kQO`PT)bQ1UatDdy^3R^%nxn1bOMzd+t|8M(Gt)dD9{<4y`)qOU5JI*=fO0evMx zVPO~8v+FQL9$diLYWjEzipf|ZE409=6bp1lS^yNvOylEX)V8hRIw>ov=It5RBX@_@ZI*;s;0Ea6A zp3PWvnRZl8_KQCe!av$BbjtX#IR=$=$;=NAcjUd7@hEHUv_dYLat7QdEz?dR01;~ z9oqpT8PP|7gQK#R5E*B3fEf`~MTH2>a~^aWAS}Az0d119gm|aT2HVgUPTR__VpNAi z{1vEJ2rPYyQjeVO#{SC3Dd3TM$hu=5eW@vAKrNHtlqHA|`&SJ&aRyga_C{_g@6`f8 z0mLuZj_gQK!$hQ73gBf;h90PIfIW6>AxZPSiimpS57&6)29)kbr8^|7VGudZWloBW z(5K;XO%rMaTz7mhSn&c$tP7KvNtAw`>ZRYKTKVj8- z#WbUwkj#~L0`6$v1*e)Uk8R^AdMi_D7ZMexPEkwDE1{y8<~`(*zFlO`Da34YHKPv> zg+NmbQ5kwFF$CfTEivyxP8VgIL1W^V=T43Ip0Ijim2H4{v+7j`Q>Q*5Xb4=i{B=X!PT7^zkP`J;_G_%qC5tV*sTBB zmzTAEZ~2uk+sl{Uy?CenwdOnc;NZQO-o5yFz3&ieZ~n-G&Bgl;FW$X~#~}U~?gNQW zo$DQp^{3(C{;4hA zMyFixjDo&Bw@|E24KrTrO>u?&l-}#av2~HTdNCu)dXx^i5xY)!_~!#w&5iK_Namj{ z*x%*YD)&9~G$4Y!2w>>Egh7@T2wFr4D!Bkll$Bq4Ipm#Z8s#L537UeiTMFhpMQ1XP zr=f{}cpZt=CX80}PS3;rwDE?hQp+Y;egZN;w0;&A_hXR@lllKYzC`@BLek$|uAc_| zM7{L)8Q}@YXF#d=GGr$WC*5T(VVmdTrLv1myiD$*LMgkPeQNGEet?IOe^@uS!pk} z#+V0A+M)BJuLh46o;4K(-}%%Af=BWAOK{PtQLhiGL19 z_#smedBK~^hQ5l^=mb^YqQ%dJ(-Q6}0Or75t^-S4Ke>C{a|&?83BC>5$`iM zpazdP5*SA+^p9q-MLgBtXd$UyT;V*YRr6D{w*gK519tLXa^bC8(1d^KG-`+|!WdrD z63c7N_{F+L46s1*qWuaF%_3aoNjr;>y|=kyd|BC7trN=Ivs&8V_K&>0S$rkq4R7ud zAPHy(qL@CFh8HBZTqk_DD4+x$RmI$Zk=r_|V+myT^4IWPS4eB=BX<{W8xpVv35h4b zXdHE7E%fz#{S@#*45MNGgW^(BPUhqRso#dxi@U`d8U_~(=d!rMFiQ&T%>brP;)Kog76gQ#i9O!bRLcS!%$4~mt zYUanPSTP;w{wN~R7$Jf&ET_Mpg!YDWe(*T3*>DS49B9y*;=TSYBw8rKbFR0X573-? zXL=pn6op}h)*63}n>mqMssv|9Bni)@u^{M2L}jpXg|u)e5lhM0M04VZM=GnpXv(sn zdBeY+k@Lhhj}StsbY)aE`b-tU%x>|{9p(_w%*k;>biApaExmZyh7SDsgKZ=H{7mc!Vqc~~e`YQwwDQZRF zYq;vP0s@%fC?r)dc0Gs(0U-Qu(@;vLy6?f|2ePb2(zaS?+^(VymrBS_P6riF> zKF3a}1@Qrz4p$CHfyZ~?VH3_GIaWeg&ch~<6-(T;iD|h{YFrnX0psLDq(q58@;~Nf z3E@5Ag(JNIh)Jd|kMWIBYm2&yndBY+sM&XvcSLDC!}m7j>O~|JR1wU7pr_#Y@|~m{983m@kT-3yIIyqXUP#8 zZ!9cvG^6ueM#X_%#-JxzMK#Ni67NREc5;dhr^Y)hk2$@+iALL=@zzBu4GyqOOWld& z2!pd%*)3_EmL$9d+gEB?!(_$NWImnb_$=;oQ-Y;qlJW~rJpZ^MOSW06MN~q*{S!u; zX~X)Z_j$?~W9etifolz~9K~K}J}z$Rhho?o(%$o2)(DzD(L7U@6_58bl`o(I6CT$~ z8(&21x8n$R4Q>`WfZ?kM;r6O|#Hwg#q1edZcoXW^ehe{$W1R@_LJfMOU%lYT1%*gk zGYPd;hINl_{&osrOcR48$yJlAx{;gy@wtR08sq{VSD&QBP6J5fi~LC_AaIm0y=hl@ z8F-^WMmfslK@*gOt4bP@(kR7+kxqdurWV~G;WnEUgPJ_t)GWJ4;ek{c`zVyi2T2ih zVHBC+F=a$Pg%!^;ijK)i8Babx@(1h7u+_G$oM*WRWy;4qBZk#0hkrAsvn~+U$h{ zS5CE{>#N~3r+R)xje}q=1q*jTAFQ#OZ;W%u+*{1F4o3O&!#SxvG*Bbhj_=+}#u1bD;F?19zgM89{5~ zBoVkBme4)O?C7<{3epu)xE&TDqUBVjeH3_x>O`!9m>{I~GEb9b)~nRg$Iv8F`lJx}Y??Lhw5$N>CFZxo&YZHiB@d zHiisVA1ZoT(X<&^yOo`(mck)mAdBVc-xnV?9`kcCJ83=c$*K zRcQF2BBs_`jqlK@K?N5?-q~$L0m1z07j4pY&x;c;Y9+Un&V0~Rq@3V}itIX65de6u zz1Z2+Jp9zZp@IaEsS&s$F9wi^dYUa&lHS_GAnpcsRj%&zhl(T&VyTdw=WY8vI)E$iuz+?EK&V$!OoyDVN+Kb8gU@7Ac-7Os&O)0&ROJ6b~ zCyr{zf_F*Md&xPD>7hl}mJfJYQwwb|y!dm^an0!I9(~mVDPJ8ZJD`xw1=S!2X&F|? zbrD>*2B}6+przg?*{Wz8EU_xV)2>mTKvT*k&n}(0MRHq{5^7i}<``GahBPCE+P0*m znpc1T_Y0mhY>N_+KLSdnY@(_H;fQ7%tRq35Ga^glY@&!-C&uE#A5iXC?O53e#UN9A z*87EkDB+Xysj`&TEr21upI0AX^RhbZJF?GiC!OSk6_s8mLuHgLB?n>_mDC;X zP+HrSB$OIe9bicoN)vefixws$StsrjqFB_jLy#sVj?>COdD;OaantFt(M?JVrS0OG zs-k~d?|3bws#e zJ2Bn3lBVB2_uxWuf}*}LW+a6`w~asUh*G1xW?wY|)t*ES{Tv{cBL-Nfai%)r+#(5$ z9U6i3A}zTB2IIF2oMY8{&d^d?19W;B$uZg=Zvv1^Zp!&o$@Qjd3d~kGM^Sa?TxcxScUi zIY6CpRK>#1m$l@fmhlaiyx|a(yN~x|_?jK7aen5`)b3JL*;Sf;27s{eEB=sIxwkdC zfg0&i`%;>YtrirHTH%m;ciC7oet`f9*eztmaYf=%i0N<6=|k;uJVBxGx#RY3>2F?~ z?Ky^Xh`;xo?ltG55$Uwt>T6cxN}xa}~}V!y{=U zE8U|y#6|ug#(_KYlj>~2mf$3gKK3vBOQT+T{;&zR4zv|2?FKG|SyjHU)LY@0*?YH( zBg|@sII<(d7JoP!9U1Rov~c`_anHd~5T|QxFb$DTxwV;CAQHZhM~Ti#jp0j2nrYi8 z=MTdO8&COk#>X+Dwp+-Ltj`%8TIl1}9OEa`C2IWCs^E%78ylLtg*Ocnl|ZF3#^)9@ zDbCBda?wtUlX>J^lACW1%sG}l<=u}_Z#b}gT}QoLzFt;mV1s|l)=Zr^YQ~=$H4xiy zIQsBM(Nc`rUZ!7;#(5J*Guq>2fpQTmV2bB^{3L5_oX~aeUL5E9W^~ZK7vV!5gRth) zOwTHjCCp1U#Pn#Pcqvbulut`CFNO0gCv!?nbht}k+*6#e$*UZmYLCgQT2IxmTRd}g zBEFBp=4-I+5pT3(TATf}I{%o#^_M2_ zE7ly@)*Pmm?1keY_}&T7aY!zCVQPG|D_j2MKJ&1idyf@N3rYDbQ#Np?cJE z3?3sYIEnJF!GCj&+Tdvx9zMJePiR8Hqx^h*Zxq3rhgBZoc_v92rY^txfMYm3DdFMm zH))5rQ_7$h=oI{*jBE^!)HfZbv&kBVE8G|Je!K!naqdtOqht8ZBB^3F@%QBEi=aqo zK6{b76Tsktw~@gOr=7elU|x(DCpDd&l#&oXNW?=1dc*q;YdU@Xx?GEq6mQ+5TnAR3 zPrr_h7Z5t-RCp+eq!JZwb4G~@1`kOY;{xxE@V7c8$rSmT356K*yTm}{cr}9II%OgM z#H%_#F2}17>BQ{nDr}fb1p<43(0PObFK@g7Le9^OW0>@cTylQ%Egf^6VwetiA2Gv( zX^q)hsvo@Y#pFeFKOWs=l<0MMBjWK=us87#3-R zF-5uI()dD#*{7YQR23q8S?@OW^bpp_`@x$QQ*OR=&@U*eKr^%jYM#Zridu9^H4tAt zdc@q!^B5zN3MVF=lBmGt7kt+AB)FuoCo?2wlH;m~!8@LX@Dtww9VGQW>{NL@;}PxB zH2aP-D8QF=_+ZRi6J_xEHFDa=Y2oB0e%+jLd>>rS@-dbPy6U20_6&7GbzQ?~no+E-h1Dux8;j98H*~5R ztv3;$U#L8Y&%Xyq*bR&aea|#5D&a5;r4<<(;`iW@5VAn?(F(Rc3x=S|Gng`-*$7Hw zB2n?%^x_pDU*fz}waB%gg4yU>0Dp{cjwSKw>u1S8$;?vj?@v|iEV#}%wqR3ROj3wT zGg~ti&{Y4DP9r-7XRuhUB50a*C@!VN-9#^6J%kD@qEf)hv_p>35OF?vq+G0qNC9tS zUB$<2CAZddmLamG>Ga+xCh%yB`y6JQW;;%dJm-{7jrNf!o9p+(jrxdfz_S^qjqTct zavng;V9Ro_O<)${8;L!-spgTp`hfiLJ5(&c!|Te68mF(KBL1aoFrA~nZqd1sW5GUQ zu%zF1f=;n#G>2k)tJx@c?`nxoX?L}btH_{D;$3E);&}f|>qA`U5e5Nw{Bc|dtL70f zA25 z+X~^atDzY$Em5=_m+KT?y;& zQ%RX+BFF5bh=_0`$x~;@)DvJ7n&lvB2`0`T1Fx*=8zm487Dh4mC~(1Kmx^b}d(oHx zg15+h5#g3x(>n*c#OQ{@Rp8GwB?0OGVJyK81}e1my-0yD5;=6BP&=R7EQ# z2{{GsKMNJI+U*lGy@1Y>Ac1}yfzy=RP+lQ1=M~60{Qh5pd09d4gCZAHrYx;yH0Y66 zXL%Grk}<8HE8WiSBrg@D;M}%pQ6V^UM0r%M3yI3MmV3Dr99nYjLQAE~=20n0#(6#W zM9R`5a&Qh4>Y8U=aQgk}rmaO1R7c|)R>(y8Uya^TSybS)iYxei!5_rh$b0d%#R-#t z^vXxA#(PokUc80xq=}|2zAN%NHf%l@n z!SVD|J*t}1(>H(Q0lb`gkA$rrIWJ%4nF&*TUb=B;4-Z6m=q*6A#G7UsXkp}KBH@{X4G`C7f_2q`pVC@n0ARyOCr%} zA>3TG>1`Vq`QN0JIh`G57_Dv4O?bA=T~1u$^>Wp_<*WnPCVXbPwzLy4gg^Tcl12D- zz9&;1<$`>VVdvg^g|&(O1c&teXeLKV2OJt!FnL^5FZ0U9??mm6aB4BVWl0B$I@?j7 zz-0p=e?mqggl~x_8ZTZ@=T0=te8cb>k7@MU4cqev3JkgNLm%i+&h}ETdNGY9b#Mw&5fOqdr^lEn~QNZB~CY{}ov+ZnDA)q2}4N;VNbL%VnBbI5py< zi8Ic7G+w+BEu*Y>Kd0&^`sHOD?!*V2Ofj82WrhRoqF#O(=ujT(tef?XyMH)kp44!z zQ?>;&PKwS+7-vK^U1ei1W@1ke0B{;lcDCkB!gvie3^6_Ar@A>LqA>vFp^PA)tq2sn zf^=9XEC!kGtMo+}gnok348vCv3qYA}ijXz9`pGBGjn9@vIOT*);}PpKq0C;v?Q|So z8C54m{L6nw>TKGnvxw3PU5E52a5m;vhBcl#R80{KvYpUo0^_A|55JRb5m@O^NrNvw zfukX+vuoj=WU1%kV%Lvs0deVRkxR5)mg5CL;L5y|w1S*vgkVJ{SKJq9AVgowI4R@> z1w=xhDZDNE38!XGB_~T4stU znR}Hg&PZ2@j}RhYXO@5QFRDJ7{Z?}`FX=8to9$K(T67kjuBW?{b0##+e---6rQF>_ z?KW2q!?ZdOxMZlZ?nOn+2oF@L#@o#jm9~47rg%eALv_ScQ7pJ^-zjuF&!@u7?^KOq&x?@cs4n}IP}`Z1FjIoi01Bw%z*@v@LC9ku0PFnt zPV)9YO;8%877+RJ?^DYSAXPlXHIbFXS&nH%4e?<*uo)(e(xYw6H`26Vip-6xrU>on z^$l85Q292niILkoU*D&eUq??!bc2Uz9eoF-9cLJ&(eIs$DbFW5C?W(1ltKF_WrAgt zT!0mLjd?D*>IvQfxrm`HQ!ID#%R;xL;IXD|kw>oKkN&&55`f+FKBp{K-36$9AD%o@ zLX)oz=CK5ZphQqXGHX{fe-oMVQgi)-sSP%+zYx_fM?nk`T1M5ER?27zXKTI#5`e&3 z!Xd=e5Oa=MuO`|cDr9|@DH*XD=^f+b%$aK;iPV6TRgt8!bT&I)oUIPJ3J*j0!1s39 z6t_HIE_|2VC91oy7ZqKqi+7=tu(l;n1!-%$x+mifxhwDTF4c?7yQbm=#5+jc_|mp= zE2MWOwlGgg?2y#ZY{%&-peov#5zl~lXYo!G@2pHEJ0LqP?34;DI_#4?I?$!UvljBw z7=Hd29sA<46;r2&7gbMBc|&d0Zu+Uv>czVOy`%o3;3D;8gBLZVE;5&xh@N}0ayOqK zTu`Zar}F!M;S#a`S28>7eDmA?x*PkaKm1JQcC_o6FyBbUJ9&O*$u~m(-Eg<9Z4*z$ zh;8YRl>l{Prg zE|u*rU>BfGXP0;h`PrqJx=ZCr+f#+lepN(#Yvt6`aAy)lH_Obtf;BYqS#e20bkDcM zrGW2F1?qYHhN;lhZw{4d$BTNM#{Stoy3T3uv%_`*ZWGUj{jIA>ORQ8g_3)d~vM9$( zg#XZYxSs7u0zS43WZ@W{+E(TIo{B>Yhy{ zB%YuWFUjRUy-B@}NiTwY1-gLKi_gBcK3}b3xCrML_K(8${Z(ysYfL=nR4&lIHkI8u zj3Ii0mnW%gtDoS7BkYUtHL2wB0uXbuD{=W4ZEOF`uK>3zC$ihtsky8UA&=Bwgk7>v zkl7*fq*8@Eio_P87ZsqtabDEqB`TE;pLWBudHLf%vkUiIm)?r$>u(YgzX?=Q`%fbj zTh`k6Z+)!IzcbRDOxo7P?}TYfp4QOU02cV}jOajnk`e0D&=Z-SL>xH5a(yaN1V*0} zKK0XG%Ht>IA!U@jv+xqmHuKcxwzb=Yo55$pKD%a1fdo(q@EPFGDBdOER0Q`7smCbj zbR}{9AMji_e{d($Ry>b*vBrE|?mtYo3AX? zaWC#rIS+5e{7dKmU!cO8c)?5T+oMR2@@V;k7?NC|@{>qv8}hhKD$!ZDq*Kq; z=*h9BAw49KRSn5Zht&7vHbIx_%gbGir|Qoxz}HUiGPH$! zYT>r_8HufsxAk8anJxA<@or?|(;-3olmEM_S_u)!R((D8$~n*o_;gS%rM=6ZR6Fk; z1e!3Nk`O%aWSwXq8eQ9o8_^Iu9-o~liTy&c^q2lUMSY~84Wf}+b>!+-Z*(<7{IwMQTYB5^dunlsOp)Dx1hoL!o*P zdF8OWjp^wf;_Pz>OaI(RW>^6HGdLabn7mP2A1*2;%m zTzQD3ibxRwTu3CwoVb_G>kvkNJxCDAHi03FMLypVNG=K~6rmJw2w;--WJgb}C`^;6 z=yA#?^lC&E2o==Za(Jh%t?r3p&BR1M zfSk&?ruKn^phDzBFH*770ZD}fNT(?L;P;ZCg7GaGkUV7O5&*4~Qc17Sv0@KeRgzN2 zN!Mg$)RzrJX&h1nt`fB}M){1-Qj8Sd?xG#DGV#W6wTL5?BqR~{YDY70HP)*1W<*sb zi5Jxns&M3aRiR&@+G}a3QfhLQPb9GhAYSNHU=$;NDL7HkZ$p9Ryv4AbhWGzpsUGUZ zO-;KQ(w$I`jNrtj6($85UV$UEcpsnNF@>Jig1w`VUuUuubap1bDxrqZs0BJumD9p< z>4X{>!@xBsA`J^mAZUg_Wzh6MI|4wog~nFl7R9PhO+)-@$R`487j7(cAh$B&cO#Nu8NmU=i9MTL6cp6LW;|l&H?|dw|D~;JG#aiy8;xmOAg}}H@P`&Dd zbmK70v#Kfz>BrVe zNr5K`(eZo|Nne!+DgTKd3t8UK5e9&(WEAS$xVm;p_8Fa`TsFpMOF@1aGWuj8LkZ}j z212Ol#k3sJ&LI>=;k}wBuuM`~Ru<2xuPjzCdrQ-@dY`Xj=%Wb?9!81*K^V{k)6ol? zihFdE4pkZQr2o=UcwW^oRw3JYK4ZnEiYBm~#e8P-1qjl&z@$GLeY%oe8HZ2JESL7r zi>6u%CCoJ!U7?h#)(kIAAcuTn#R=WE;#=0>HezcZ5LcYCFjJZj)KUU8%#m_pi%us& zcJoAonSiNNFPL&9G+s#1EhE`6ibf1~xs}j_c^2YK@(`9c%+)F_M_pN}Ah;)FDSTs$c*nk=^iyY)xa1 zmTQipxd8l*KkcxI715U6*wXd|N$ZnpBnSqQQ9W?l5Y=MoM41nXI9)6dx|49rlc*IF zXd<`$YJ$B8jp(^eP+wR-eLjhXIS)#yO-C}H**;C%%1kRJ>Z2a81e>56ht^8x%u=Gg zE+GvaYZlxm{IxUSo$Q7ZYq0HhbTngHT*!gyZ~s0?;0S^ltvjWCmr2MHSx18}V}i2+ z64K^V<2qtg=(k#7Jbgq3K+Y2T%K7L3fz@VosMCi~ve1z7rGl)NrW#E=kxv1e4ky@4 zg&m$!5;zB4dN$2S;G|2b=1fv;!>UVoJC2cBRJo^Okc!fbsiahz5Ow*?{~&_rHRAG+ zBhJjXyGhDiJrHt zga<8!+aL@>6jmh})H|ZsAqi9`iZaAD6KR38veEJo9il`aD~dwwWSWyMNVk z(D+s6+bwV+t1@gyYrPYMi<8ZPq}Sq#OaG|O(^xL%qB`0(U4|P}@LxzQDNt1+bAhTX z*Yakg%4X~&eC4JvQX@G26}h5QOj)t`6X0aded0svgor{0pkjUK4fYx-V_RAGq>5wy2SD`aA zYBIOPs#j3s9C=1*1x>6}u3YrAw=XjJR-;7QI-D}9(pDin{7b@0ZJ<(~$ddKWyl2pJ z7F8xu3l>#Mg>yiKo#u_-Sdi~pF|SP6sRhuwN{Tfxy;j(e?MdN#Q$nJ|cWqKH zR(AOc z<^OW9R{GA(<*Jk`Bm-ZSlYX@@Drp;_)8gJIK@p<>Qg66M=07E-LPGQ_mjtjahpVxv zunsr>yu)yVWJvIH2GNC=@CgW5Qml=zsdx#25D*no<1Ac&igE-fZ+($lGApKg@BtAWtiy5Ii!x zYAoQ~W`c|aTj_L2T`X-Azd}r*6@_o_Jgt3!s>z_e#{U*ri8kZrSPTLuf55PP$a)2prLY6gunK)iBRezT?gP& zU@zxupHIq5H6Vfoir5ib9@J&JD|(4$TW%h=5=!ZvjPKK3PAzyRnl`(QgrkpiW7=-A zP3Hjw;V2;)svrEj5=)+uv_c*k5yX^w?X4Jq+?dMVd+I zR8(2Bx5(=KoL#doi0pGvo}(hGt{#Wq8D7FNEz9E!$o+6F%gQVB=Zlfmj$e&MwEEyf!7lCUb1ZsQOM=D5{(if_fxMnp90So=XFC%0OpLtHZ5gehq<00F;*F zlprG*b2yQqOJT}xmNNyH6vCfz0g z9<>0h*Yh$$X^jOcVqKg8F|)H%WQa^6u!J>S7vW{9HpQB=j0Gu9{_JOd0Hz*~-op-I zj!Ntu8^A@r$GHy*c><$wzQ3PaLw=tvP{YnB+c#!;Io<<{Gmb+PMlt7}DMomZ(QdA3 zAP1GIT9!INksJ_VE$SS{fhAcK#1piK#;C_Ow&fJC>2I;9cWlswU2w!$Rb@Hi+Q5(X^_jYoNjz^=GEk|UNp^5Psd~X*{Yfc4ktwgQPkF8 zt=D(&N4l!x&$CcHcX)Dlb;J#NKDzs$!L$ThRA=+5_{0jXew60Ixo}4lv!xYzK3WCh z$-1~{-}Bd~osPuaZFCBWkssxI9FT_zT_i{G{uND_=bq!jM9H$_W4cuCWm#s;Y;>q0 zki-OBRWrp5D1D;Gi~}o373Lgoj;QrjMw4t(Znx+{dr`X#p=-t&2{ldN2AN_ys(Qt& zz>ZA|(w5O;(I6s#4CR<}0E>N9--x!KQ0I$_4T1!RkRXRmm z5ObZA`2FsBf=#6Fa|B5o<{C937nHz7l%X%gvBEk^QjY_5}14h zah+vsNwr}FlfLN5iB>Iav5|tLbjqYt&bBPNxMPzbDAy@HRPjFRmLlntHv*jFKnIBir*$MJ-okA34K4#}vv5EmetCe<=v{tN%Tb+XALOOLOYN5CvAs39j%6xu$ zx*{k3*L;eLliUplvQ5-8>i z68kRO5U!9Fmyu7`NL7MMKm!%J199(6DiVsa^s!0B=^EQ=xLuVd)pDjf zc6N$<7_Wbvp5+OzjCK(#^w22_wH0T%ks@1d9XpT%QxS=@jCJN z*S>7aDe)^`G5Ykmw?FyXl6!*lw_mwqc5QzCmg3UmTcYyERv(?+97E+Zo7q)SsW-Y8 z`n4}F>z2yPq5@V&rGA16*q?uE<-B}hhnHERa>J>7dAUqfmhj}f)bmfn%SWv)72mnl z+&fi|W;gGhzWI~%XnHDMUgx$$yxcfbkG^a+g_l)a+Vt%F?bWH(^ZC!cbuZd)`~?Y- zvtr$E>RHj^1Z(G0fyH0X;nK7~!U9+>J>r6)l+9Eq;S?zI42gtsaX55UX9?qF7~Npu zwKTr8$VrDLvZ%0P`QsVKRGF7MJu$;=1(Q3KwOWSh(ft_recUv_3yf+n<(~^aBBbbr zdZzys%e{I8C2p2lJ{_y2&|!+2s`aGaw+zg>#~)1m^txWmg$|r%o)+E-*g-wJrh~YM z|9N1Ab%_}sHPO{e;bID2D(;#2ZC^mXk1-{U_H7sxWVnZsW*e%#p)Dnpbq8A7 z4_Gc({mX2+S6cl7XN%f$>dxM5;gem+a@T=cM6R~NL3BTQ<`KeBmci?*dvZS zQPVM>tQDZe%V9Mui^$eK$1`cAjnTX*$9HMfpVdp&k&iZ+1&tz(d&PY%u>0lT`5v*7 zL7I<`3Rp*h5y{jjLFMp?e!5}Q=F~R_I%r1#SB$~S@cMxD#U!2QE$9q+0rtzXz zA`2@_YNV2i80W&b5bnAEQEzyxD~_&HvxyiAgP|z$!RO~+t?UJ@h2K<>{r3*BRmKYKlNf0 z1Y`i7iSrtLf;IQtkl-}>%MlMSfl)6Je3;Z!nCqFG@Bmw32CG;tWeuhLEEdZ=oY9Ij zc+M%aT0rnub&;!Wgwj-0SR+^#{@Wi%n{;Q)vl>lbbOMh=b%uutO5h6w13r#$nXzi@ zlg-Oxn7YXVLva5LnOlkB1XOesGnC^ej7IZt1(3sT zIFK8qKFw6h270p zLKagVIMg9kVD{Ufa;-43PibjZ!-xqzY+3TQfbl*bum_@98JK+H0z+q+d@jTz{E|6r zbJ8q-sMtt+x6?;=y8NS=tp^CL7^d?W9>r!ZNAz}BEo3r&&UKpj(s$=bokkm$;lqhx zG}2fKH+@^hdEaUiVqwr3iiObHIuaL+8inAiO8-i_a1#lnei4KO6V8l7lQ(Td z)xJb_5e!`fJgo?hLJ4_6DUp;8N|Y$C#hNFRsoHf6t|@h_wF9Jv^&H)e3}tz~+4e-n zM9f@-5C0iYYnnjGr2MJZPB&zU5y2$ZO-U8&J4ke=n}!sq$BZkGP(XjYU)lvFU0~&D zXO4iNrg7Io<3Z5QrrP!NiI=xI@nqWqPdGmg?KC_EM@y)f_7E;IW}+p=7iEZA4MO;o zC`E_2O1hueSgF|sRSI4Ub7qt#*gd`tq6H_(M+{}^zC)&gYO5^u35WxLTv+B3BdyK5 zAJD>-Pe(^b7NSQG(^Tz*WUjoF{Sy_5Iy%ElMC)C+M!7zOwC!xkd5NYlwc2|Swg!im z9oIpP$_d_8;ylz*L7cU0o|& zPA|2!6nc5eGSAf#0U*D}VcB#pxp=6E4Q3iXK1LM$Vw1dR>aZ3}48iYTN& zYK^V*mQ_;xqd =T3C$LNZ_BH=-W>h*$80x(hvotC;rn$uz~sZ?+v(YRKH2xBzKE;#z!qb~9>KC+-d zb;Xn=-pnx)9W_LriCF$1pn^!9GiS)vL`7|IbxAjBU6HdxMjcLFfJ#yKM8=l6&)i$ z+xH`)LP#hSdYk0Tt+$lCZPb+-=%j}#B}3b6eSj5(CLxQKue0fHM|GD%$DO)pg4Kl9 z9fq}BY}<%H0M$K8xS#?Sbw0~FmZ@Cvp$3e#KzW)Nf8Vc@fKR{QRh&SQH3l_PtqMtl z={-`yD~womsUOrSsM{*^fKB&wXGv7p?)>Nve z#U@SE8+8r5c6i~}MWS9)OOToOsN+PZeJqxVS|)hM=&HTqqD*EI*03N#@adqPxHqFl z2yLWNalS3^>7JU=oeh&b{n3)q2ZoDMl5+9(;Djhc6I$a##u8(ew1xr?#Ax`!)0MP@ z0!Q1{JLHP&I7G&>3}5|3Tj%l$;keCutH{BFW2K}z?#1nVsIqo|A?d3n^}edm=~e{E zG9>g){w^%K;~-dKqq@2U!_dFVJr2=QO^JIr%dOoVng9u|s-|k)@Nz3DU=3O8bq&X+ zFQH?zBWYR8vXMkj6O>M7pe{Y~;MpWADX+>POu~`L72%(JKnlY7A6mkfHj4Z>qtcv{ zMk^`@C(6{mN%YCVEePH)I=nN!>I>og7N^BLnMYDk;hUoDTC{}YsYrX=In1~zLo>cKz z`Rc(w51>KA;!Nc_kADG!hcheJQ=szVvmtDx)yo2zn5#!*j3;+P40R0a zc!H%W|D!)Z_xyRexn3NDp*!7l<_fENa@%@xz!vj`p6=}%V~o-qFQiRCD=%q+=hO@` zqADo)K*~RXym=n(i1n>a<-syyLGQ$l?Nm?{YeWUwNI>pak~VP-|H*sNca00Rsk3Xv z?!8#fi@U41QJ&b0lfRQygj=X=HW6%5fd`&B2un}ZoJx@c1=i?Z!WzXp_A~#X3W7H< z!)fgKOnH`nx|St9LO|+97{97LAP+VU#`R119pYt||1kcZQ#n`iZOyB>+#9Odi<)M5 z9le;#TXFuJycUn}!NV8wCXN}ey5C_KA0E<5I3Gjh=WyhE5kEx32a;(};|D^Qp@Ki$ zb@xMDK6l8Gvv@1;a*{*_ z;L&pa9QoC2@|D~?jE~A|;w7A+K8LF!gwKsv@xf!Y5!WlGPm!+~+~pF^i?jIEzkv!> z@?JDUQlmYIl7JR4kZ4QFb7kT|jVV=S*-NGvh0AF5P*85*F`08*nM4g}u-#)EV?3?q zIHTsHs^LL3ba)Vr$0SQ)h1pFlU03!*N9K=b`x9BU_x33@O>lGcr7{#0M z_Hr_gYzd$OJci<3~P3Q8;%Q0Fl2> zS?QD;s-#mCs1&-A6tnN(B}PwdW|HgGL-@zd1j%`fCzC^S%!)lK%R^R02dBOfnyRa7 zWpW~74jcK|_>h$WYItB;fLj@Bn5Rv2s#*GDQ>P*oLU#&5Nv9AHJkc~nS-wR@w*%x8sI3kpoJcKMDhZ);-$F)$iGm@BYX z^nxYasfiV~i%=~Z^G&q$`5MYaKo^h{jdJo+o7(W0<9m%B^M(>ygVO*Ql7dGDDM?Dt zEwH#^Syi&IbC$i$L#NJ)MJ>r9SDdfG#RBjq z3bX%(B@z!!I+>i0vY?>Wkd-4TQXi9`+G8k)Nl?_FG~!)iR+gNLm5q?Mt*w=O9+`b` zuh~gnaGKNdu_P@`)$-5R0~(F9F)wJ638h*=r(-24Xre0SjB*9!)}$D@Gb|F62S$$; zMULz!Kf|7Ad5)265p;#z!d&io@sQTAQ<1#TR2`&SKxvAG@QXi*q#!9tQQ*DBo~cT9 zsK`<|^_)9kYsBqAxyK{YIn(^)7(&Z;$CNu2wQ8&jtn$(dEVy`^mprUN-pY$Qi2eXqI;D-5EIKS>al#lo)$kNb z*mz-kkF3b!%A%g)#I^itSufU)9`R6_9wA@3vmhebsfQaZx-=t7UZ>GViX3`L>GRwTIk7-qW49jQo&m(@`-=JgY#ltUR02`uv@HRv>~UwIn{H| zb)0(7Efskq=v0zlhHeQf@gQD=zFwz}{;CTGt*01m0!rm-(%yItNW2&CU`E|*(dzej z?0$#x7;Nx2{E-Lv`n7(CNAA5?A0_X_`g!>szP4CQlgHr6d@fJH#bWI7E&LA8cd1}% zzVK$f!Q|jEXr@>mgRS=>@%h`IyknjBVx4>-o6dW&;{_^j%J0yrEL-oz0jFUpgWyPD!3 zN?v>v%<|0gJ-;Wv<&s;TI>VDOKN57w3y$3o5IM_V#kwKOcf4KAeF z$FYOY%SApub^pscM&L#N)U;A)b@I1Dy$&^Juf`@OE=4y5z>1o~PVWH-fAj6G=4-^# zzGsDuii<|jj&1^6M%W>NvVZN+0>E7ttV{HXcktdF{9T#ZlF0vij*lK|Sb{`2dK` z&NNI;%1;3A4~iNrPf}nvPJoc5U5<%JzbyaXF$cn=RYRGa24Ev!ms$C!UH8(NqL|Kv zrKfZ1LlKS~OG@fW>mC-|+9Ih8b>dsE(xTL5O;#au(SBTl;%SHqkDv@S7SxVEWQmxYPrB^aygjarfBDF4NoDEQ!Y;pk+N^XWAI&1+T zXk~S-w$LDHBI=~mgReKFw6_!w;p2Z<$FT~035NG27`*sxhl)~r-dBKqFqzM(?`(#r zwSc^b-XmZZflz(oZDTGWT`s`?u z1Ir?D0SyU9H~H!?SqSQ-b!@}j0#ZWISGtk%VQjalVt}<1ShhJKOEAu)fNyv{=@j48 zR!+`VlG3YO|NP4;;6O^_;QnKx#vcLGs~)B4$4z?~4meZQ)<~hyu?zgs3+Kx(9z=|4IfJ1p<(^J#Rl#Q0eI+$VXJLgR>Ao#zQ1`2R14h&V z@&ZWbnmf~|ov#L{vnr|q%^7dK)2Q~ba^BR;0{0cYDv{#{7m2J+`VN?C9of}N_ZqM! zWn;cEM6!C_GD9L)#WdP^ zwaiXZcTh3$o^Qm!^CA~>-bq%Q4tS8&Z9FY_-=WS-xWyA+skwl&+l=wcc@9ub@QSQ9 zAg_6;AMNP80~Y9WWQ=wH>f!-&EEV&wvcW87+Z6+*T_KRBAl(yIJ+0Lt`rrenn@dv) zeDz<-0#}E4ag4~b0Ka?*|E_Y51O(C zR5%x+M>0?7uDs0*A&&JHh#JZ8Qo-4>05E)T5*l~kgf*-#&6GH1>s5Ga+u#O15EneM zN22%ijU$yMQ(eQ)r}bph8lzdmhgDBjx%Pd$rfq#)Qm6mgq>XrGrwbCJIbw9T_>4oz zR}R3`Kl0#k0zvxb-=F&EO+V%D-K1JUD1ZPpgrl^y$#KQM0vwh>j_FFWVr_lEz1~5+ z(&#w#d{WtX`}7ivisGmVZ>L33p6w&e!4tv1hogi8s?Sm?wv?XtVxKqyX@hyppr&@o zfdF|uYC%*&#DoyFZRkxC1baTU2E*J> zTJ>tj*HmQ`CdZ5eu~YWfz_oX?~^p)Eo8s_Yvrmm_C0d-%sOw; zI%G~hF)L$b-fi0Z;FBVf90_7x3e+|&e4KrBaw=>$e88Dhfxs4C3YKuaM5P3IzxW%} zQr2dAd?-CA|LdCTm3AAU2JZ$^b}koc4| zK4~TQtcp}2^0et$)JPrRKcS?;RM4fB| zWFtGQ5J_|gPMu0?ZPbdSpj`p;h~>rSmv0r!sNhp>h&{x>fuwWJ1^UK3Q+M=gC~b&T zW$BYP8(Omz=z(87(=A6S!f-_?UhsjUnPNhcS8^3mE27p0XNR;D(p2UbCEQMM zfUkaTgKSBZXHWU@wQQbj6CZ~#K}mm|*+ef{RE-Y#G`UDJO|~pVVQpI#JCN&Yt?gZ# z?-&sj!MjO(3!aoWHDPMZ2L>u2q`azC(NazXoT_2^yf$67X%RR7sE7|sqh#uKor+G@ z)U<|}l$s`(P&#JAzj~@NR(VP^oxB30CPXf&ZzNh3&<4AVLQxgvri^$uGOmUVRjwgg zhCHYy*-CM(b8kwDGuKtGN>nJbl=UlVE%|gzLCkCTQhcF38Y~mR)R+;Qk>x%cL`@Nz zQ{a)a!B*l0VAED6(M;3~Dh4rP0yf8hUBW~|_F)Wfe^K1#u z<)x6C@qyo#BPau`8y4GENT}N&h-8u_p|-*s@_|snfBw%}XnYqWB-Q$=uil}WsqvLs zi=>6ZfO#nw^p1s?gcr1w+DQDhO)9bwR;m$|6i|oMo@Z5@#A2x$k!;1ZO{A)J4HkK? z`G44ZA7J0ItiJ2~PTziW-^*ii-=i5WUF~G|<Ea}=qffd4+d?k7Ri5}+gxaMjAD2p96?#bgNzgdDN4`P%8;u2CYDN* zux4#`mRfOBKL2XGI_})~H4QA?p5oo**#gw?4R={3D_7FR5Y4}lqO_1g{PmRQlh6v`MCL9=$&bz_JnPv6C?zW1zDy}>mMs@nd>JB7dDvqY|7BGo#Np$!5U}jzs%-)h(4Ib$&t3=zHl?B|WIkjO@K3M?@O|^>&K024)ubLSD1NCp_|O zcn}T$#o^$6JmMsx4tb@~7U%0~W2;WNO-Lb$k#>eMXQhJ>q0V>IW|w0V3aV|-(u!Dkg81w z-dZV_H4JcF9B%=xd&u$*80A(bDG{RQH-fA{8a{TZMS zp+FB5nT7)nky#fZ_0_ zk-aqAcJs~JUBsS?{XO>392{J~F`mBbotVz9p)Yx5|Mp$>&>Y-H+5KS}h6hiMr>Eaf zY!CrwXLq((v43{PCZ&Vn^h?fU1l;Vzd3VLYeY0|6pNzPYuVZ`7`m zJM33eh%h7xkynP#{8BunH*wO0u_5S|Z%OL6Jri~xNOQ&bB!38azVpu94hSO=9 z$hgR2$L0w+o3E+LLrQWKvgHwKJbsnt(ki$k$5Wi)*rSKTMIEbkxDY2=QE4v`Aw|Ma zf=xnicwZW?QD)wOn{_qlJ9vuf+x}hHcyFb8;O3L3^gC=f?rKjf4`)vaeM$fQFFwD; zQS2Pl?0Hu%p|_zP+QAct6LSLX9>_vH3bF-&{X95!JUwIhA?28UJ~(*k zrPGEKd5XV-+o#u%)`k%&KowJXih9Hp4zi~lQ+mu}Pen{^)tX|ABxi&Vj&Oluis=L? z@-r_ckuj#yZc)`VsJUf}#tc%4b$GHwO{#*c#8M1GSLk; zpWM4^LE}h{O~ZJq@dO_+?sw49uI2z8Iq`wz%5P7@3)4wxqj_qg-A4CJx0^g8JRZH# zh(T3ZxDkPR<7N}nMAmT3i`lk3)wGY6Gbm{G^EBkCe==#aiS)-&eC0oZ#1y{CSObR5 zYD2dL8N;fv&oaiH!IrIaAmsc- zPGxAfzDLxGDe@<$;dFv_jD4dFqtj_pOr5F0kcwGDxqj-WH z50al?J4rn>O)pE@-rc+R@1xd@>GVtPE2bI|iuX^CCgoF{AjXt3zFpj`rSw>h8D=1+ zLB7ND)ta-Da)St@R@!b z>PQi}ubKG^K2Oe5tFZ446NKA?@x@6egkj+9zI9BUL8X{FZR|srDUOvN)$)!r>%lI@Jp9VE^<~aL$1*3|pGpKb7B};8#B3yscO#8tKkJS zG-)UsKxJKu`Wk;t3;M(1+)~0dAnZ>QOv8^dPo>imgAb%L9S}B#$w6gX1P_4^L}t2K zO>b=lA9;l4hFPq0*&|Z35>ghaYiZbmY_>6|$0_nWKDv}V`vl)ODesM1N(^CoDZt@? z&8PfE)?(Vd$X>tPy~tH9?_TWt4%s>QfvgYogYY@$SXg zy~vI_?_S*gbNk-C_yq4@l$3*f_hP;^@rVHL?>m%~+yi;+cQ3O4a7W5pwD0ic_cDQK z-{E_2``wGR?@;et;hFoBzNo|uH*tl`NBQZ!;ppvC8&9X<+611DVB_1T;Z0|{NI=SP^5V2V zirO4OIlv*<-YH8@?OR~2tf_!`_E=o;VLnxaa<#^5`*^$!0ay2QJrU@fx}LRO+Uxx9uk3Pfn_&@xIh}9T!LX%}` z%gS;fEaCspmtzoAJMzn%jN4JWW7nh|{Ln(XfX(Jlf00`^=Wk(Ghqp<+NN@0m?=)Fy z#i{*(8@@lw_f|LTp4#Z17qimgvC#C%r%JN==9n(EYMe0C74RX&-AuP3_sK?YQ8?i< zHa+_tHuPju7x0a4xTcV#*ijUX*Wy7mJK@3?09EQ|F3pZ7(I}^LLJ$;7Nwo|aH?;Nj zn`6%W$2UHm7D>&5^ajbj;d#-Z+7Z!6$XW372RHn}Dn*!Hd&H84eItn741eK^B>?HV z<2l^TwTq%Jk_vq1M-6bEW+wqEdHQBkpgh$!cDV^=Pdz7QmdC>R>_@(L;-$XN-`lW7 zM0_$)gueMGm9DAL$F#`yKRU?;B&`6rpON=0MTO-^k|TjWqf8X)0`e0MAsFvxksozM z2aRU>l;w|lUz@cKn{L!fB`zA;Er73e#e8@sHv$lF84>EFn(}ZZ+zl`hkVl)!(?O@WG>AEfs6OXjtJ%#H}9Uew0BB3VWWBv}rpVdClx-5uVze|mB--W689WnDPQDCWyK-4%SI&Sxv|8TC^< zbBbT7#yxL33s>rZLrjLBic^V~e%}3?{~cGzA`HzAZqc6z+2HKZ?TKS4qoCM8b-d9SM~o&f zXF2p0pJHfyluSg9EZq?AsktGAph2$sEjZGFj~+jRYO0#qWKvGpkfg0XnS8#hN-#)4 zlo1UINhfU!;0u5nYvD1$)qs$sl*TPdU4{^Nh5{EIuoLRz@Du+tN1EnmErwHe&K*p9 z;|p$wiyiG3!c)WNFhXzOsLh*km)NJ96BfS}*6WiR;)FZx9h@PtO{W69baR}zHHie$ zv!?J3K8?}1j1z1c@f3{1Fvaix5|QJX-sE^DKHyEVRnkPF9|hA0c94`ob_)G!s{JPp zh#G{_vFbVQ1gJ~exOay!r1{}lk36sv_-sh`o^t|*2PzoH{y{kSj1y*i81dz}8`BT` zHaO@61j0KW@Ztg@2#;TTX}JB2E_`0%oeoS>Sfh7O+$5GfiT{S{c}9sT9U zy9%jHkPMT`FyCZ|qaGlgrhQr#T62V69eiAEQT8@Vw;oe4+#Vz0EY;8#-$^w>%&P}? zCa60hU+vLMCbJ;6+^v!mBpRf{aIX#fFZ~L`$=U&kRbS_&%gcf<-bDDw-$S zIUbZXN>bTs>%d8o9vh}f7#=MxQnL)pv6>)_*IMWlI*kCg1BpBEtqt`vwUM?OO+qy8 zO%_$S%wf~0ap1Wic=)pc)dz)nIO}b}lwHqZ))bvmr!kg}$TcIHP;qNLjpU$bqJji< zG(q`C?yKpq7Ar$uPQz^nPfRof7K3=vFv~DZQqxXWPGXdfqA-aZ1L1DdIAN6j!Evzw zm3Si_C;)8655rXRkD#OdsnRO7Y*-Doz{LF!;BtfKGU*gf3yQ4h+$9Y0sF7L}bT#UA zaYA?i8J5j4$I6-NKvuQb|2y6*G%3zVZK8PuHgWHd_dZqw(+!BtubWRv1I%(orHDk; zG)zcLsjS0IjavSnjMzPRL|bzXcWGvdCR!5CgH{7NwB$hTt{rPn4y?9*sSC)5LGa1!RzZ2a__ z>bOe-)ozplI`P{O%8vJE!PyIY?XJ$#wfzP(sd2t-)@<0462jZK2Ob%@7ln#Lq|5hC0k& zeJR}JsmZljJ*tzI1ZurLCQ$?_H6KBWM}*DH+w4fethCh+T2bgM*1(CqnV>{9=sO1m zQ)DxOI~daQ!Uct24La?Ah$g<#PQS3xuhg(=M4Nx35Bu*`co;WB@cR7$6 zs!S3YF)P7*ludk~Wznnx33sXig;(Q4oz!%YPn`9-22O#%xQLt?B<5D4J2;^B04nou zOxOp5wJdeQY!ev8L4;P%sy+9`f}0sXah-R6%DSHsXyDo)?&4uFbiJJhoqnIL1B6Qg zc|_|LDE!(a3d1-FTK-VJafREs&P4L;)X9)3?kI;xx3MCNF4SiqR*4POe)jj9~8hd2ot5q>p@qSRlP49MIEW`SWV4aSCX7yh$vFpqZVGa)3xVmK;q99rv>mxC@X|8&r#Bdz5dg=gUWlb=L{vEbJo`sZ$c z3~R)D!_Ddb-U-)__73?6I_#M+CQE)jjoPi(i$hlGu}Pd zG()w!N8GP$G;^}uAMbGIkc}+UF#LYhex}76DK&+TZF=E|akb@&@JM`ZnvSPq;^(st z+L0t-iDvv!+bU!wLzg~0)~+>7;?0G_@pJwH$+OphwJqdNu>DEbwukVs5&u~&MEN=u zzS}-O_g`{xKuvg-+LqPC~qV0cpS#(Kp)?|{*!;u z5w!OlQg9A$jlA2QxOe8Rx_OJ+7d$C?BkJ*TAph0|8uPNly=iY6o<=b>Ob>C-U^r7r z7IR02AmzuGZ%QI>zT=8;I?~O)yK)c|zVADX_~}gdx|_TLVnPasmxt$(pg!PV2{&;U z!($I({~T;B$!YmnXqoi=C>@UgIe4zYm%$#3R2sKJn<7D0=2}xJ7U6y#XR3 ziTen8LdQ;z)@XuP#Yr7>n;M0^y;GzxPh6{#TcuN?5EsN?qx!}+jz?C4wJCN*|*?d?sxHBtMtwC|9dH{LzJ zJ-G8Y&FQD4_O2c4#_wP9_1(r^ zjK{SLOB0FSPe_|D-DKC>#9OUyJi~6o4-tXw9Q$wX^9D!Wws(6(m%}?x@&2`g;av)A z)MMev2B~Ws_Ltys8e*pguBIOe%2AiX+Bfz1M%%S+@(a{Z5K~V-EpD`G#OL1Li_(wx zMsmc4ecpI?aEETiXj#YtUg1DVXk77$&?%;lOfTP1U}Ta*#WCRQUewOM1dAJoNCHz2 zF(r2%>NY#MbZiu~`BZh#>P3{XXNUl4OPKS{II!rNP4EUsg_NWqoHwu@Sr}a_DZFv9 zwP(H>wfwpSCZadJK{0hAd8o=SM^{XZdM_RXdymsYyf5SU7_?&QY2}J3k4E+z(lS%j zk?Nc$p5Gqck#1Uv5mW546a6s|+5L%=sAX$m;VA~COYDqo;P-B@)0`w%@l7DE zr==A;g|X-kF=b-4dGJ9vd2bu{r4&>4JbXllI`(kyjgO0nsMt8B7y!rSbxeh)hDHuG znS%p;#I4hmqCpn&t36mla(4pUm|Bp=XB?)9?Al%V8G7j&^i2Br2Y|#NhaF^Cq=ap@ zS#P~yG@7n8@bZXu=@3(#>l{-EqoAaiLb}V5A%&PC8V~~)F(tzpYoq+T|K@SSnB-|Y zx9N%Dfv+80^WI9dVh<~xV*EJgm)eyBCbrz4?i(8`8#LzCu%xK(=?O*0NIUcrH*IVy z`)+ZWtbr7Fj!!?0tvp_Eq-ma-kb-H%6jY8iQ4Y_u0~sECA~+tAGd8tkWT)B3{d6PQC^`PHwS#!=ukCqU>hcS0|bvVKxv-BW^>~b^%SY` zp?G9!x;JuJsziM&kMu-{QAfDtV6w3|O1VaU$X5ZoI7G5IM`kb^At|KL)aSm2Me)`{ zA~bZ1ujL}$y7||eTKf_1x)3amDfXhFraYmfQG4wgODZxVZGC(7T zut>0uIv=!sX7pn@R8s_CeZ2=VwgF3*h$(T=nc!KL>n<+@%&^jtf^^f~?PGM|V=Vim@!d}zP#Sd#gOQ4iCneHbRys7}L%Lio-^r&Tk-mm$bTOcS|1+^FBB!Z=Xf z%W0&Z2{bhwNiRp{HizNdO5>WdGvL|_*$InIIla=9V~bNmN_eITf)u?C)Q#dXa?Mk? zq$&1Ll!~WX0Fb*)sPtK0WNrT%( zP0hn3e^CwN&P5PR9QW@ys*wZ8+Q>&Q&&YBI6<|si-tQ zq>|FiEdZZ3g)k9NIyW>t6$CT7*re*?gD&-QSjZx=+aeXdQmjF~m48TF1Nox+){Db% zZtY^f;Y1L^M@A^_4KL_YqU16FCTqP1TIqq0)f{R>-NS_DTu0cr36sYu2qMQJkv^Dt z00`tM0c2@o69m6HPv|&hO}Nq}$~L`<Uj(vx>7)~Gfz|k4G_WHF0-og0RA8x#Z(ZBiJa`z&? zv#fV7GWb`!7Z2FQ_<^rr4`fQo9fNSq?>mGm-{5$>^ZV0%59IU9-HS(O`u*v@#cw|6 zzC)yWCnYER`B$vp@wVe*>GF=;y?FY*Af1@BZNiw|+Ug9cd@!DSH#O2a@_9 zV>NrOYeu^MRy+Dwj{-TiX%uKZ#cBNDG56^H0T%)pA8pv^x=-(5oDPFHnK6yC%&AB#Xvw1VlnRwK*p=>F?7Isx%H z=2KkKr=+JY32FGj+^X|=27SoUW!ikn-;FjuTF3V4g48QZ|56RZUY#{5JRBaG`XeD+ zwGiF%4Znb%=Q@FN7l(j3x_M8%qd)qBn`JjK>IU1K%DI{Zl!Bk!5w~asr!8CBg&Srt=_MtvyMnum3dB94MvvA z%p+{)U|P`|9a#x-YJcJ}J*{Cs=5=2ENMymwt}5=qaj%RjYY1i~Pu+1^RFm4r0X&;! zX{wb33H6?QV%VI1{m)ptV=bvf-G)hMCsc9Mu**}N3*=p`xt=E1HX&ZR&wRZhgc}g( zS)-D4ed$h$?cP3TmC}79--^bAYDQQqN+I6&3yqa;(t{!$<7-OX z!-gm2ZpF=UCwT3CcCaZZaTVD4YLimsK~mMtoIkEW)t`D1Lxqt3kq z?aFwJTL2MLH)%yg#F>_AIbimVG1|YVEFp~E)E!Zw0LLaHEt4gm=Yjd5o9zc^&j~p( z3qpRBa)w5w%EvBq;I5>pT-QS%M}jTT@=7PIZPCiYYkKnSyX}u@7oBG)eM$JU_{OK= z=}mmJkBFAFtk93#6gwkfh_F6Z!~$mT7QR0mzU*%YO(Q#(o<3miIe^p~=w;;q8~1B* zkk;kHxxFPc%7L5VlZ%tV6P=^9H%xT|Fhirf3COe&b;iQ9_hbJOEQAyPn`SQFr+E8h z+Kkin;j5wB+q4%UdBCglnocIC=g$Fc1KHEX58|B z57a(VxVb!(lq2XRg)M8mEqK!HvHf%2qBo2$3=hb*dgTlaJdiO&H1EQ?Acct3o!x`e zuO!6TS!*e*(o@Qvu&80=1K(yp+Z?=%62raW-$K~q)6rcjScGT^KUa*maboItYB*QW z-JXUAASs1K<2|lvK3CKlUz_2Z_r^)(b0T%~$MMvM0S=oZ0g>Ba9LDK^u6f#cpBgJQ z!ER$?BS%WJjrXAA**&lkPkk5+r;3Rzq>L=jB}F#kXuulYNck33LYMYnoK)Uu)JVz$ zYPW`?`(#LATw9m6D`kvcI~cB~E^mi$*m!#jQobIpg)X4>$LT{5%(@KhyT?->pk3+m z^gyqTSoDuSUVzurt9#(H-?^sQiz=rxl4rES$7wBUc~eo9eI0y@RE6A}Nl8=Vi6W*x z^bNJf>2yxe7(Zvm3EY!U=0#Odz4z6Ee4$s+N64oojnBSIK-}CkWDmpSgluORe(P~i z@+<4%Bv~GA3Ir>p+)p|A zW@@RuOiRI=bdKXz*M%Fk85{ZINUfpten#s;IbRxNoFg!aaZGz6>UR8^6L$y)65SO)&W1?9z~c>67;3y6v#Pm5VtI+wkMtGwdhLiS0YtsvCx zQu2)$X9Lx3g3o(Pu3J5e^`>K>bo(JbcFtPIq;oENYKB3|19$ZB#>-l|?;B*!I&&-z!O_K7oMAq>QB4JcZl)GTop>|9 z#98)>DMc?)_^8tf)^w=Us^A>*Y@BLm@)>v?*t!%D$4pHn*NG`aC_cUc5<0Ai#XUT} zKm4!X;Sw%YU)ow7^3!9sa3YSO=gG?=OHt)4NiC!492P|l49x)mws?aDgBG8jTpJv1 zX@o91q=%g3n_Coj)!wN?Ih+)2Q7^nzOH9+0r@6=)lRIgTo**4q<&XXQ(oNGw zh!jDuB=gK=KzYWMQbc@_SZOj-)=btqb(0HPCsNUGcQeH9so;o8QYx!Pl7Fr)Q3cK1 z&f*Nz*?B-b4>q0yCfyF38A7a0idjy|{;j{9xX8fHHmk&pqpajn4AUhc*B=H17e^Ol z>%7odFl!nTeRghQYN&z;Uls>>6`JyH=DESTA-gFE(l9{=3NocD}8t-#zB`ZCwY1 zp48=03tWY3{dUTG_R|AdzZh!We?W%lIP=)(uRNXpU+E{ zg*Pr~7u!V;L^@UrmDlRoV>#v<|2Rg+{Wd*S48oL{~7N0xHlK;EDJTi$vMQ?LbcvN$tTy%ukr#@ zUUY=Q)_{})Tuf&e#+Or#Tu>&uL%a^onJ3q# z#wjv8kqV`|8u3l?{o(OH`<%rKM1m?OrXHh9rVvpO%@Jk@UXFRPtJv`A1q#&g&2PNm zt0;V9XH^JEH(y+i+O2y8<-LsuW)y>ccQzgXOc_eLzHrt^@Q8W4M0O9 zG8UZru7>^~18_Ztlq=(#cusn_ht}k*<2@0X3cXPeE%-Gd09rb$u2X>QJ~_Gd()XjH zHU@GB!Ul&29S-88iosB1a$D zEfjBJy*cVvW&DS#7{SFJIC-qDe!Oqs{7OEq1QS<#OMxVHFiC@pwu)sTShz_k)8@4$Q*^(le zY`BRWa@PxP9a;knoJ{#9C^qDRRxYS?%G|oz5}HkmD1;wu5xilMv77cCcuG5)MY6{Z zTZJ6IDlb9%A9J($sfG7_V!~YtV_0N?Bk@5^+pGRgnl(O9jq|taj;^!>WoYd5Xc>P%QGAs7x0AP)sG8eL-U(gp4b`CYzyDZj5ZkKg4&?K?MR&@hk8A zcDXE~uZ2`BX=2ccOkCQ8$5sqmhNtWy?aS9b@?ZWm@Z)(brlNg~E^*~3Qz0ECsM@!L zvLd@zJzzXoZ{3%Nhzs64rW|VWwuQv4aIk}Hggm9di(KSREs#+O-4c=&$x>0f6*W2) zHln7kjChDVRn+b}WP`;~8hv_sJINlUjubV0aKJ-f<^1+Icus-`dmHXo|1_}NXab)& z6`N$vqY&XI>8=-@h&V0AtOi!?x+i#BFYGtiFs+fnIJ3f|=cyQdc1)8aB?1r0pW!+$ zDGV*FV=5rCr_6}|y>NKNyO1XxeBTdm2{v^Xwcm$m_(<)W~iH9?s;rjXwrzX!X zUL6YSts2Ad{XYoKGmBybgqt)!WhLauM@s1_lX>wX;8W>G6fl+7=nZXnv5dZ0rk9Va zCq?Un3i4FN6iCICM_*t7s32bDS#>D^p{0)vsTo>O$Wze@Ilk~Xw3v*Xn2$UUL9S6K zk5M!i^o+heZDXNsdo;bt{x4Ic88UlVbZWEm(zhs~hXZKRiJApzx}m;55NAl48~9jG zx~vBt@{j&mciClnOk+&Q?E<9w%z6&{Nu|kkauHmf9h7nLYfg2|dB-8B=4_qmH z<%*X^Y#Z4m94YQHU&T;AH7a9@^Rhf-@@?kE!&HG=QkLj(f+{?rBReC?FXWcyx7vb= zRVjw6yy2BEfeqf%1s75f8F1$!jCyPT^?!)n8l7`WN&sF>%?Kv6sgwhHFnmb3IB8Ib z_9-|j!r!S~erW-R*oX<@3>}y(MO)we)lf^}5`_eL_L@UT{$8YU3Kz5hKUiUPP2;3I z)?^*fDD7o!7^YrQ0Z%zui!3{9!}osqFr4dJO)2>E+-5*%=U5YdoCJ+&i=YX)9_2VL z&XD4kG<_{FV`zMg>pdm*@~9ieDPw^$wGTQg-82|6E~^=trm!vv2R}}N_Im14b>cXr z*kuJ})JO{4HkAqInxv4sKJ15-JlFd=u4Dh+9c*0w!u_$nmd0*tzU}F^yXtyG`=d4S zec%H}%43)HdVe%NSiK{*c3F2R`HtAN6z^TB>0w0c}Qk&;jUi%5_vze?T)BH@Ze zlqyn}pIf)0?i@Da$`r&n7vf9G-7-sKoo+7D48yw~GQr_nCUY=)zOP@a(a`BEp!y zA=}G90SS3LZ4a`3bX39;!b-EoMDH?~hL%n$pGc6Bf=Z!8JUw=^kJ8dG_UZj`&~u{IoS+4hX)|tB@0V+L$0|SR?G!kKRLv57OOW?71TCKOpwqb%Lv>z-XVO6_Jf)30f_QnZ zG70NrAzEj-Zp-^$K~5-{8V85u*OFWis&<=*_&5LrvMX^vOSO^qq-r1lv-b<5F5NL6OwR;7Z|*wM=vs+lWu1Nz=#kPauetgm? z;{W;|F?u)VO7`XwR|EqThza|N$IvAo*Fez>325W8f|4suUl)L@6-z9%HJWFTC@sJ& zb&*E}5<6D;oMcX#)B?JUDP!QZtU?F9$9caF2;6G84;uqLHN53-Bmtw*$pdbVfB>2_ zXGcN!QiWQkKkToVtvul^H4?+VwUMW`A<9S3A?c0g=MHJ9v2qC)g?&C~YO4vw%z3L* zHs+;pupFh6>Xu0-xxz%Vh%sCVPL{}Ld{oqf2SL!K$8=82}B6^VW}~L3-5ypkDm6231YXtV5)Jb z=_^m|!pbyx@Tb2q&BO_EFd3zl#tPyK z^aPoL$2rz2=eCAWK2F>m$&#{I^I$T-7EN_*&}E1j>Ti<;^PI)c^hp@mOt1pu@+%l-Q_P@XHRTR@!3}OA z>0w9hZF8vOBR$oPd>=LM|yGl%Fv`b%nf51y0BC(1_sG^Tt zSt-iMu_z9@F|7H+^`e^P>|$JBwi4^mR<7!kQi!rCsYeT`koJVMs8;LBm!F`tewe(Z z5~e8AZna|)c_+z(waV+BZ{^FmtZ0VMJ?cdjYC2zPwJfiQcPlNsBjJzC z7xc>uoL$M(Tfur^1{LbnbGt3vwd1sP)wKZm5gf(e_h)v*+rACJeI2sTyV1Z52H@pK z=8_q5&9gj+BJC_G3(5iwZOQY{a%Tyu<~u+ZxxIpK;hv(1)p^w-M@B!tCkBut>ofcu z?_P|Qo~hAQ;N3j@w+qdB3!zz)O>RVWuZ?V_4*D$AGQ3sbP&)~tInGS6oN}QqdX*}b zVVU>l3(vq>z*LkP3s5KADU3gn*L^XQEKYv8>a$V39NcJ~iZ5px;hGL%Pp1%Q;U`z) zU=kr!ZJA#ZU7(h!*nqWw+fcnv&^BkbYd+xHTwZ$RsyL*+qGXI1M+7>T=q16I{@!S8 zd0eQ{!JA%+pz{eyf|Oz{o|k|D+Bq&4=t7}OGFwoY4CZ8q6J>&A2fnN}Dq90AREPTL z1Qrkbjo&#G6}gA#4tiDn#c&IbkV<@ONp;20DvqezRk)VaZnWhfgwS)ECV#NQH}M(Hbr2PPa;~ft z6MSPpIgd>e0PH8=et1tNY0^0Di8ftrU{i;Z9$prQ>7Y>F$Vp_$eR&>KMNRIuTjmG| zT_O)RNvxq%m)L-Z%3UX0A_ieQ{TF_XYuf8aVej!<_&_8Z^3Bk6I7ttU6DS?R40bQ( z(`f~wPh=i8!s?nPFVoq_OC2ep&Ts<(!^k1(545+5-HRdhgnYhluvG=YjTWz47v8$3 zT|gGl+R+)MfsKA!_8sz81MT<|#5*^ng=_P{7LEMEeG?UdGfv9yOJjNPo6tqOBm~qG z3i!SAOx4@Nv!_CAbb#UU2rBz2O#$o>5=Ex4F*bF<=0{!DM@Cglr9Xp3-6eh8NPu!M z1-!MukddlJp#Uer9YO;NGO_6(Hb0~m<)f-fXeD+kQS|P&0x=}zC?1>Mh*f&tX42kM zl4msDf9P*QZjwoN3^7Q%wdSWZ97Vs+Y;r#j7{cMsCX?8Y@udP4v38HAy$Zlm(Ku0r<0!Oi=BGaDmTBO zt(lB0$jQR!)@?U&LZd8w16{o~FVi)`#1OPPV!Ts$Y|u_Kr{JEk0mT*sCJCUZ zD-V29xmlGH+_COz;Qa<@Fys*r>v_oV*Z+Y4=?E_lTu*??U{^H&VN-@n?mCTj+dNO1 zwGRE<7yeWKE*xVj)mzfH7U=HnBCgzYNzFta)z)1TfbNQv+R+&^ohmj1@Sf+0C|>s! z963+fQNz#tI|4H35W^$X4)hE6hBCuDC-dlY{;l#s zSdOk6YFaBQ&qVLewebZ(uNOYfsBxn`bdswgW$tXVc!WhO<0O)B4TX?G0oyswi6!VA zkpXW&H<7tabv(;bWJqbf?V240=pK=3I|g|->}vNSb^kW~ z!}cA%<2CMqtly@O9fNOufoUq^R8z;`It_E+fc5WV(l%jXuao%6MDd<5#IA71#UXy=^I ztHwy_Ya|{tLFFqqrVAAX%pFn;|~0SHmP=bAo%B| z^UmxF-9*If67iH0XTe5`MCV5{)_HW{B8d2pq}EK=67Z00r3j3x`igH>2r!i2@ZXSo zT`p%8UoD(*QxppUjr7vzVrm9;Qs1qbNjp3kch}Fs46f)d0Kn1ig6}}iG6)oDQ4ByF zQ`yYs-MC9x3#kj%WRcIt;r&lx{N+h1uW?ZWZfhzRcUR-0s1~4N!Mc+b5fjWqxQn!t zxaWEew96cvx5TWo3qqFqUT{*$D%r{Xp`Yxl10Xg>m_h4*lLAtzFD(SQ-rSOD3#BufgIFc8@G@+AN_D4s)>WG!RwzMk_c9{o<6sxI`MJU zG8O(!YNYsANvKOw>IEhU;{IJg15zvWT>+*Ma5D4W@Z*1iLZq2?rKFG-0LjulRdonk zl_RHmCHI<=8pQqR;_5IfxB{;$k3_U)S=4s97xka}U-E2tl{uHdBc@_*U$mm)Lh=q~ zU1dy)w0C)3l?Q*dS-E+mveUp$b=}A%wQR7r3rUE0h@dIteE&aM%bS%`NfKwsy1eGw z8T@PHe0#605Pf#0jn(2sRIk$RTGE9sO3P=L#O=6p4Dyr0*=2s3SxtQHr@xy#lXOG* z&0Lo$)_AFQq19GzA`8ko%!I9~5jN3A7a{2>n`tY-O?j~uFmMGebj>nVPtHyjT#tH8 zwt4!U)j-6l#GDV^J*uv?6GX{QOVkGXx^Ge=$0VjK0Ywqq1#LMU1*x^;(2Y}}S4@+& zcpOc;)D2ow8MXo?-7aiLdZ=;nxb&As*TK(rns9<#=80&>T^U?pqqOv!V3n#=^ij{6 zDn(EXHaonHaT*uyrgx!n9=UXl`g1@;n#D?vLV=IY1BymTdFABRvZY?}Q!i;|3W?P{qeUC6tP_ch4cX=vtL zmX_rm23He5lchPiTsY@NZAvi<003eXrl3A9J zPy2L0VP_YIit8b`gBM}Q=q#5MI#cVVOQ9xJ*DUBaZkTJ*>&YR=p^gwg4*irVxO zlgMTf*@LlKI<8Vid>D|Jc)?ZK(TYzuGqgZk&n}m=|iG? zP+c11Li`$3@>=b&L4c&(u@D5@W1(>Se!21i1)l#BGZM?`00s15R1!*xOxO$!wGLC4 zgWD7M@xCaaJNU3NM2S*T$g??M0&xI_U5$-nY~77CPUJq2^I{r~SY(FM#e6&wDsm7@gR;%NW3Do?TQ4%c zuwC`?T+fY1t31< zCvqEdYsr=Jp$T!ECM_+`m*#Hy+JMq1J41?FXtl7&-DbXkM+xgOdnPbglJLk=s-lGA?3obE8gVtHS1i?-%tkaVh1AHU9re(%=Bd&Q z#Z7AiM3t^6lV=@Dw=lK%3z~Vcb#zgO1);;-N|8PBJyk*l>r>;RJd|K%#zP-;5fs@2 z)f$7Zs6wzuokw3aw911I?nHy`S$#Olzt(%Q8a# zRiWoap7}c4(QA#Qc0u#*_-OVoKN&3ZJXKv4{mF@Fk;X{|V^Yj&9|AQi5JnfZVb#hK0bZHfs0r#Zng+eeII!+6&gVj#6on8s1R25ySu6&$0WWp3L6snpk zXKa1^*A-niWogu~X&n#2IH?w$Pa$k9D-FhvQP0_`iG1VLb9dFzG`j|C@pp+pW+j8X z-Un?~*|;DaCrG2NqjYI}BK5WkAW{=AJq2K#3Sr8%r^1G0{4;-QmL>57i|}Ojh~!qQ zNwRymjb1ZVbb6KiFx;;-?`YD-@W*@^}83}ccu~Wt9kdL_dvckq&zEW z>=@K;#Y^@;zU?*bUi7X-b}xDt`vqC_;Dc|Cos?gH$}imS z?|)IabdTkM5zuI^v@Ca` zEEH}huj(#2Q=&kh@m+yfnTB?0h>^>3P+y{IA~KuBE!)p>zGf&%mjnwT)u{3)Lx|Ws zwJs>5C^039yo#O9fJIQLUUV0T#Z?MWgzaGX?K}GtL{l*=+8rSREt(TGsrl%G=udhz zTMLe?gv_c`9xOY%8@j)<$?m0=fQ5GLGR4-hoz$DS!&6Fja{|5Y#vrmDU;5Lkto1c| z21SYE4C)j*eMbu*RnQXS#ONTu$diyl)>|+^hOxnZKqzT!tYmEj~>- zsY_KMS6V6w*Rv|lwi!DgHZIQSHG6MkW|&Km20mmM@rHkW#z!edTqUW)RnR9CFjz$j zCl+LgON#@*fY(yA1v_h=ffY;((qy&1#B5%Ox~U*vNCXNwU|~8|g^hr7_z3g~BH=Fs zdLuine$XCw^}Ml!-Qv6RqF(-z^XSj1%OgWIaw<**G!~pvA8Gz z5?YsPokbF@B$q}gG$iA7u<-^J^y<7%b*5(mvMWPMiAd3bax?mcuV4K4XZ*Sp`UPxR zHl!LX1bIt#@+u}IG8;y*SOhH?#isfa;QHc_6QVt};9F3bzfhU)RI~Yl7V~aB;Vba7 zpOVlrzaLBwP9e$<(RMAh z*Lb~iiK*PURmG6K&-;y?OB&awfMrF&tG*+t!P#ijBShna1Wc*p8zctp>s(4xCUnGQSf6J%&=Fcn)l99tp|@=`2wQD2VfCJ0|#Dld}39XA)U zGy^)1K=tV=3VSf}+qDl*sq2a;n@ChEoC^VTk?;y!r(Xmd&P*&EK{i}Wm$km2lHelA zMuc4y7sOdKGAJHOx8#KIr~b7i;9+GcWTkvqwo$4=&@7<=sQ?d_27N9Y)?Vpy+RD5T zX(Wd{M_9HGf@N*d_>7-RMHY~CZKkTy8o#c6@8=ZNoU8FG6<8rO&j`>ua||if`7G87 z3pN#9O&D39UqJ1qpi0Mw@~zE8c+o&WYs+R5l`ggb^(73OHQS>0*}o;ueA1^rfll2* z(Y5Ogb2FNVxIia!zc*Iwe)n?;iY7p+?ZN#yg=c@cGeqM;%xg=_ zOU0Da+g}&l#@tl2na89|O_I_;mwouuPXjPFwHEH$0y!5Kr>xE*?1G}h7X{F5QLQ4z z8@yBz+$@X=UUCCFG`5pot^oNw3m6LGiYmxth{QsZW&pF-DO! z%|KHeNvdtxKWxpvBcnG89=73*)=g}J+CO120A_fn+1GMhPLHY4=-`(-RW9j zHPsO5*hEc=mai6t@S6+OLHab|gMuSY)Eg55AU1OwJ;R_EY5Z&Zt?@}Da0)aP3#dXD z-N6s$H@2nF{6|}Iod49YD=MhON0PHu>X^~oR^zv*4>0>glQ%omwpzGTWRwv$QQ6`t ze^^qT2!)-8K$=IR^>S;{l#g`K7)?9O5S|JGZ)p^CH;KVkFAym+AS(lcmKM#XhNDH- zT^as}c3GeEK`D9Rk#l62UQh5)y-6$Ov3-h&GM1-?X;)EQmJ@benxvqMrIk+6hU~D^ zY|D0)4)8SaW|oysy}qjLwpCQlHn@y{CS234Ys`kiI44U#&!@87rw)i@1M zi0%Oe?OwFPXa$mp{uwmqMqc@3aY(xCM2Y;(W6S z>iJkZXvLn3+;oggQH4KSGlw-O zZ341$Okq1vs=-wjB$=yts(Uo>0Um~L|B(xF$|H#=T>vLWInv#Lwyv@yaCB%Q;(cvS zxK~s$wnQqAwVb+}M^j2OU8|T1sVN*5x1Lg{xwP<9=unp1cWS=dXuKen*lTVxz=6@R zd#EhSJn7DUQ)j4xl`Fp&kUZf9@j#ILFs4Z^o@#}cBN!*)kFXGYc|?&%4H~hl5Mn|* zxVlwgcVJ`x?7~wPpDHX^%2Nv=Xgb$IsnWC<1CPU!u5-}xxaT{lY>*>kYZ{)CsUEq z0+D#Ko}y`oq@+YQZpA)M9MZplQy0NV!4~CybfdOtMvqfRs~{=ie$|r9e8Z3ZHi1?t z5CM|hS?n-Q0p=*GS-Vzn3PHaWgqjjI+SaHI36^Iusx7p_orXBE?@V7BjtMcB5lt*DNm=+MS1>m^q=_U9#gn9 z5+0wOzJOf4RL?yJ0f2zlTCf(*^W3@cVHih z@P}D)QC^OPi-_qW=+zH?j1@T%Pgs&|8U_Nmt-? zC8UUS;&VC6<7RyYiq`j z#4YW$Y9CE58V(5$k+Y!1y%&^RqgU!&pj}{>Y*&QTaD3&C*oB~vM)BghQ=>1_!>lNp zk7lm@o3E0V&NJz6`&VCu<8^d;;IrTPx+@;d1Fw7P(fl+FuY2lsPd%y!9+mF(n0nn) zkLrO(rF%W5UiZ|adf-v%F2vMwZMs?C85^gS)Ca5DdYS$IK`HP2Lo0hyGaP;U|+>x7Q{lBO*y_&9J=|B0OuA=MxdKJM% zc-sN()*tzHd2joIgq;>v)l0f;r}J|-cJZBkhwd^(zxF>6^@>%M0C-#88X2;a-dUFY zbS29{RLj=b1I6I>4PudmUaD#vqaNEVG+oPB-YW+q3nSCK66^INGV(IAI57q0S z7Uh|B2l$;k^_g&0T4+acQCq4|975a4rdxiIEdjIE3rQ+AiO|9DHaA2)-MQch>oK6) zpt36dcrQdLn%-V&h2r1)tWUs1jJs;RDgu&fZ?HJKn zWT;C`-+<^9$volX86LVt9nO*&d8_IYZaX?6F>_s_ zYRm*Q3@_N+bPeCxF@?JbNms62S7hJ)A15R2traPOi=5tx4qCu=icNJ1FZ-8v2zN;{ zOFxR)ETv%ZtdD_v7{yHgs#HsYIf!NfRr*qWXLOy`4(qk0#5KbewKl`MkO1veJKZI4 z2?3m6F)7MRbTQ}>_yv|7nq@Vo!X>EKhv7^9&Ro7wxBOc@L6neS6)(7->{eHxj>lFGq#0z;_kwdcW$6msQI1m#9#>@FA9RL8E}G1>cEtb zM?@t)a+kH2pYJR~lEEe!kn7)E(5IWIBFjLq9Zq$NyxNuFg~LQoM-`NbQolq3Z%tEXwQCty@|u_EJuHc|gUhlf_qWiOg-AUx#Xa zU5p7Kai$e#PrDG+4f~$I5i|>_ooS&+qAyS_imIK|3IscZNej_}QbkMe z(Xc>v!fd}2!{pTUszT*Oa7iu_lU+{xSC{Rt*)L)Oasl3Q9KpWAwYf=1l$bS zFQrPC@9UYYbq>|z)9DuP_XOtiMBthls`FUUHNuhER74^KLu#r*o^b3W)l)T*rY|9khpoJlM;;XZP z4Y55?bVh)`e5MvW{kuUSB8jWJ)s}=DH<5?P#GQH;bLO)&oJLaJpD&+Z^_x;lNz>^- zj2Tv~3IsFN0C(9bRM7kg()i?DWBOzRN)m)Oji_jST-Yho7-asyfPK~n(U@zAK~QZ5 zEd9`Rb5p5cHL}cgz6@d?7ktHZ_bV3kYFA^=ImgIdP%+Nh#)pm?cbw4=G{~AHZpC5= zfKGV7i-y&SEIJ8H!>W@LsG&Q7Rj&ikoAjImQ<5);HRK_wh5qiXP)Jx|6oT^y@v2Lm z@VQ@O;+kYaPp}~}q>3^sLJB(j3HS^`m%@F=*95}HRCNKFi`F%~K*I=^DbYH1Zy{`r z6_g+nb0Xj2ffL+lm=rJuE%oLXtVIdE^sd3YJ#48Fy2Y&+wE+)=u&JbLKf8+IkA z)n`xv7K6ymHjgm#NEQ@D84V<{;7+Cx@Rp^RL#4NCm-V?x@WpG$>2=dbuI~+sx%pi; zDg;))1_2O_U584!s26#wy67YYugK;uCAmw=Bjn~TDehV8VDKz0sH#opn^^~WN-5z# zANSGINq|AAS2*tH@5!C0Mtz*1@`2jH@L}~azu`v4P&?eh1i+mQRsj~$Xq*1oKe4NL zm&!d{H=gl+5vX}13tWvivQUZtka8ebS)!%v^HY_LYYN^z1Qm!I_sGVH8ugGee=EAb z9mc;yhJaM-@L)r)9o#y+BM|X*dm_JmUkyph1CE;y)Y}5wS85H6sK568DqoRICtaMZ zB!)!YE|P?5r}DT#l3bvJK9000pTNV9LaD%*(W|No95R6OvveXWZivlRTp(S~H+e`} zkjF8N)jLuG5N$=p?sMDn0I5@}ve|SU5>4H7U-UNNoqA)vunS)x5O01=Ma$+#2O{T3 zE)73vs%>M?QG%6!KVX2I7=jTl|Be%$YHL%Oh{99ZVsIlp<)w*;G=bg&qUNd>9R;+O zZ#|0Uvug#8UP_mAODt5L3hA53ppU|j{C2f)9>P@2-r6Y{9{p+z{MqRmIH;N8H0_4c zCIgz$5WGbNQl4r$QdS=HDTm+1TE$egoIiQk{a>zGQ->^$*>-v`W5d}($4>3GHwy=K zSNUGTyZZN#VP5fl?VsiuvT*UBDj3zl8(fbGLe~EFYbc61wd>e(=irg zRu8K56hKm%tWI&GH5#M4&?SSayC#f`AI=j$A2hX0QO{aQy0p_V`_Zm6G~vb$ANQLh z*Red2atd*wYJkF}C~y%=@07bTvDGge2CYto#U=l?)EeaqoH9cgtBGpEg_?JAzN$!O z3>%$H#ezi@nF1;LoyeBt$yLndsI*6ZH0r;<9^7V5NnKu{c5~6KW^`n4;Bi!Se}9+P;n$0qORFb{1F|9EKdoVEhtMikwUwe z6ATGTpynw2^)Ct}$Lj5rX!u6P&S{+HGD_*RN*2lq(+qGaI#6#}WR$Z84XHkkQ>bmf z<~ul`3iT;0QtD*3l`i}H<22KyE}FvwxXzZMC1uglQVOiHsHcj-0Q|~Vc0?7bmsJn# zLNb%YI02j6`H(Hfj0V`gkQNJ{5Hm`CLx}i5aU3ULa|-P;8iKo2XxWx^MoLvf3euu2 z$wHS!Dgg@+MX_Vi%yfk=#g)xP_22l60$YwMHQx!a>c^660UGsTqx+HOLvD6NODQ%^ z+9j39#xsVW$O$Uo5t*UJ(ul5@3f5&?XiJ%JRK!r}0yvaNUFwt=I>jJUuO*eFjZ+R) zgi*IH*@{(!B>09O=4xi~UYB>g(Aw%(>E3p*eS|l%!1b^_t=$O6T2G8hZDmYWIQpH5DYC1hBl$PmnSp%P-v(TA@l2}C- z%PvO^lru3Ukq8h#AM-3POu=K>5Of^le@4z?7A%%EY=&R@0t>qOuvwv^*@YZA=iw<@ z3MCelC5c=T$oNm%!Y#-tUOBGBRsE3?$g-3&s%Fu~7DUWRw9XP*$antO8hvT)a?KZ{ zjdFgJWy+JsihB$0qzEXsFtU;JpJ^^dI0=lDxb+vIk^z$pG5pFV0aecW-2MV+#@)JG zOD+>E58w6=RGWpRN=sOsc~?}v`5J;k^iqTgq&Cm|91P&>20bdk_Pr}MCuMXc8=e|v%GYe&W%wkus7gnTmK(vLVYCCvpeFA|_ zYZw4N{uewKioHP>B+@)Hqd);&8J(1YEKCB)N~grHvlK~FQPN)9FT1~{Q4JySAE>y1 z56N@a)zy3Ia8hEL3ckBwj#L5(G~I+bA5{zrN~Nw zs2ID(M~&k=0bIhEpq)#5^P)zZIaFLXu6{!-BDpXp#z-k7Aitvi|(<5&d~EAwyzTX$1%ZgUScG;}Nzs^I5oxQ9aRI9aAs& z69mqOH%&~j>Jp`8_Dm>25=tpjocfl~D5pgbQSuo=5JN3ShZRlK4C0Wes=BR&x$MIi zd~PpWX4blV>M=nGvP_m_RD{GfM4PNZs1G1PlXWWyW#!wP1;wj+q}~QxD(5H9c|=6Q zVCtkjY>OdfAtF^bsT$g$2*SlO1Aw^#5$ex?uX?`Vm*%NZWb;w{x{DTafHwfGp>^x} zB-FRdYXt|FC`tMyohEk3v;}0=C}4Uc z1EI%^PTVE7YxmD0b?t$MBq0+jKoslA^6q*^6nsn+l*^+;U6#J=+b)A%29x}YibWg3 z%&je8Z9Ah*)?VrKZ9NAUcjXr?LS**uVv<>ttJO=Ai8^H`SVPvePV{5{V}I5juE5(A zwCbFrp<_Cm3O*5mVhpx^z7caz)uwhgsVu6>gODgQ(V9!O{74B?s5!ynJa2j*pwxb= zbX|X166!^Bp-)jOm&}kFxUN}3*Yh2e0N(#oN$V`7bx=s9x{J67xP<01z7l;wpd`wG zc{W&?vNS9`Cs8Fvx%y)Xo3SOyR~=N;1{?)8S3eYVH~-B4a$ZeEG?^t@MqKS#xb=5opQR=w7krZu-8GG!5O&_c{pjxF%R#*>&6{n8qoCor7=vMjX{y^ARd z6g7!R&a$j#ksdI4Q}dRvlyeHRdX5DS%Jt_s^!U*IG$aI%#pa>nTR4CTMGcWh254mFl9tESEHKW4)!6PV2U+O~_U{%E*u6-M7`s1Czibf>uh8V8Z!)xvY@aA={7;7Kk3 z30||ypQ_WO^IRd(M+FxhvVkb45v4Si%|c;yP{*(o*8ZewY|q1lVzxL-3r7xr=?_GW zV>VtoQ+2x>DmN+M(5JMgKe4o>RvtKbin7(}4vTZD zoUh`~H)hsYWSvu2Q_hWVkgwWmr>K`yjJEVGUwawIv0PF<53ffvU0Z5<%Fj71aE@wg z=}U?fm8BnFUYAzW+rCCnpOVc{?Gsz`E#u#|Zd>Ic#p~? zRSlRbTl&b}E4B2vyEe0`Gmu9)D!m;e)ib((eE#`V?5pp%=j(g#i(IX)_4L)%A%?+K z+hu#}7(08=cG!~U<0mgdXx|biP_1GbM-u;RrjDZDeYvk%M7tePk+FJf?R}AbFSUx9 zqIJeewF%0TEIR5mjEEsHL`K(G!(fiM3jO8(@kra35&bQH^soQES%qC*R}nXnZ(L~> zVN+0zt<9eLwrCCpty_eTi_ z;aW0g^kzL9OS`11yKXM8mwMDnsza@3#n2!4_VE^dJQs{Zt@d(1Mr>PM-)la@)cu&x zK(V!l$kfmHagt0q6jD>|Js7jRypHgiG-c9POQ7HVeU;;!>PJ}7wU*W~vdjW)Es?da zrG;%z)OxPWwaiDltF^S17kj&UF}ib$_+D1=HSxQd)zynHeO9~`ioZ(t(!28ekXPUT z;{J7quf+WIb%)cj>keOfS7v$j{rg#d_wUTr?q4zZa{WcP4}5UG?(lQNxn{R4{p!UJ zw`(9j^p#(jYgn@U$P{b1_vV+YJp2oJFCSgYslQ4$*7D+YW_|U8S@x?JfBiSl=Tylx z#;QK%o5-B^_~8!vX|x;n?R-+_0>+H`t~p0W^t`(48((v0uN;f76h~(qj~+RH(^rq$ zkz<&L-q@Avvkvdm^N-X#T<+@4T|OG4%#5ubw^>;aM03h#oa~Dtw|Ml#InZOPjB~mo z?!_~GZtjl_g@D`nQo2h-?iy%Ct}tP?LPS&wbZ zXsvdeeXYMu7_m92A9|lXQjX?4D>{}enYCwXJJ`P+(?|c0|KnL}$wuE&j{aWuSMt61 z%{S~hHfCj|{a^c-mb_7$pT9S~2aPgp8TVfg+M&z`G*|X>92+>@H^uY^m10`%jBJ0+ zw^eW4Xj zC0yTR(B9S#H7B*NNU%B+JH+fUS4NgOVBYP$i^z0x87=-Q_BLgT?J0GC_dUNRI&=SX zx{Rtu>)G_@*ufUFQ)|kB_-?PtoHg~O)_2GKN6w?G%C?q_-`{_9jeEBq+ZEn_?a+r} zmpQ9OGQ8F~T2uDKHs#HH1i8lc?Pzw#7U5$?tIgk4Rrgdu-GZuU&mY8Ug~H+`GNw4J}1 z{j-0)hmJKZcflsdO^r?ZIZw>!193mDdsnH(%u%j}#^ep*dOY(lX-9@p?nPEJqc`K# zSlt81Ce3|+F@NK*nVv(Lv#(-VOb+aCV>HVtzN#HJ@qad#?S=!MmZ%$R?Tj94tv#KL zU*}v!PUEQNi6h+}!qPoQe%k+A)%IdM_eP~1?z*Syx!d^4Oe5Rd@>dA~6YVJm4&xl=!y%~Mc)TultNz<#bz89f%0Wv%q&iB}PM6G_^v z)vI6))=*zd@5rpjwlO@_KKCQq=rp=(z4eLB|I+p7+Z^-Uxv8|3tZ#F;+I^9m@G-Vp z(SwM~`(b>w+PEFFGuep9a``z^oUe87Wkl|{BCFcJr;7v43j?oms9r34VBM?ngxOB` zdge@oeXVlp_h#0s-HPA#dzN7Ptl)Id%8>;S0==_y)ko|PsbWv&hl|8 z)pF*?=M+=wjLPWo)z(?_Tjy9v>x%fOWMBKr-o>;pG5ac_KPOa*jBGi^E~e-iTOS$C zQU1gD>2M647;RBwfo(}+W~^@vjB?2{ji~l6ZnXNFy7?q))MI)pb1CDi=GEGAXL+!_ z(GvD%HI^h7eOC75*Ocv=*WP|Lx$JM9aT_5Sb8HrZ2)^PLmbUCus^kLO3jzq1yKKhY$ ztL}qM$D(xHXnB)d(^e9NJ#rmP9tYZK4+uU9DGydXrh)QZ>RpXP4P=U z*xGdljc3v58p&2=j*j3uuD-sp%qT13ZPPqG+GDhnL{E0+g)aG9g?33xJ-SJcd%CsK8_M)c&jBv<2EIiB8gQSB?9*XrdupWkYmB0B2zmAk0^ z=!`A9Tf3UOi!c5DR$1R>+LnASTi4Rr62*QqciVq^5{Twh`^%|Q{FhhnRYy-TMgJU7 ze0e8j@3Czaxt=wD`u&b92D;v%5fipFKFmzT$3= zUnk$Y{~&)Km=_X7M~vnr4R!zKNz#`TwMbnr_>nt$B(wN>X;OA*nd@8VNG64h+R-28uhWh1xNU9DDa zw{;w`>sZ139xyK}i_16TBl8Nalf`eC>M--|LwscQ3$e4D)Q&l?^-QWMKDsV6a~&lW zm2n^Biozf{vrMTRZT0L-w>&mX^{Xoe+m#%p7T0LR?5M^S8T~WrrWZaw zhb}TIpr-q8zmDcR|LwSEGj8{R?El6*Q*sUElkvHb{J*;Lfs6%PavEf;l^W zG23QMtyP!F)yP~72197GzpE%Pg_g(^ACL|8Xd-w@s>@w%|??J++p+^WvPU44I^Y zrpVIPGFBY!Bc#fa{eDSBtM(Q`t?YaMcj5|;{+ouDuGZEPwT`%LiTkm)#>kUtMo%7d zTUC{nBx|{4mVlbtBCldvX0N40e;#SuTLt%wZDQQ^Yglt>HEXyn`)<>!Kc!kgdqj^} zA=h@^uU>2pIdKumb81fB`!iq{IluL@+fM3OdbJ;0?xJnI?EO`gRMf($Q}xx=Gs~2B zZpAHSW}DBcsh4=Zqoy7? z<)PNJel*JiqVmR2JxU|IqULK@s~sU@?_*6pZx8RSzJ%3HSZqNpSZR`(dt6IjMP2*kZSG!{_kXHO&(O^ z-ptO6IF@%F}0>&_f~Eq#3IOB_eivbB>x*3zG^rkwVU>%46%=|?o$@yt-weslk~ z4XC-d*3nyajLU?}>p3bGRo5Mkj+!;?3MvI!mMP}e)r--SX{NkiFR!Ck<9Y1uiorT5 zqS20V*<*IqR@a=yb7E|969;j59o4pF?=kN>)TsycB93UC{qnj}j+ngf+cw2+)`7b( zuGhXA9Q}hb+w<8F^*HA)uUi?nd1_YPzNc{tw}Y9VXDZn`OH94I&Q-MC#WQ+jndas8 zop0Zxw-dCk(CmwhVx1+9sUKsGPvdMDYgzV{M|9N)+CDE|i9?Aw{mwnMmVRX4^qCR) zai8$gyW#~ru3G$@&x)7z)zyoiecNX*K4@1j#x*Q)tzXOX>ia(@vgOr_{kp^Vzj#}g zn7z7svDT8;K30|wzjWi3ls{V6J}y@;z8tgGYq>eghrZ$+uY~KHzqell`BJ}<^1atB z%ZpL=s~7*9FW&rSW;|D=a-MwI&b#U4)??zW_-<-n@%AXb)th2kmTlt5GNM{4-QS9M5r^+R;To7-Gm-$9FrpV>t_>;0=0?vr-^ z%6CRwY;8fUe$eiVT1MxH7`b;@`^lU5zkT&=&7}vg_Apnc2wzL}f51M%iYp zTB~Y1?vpv!1DjbfFxu~Vdp5E)zG~JOUI_E(w&zp@&NtIsn;jb4+{}n!w?xm=j#pxK zU)Zvw7TFe*qxo94j86CC1c=TkYis9H>^de)En8by)-$NSA~Xl%E6dz!dfrSId9(ZO z7qWLgnuEp|yeXo_9z^6cqN7}@?NuU!<|MsR>uA#s#wtTi>A~B{bsQD5b;_)R%Zi1tkNjo;P=#uEA+Fq%0S_4fZZMYUSogMJ88i|%Jh?`&@s zDzHV5H1$ncPRVnzehhvLV)H|WBUy6(9_RL)8mCl4nJRp9bE8%bz9qHZ^*1-OT4Q}g z>v})-3fkn~2F+4S>IfJU+RJBqX6f^?7{l|NP0nnrUw7xItmM>9bRS)wbvDy0w7q23 z0rWr^xnxU&hte4(H@>j~e5> z%vZ6R*k-qS4;@7xie}W__omT(R@ry6eyz!Q)jcg49*k;_AI&O9-?EPA-ZVDhOJhtN zJvYs%u_+?+>sIB8X*cm}jn%f=#drO>RsXT-{_>8++};;iRy*B`A8#A4H~n|p@pwU4 z?`2)D)VHSGC~a1=+kNrBI65*-|B9lLj&hv%)j8tlJ8G}D-;LvYzGZauwEavO7dNpj zA=TWw>7)BWqn+jW%9*2o?wv+&k1Eq8Dn}2t@uR|F9dEpLzvq8D2pb(ETPxSMBVx6z zxoeuDH-k?-0Z-!*F{VUE{dPWzvCA>ESKcz*qNg!wn!jrvJ);}|qaEdb*EGgj(IbkhvczW7*uKY(=HEUh=DKC{9`Bm1(W&z&pP{tI80 z!w1_)p5>@lQ0;eQIvCA!Yg&@k%+}7^{CW^y85BeJs=l3(qnoW#RL`+m4b*DtX8fXJ z&M+3*JEEE24$i$d#fXErVP32k=41klblo&TYsxA9sk;&s{W;8 znZB28-K=`tjJ{S*F?aM-B|E0kla1cHglFIAT}_^Q$K<@+XT6R7b>FB*Yk+iRIG{>yH_UaJkk=rWMD&WTX^I%r0(Ry;p^Rio4rp#J?<&m~}8;hs@ zjGm%?yvk8bo|IT}shv9aojT#bovB`rXx&qwzKW>?0D^T5?5M zk1ZC==i>pS&W?7Mm)iU(ko-c~Z5Nm5ad0Rbk z(_<2#v|?2uP+FNeSl$+&{Mgo-E6FP*@8w^p&Y$DltG{)fHhCXh)o#Ny+Vxc!?XRR~ zR}KI2_e=X zLkR7aEz9=ztEZyIBksoXV)rxO8h&;D#N`fwop(@Plo5-p&pX_`B`%T zd!hC=?JlpQ^PR8ka+|KM+Gj6LHETD$a21pC?ycj$KYjbZT5)l+e+R?~9|TB4a-2+d^)P1RiWSF&jT;4f{4IlWzjIo8-tqljzk z$SVkIfst=-p&O~T-&kHo_2oogTWyx@oT^%kkN#-4CHC8~iqX5*9^GS3Pg83PggoYW z{dl1i+M_WJbF3yN=lrQA_eWPOrM08dX17OOJSwyQVmE!m*H?Vp^!ZV?RrPiwkNvce z)wwq&_V~G3m3W@Y)vS(x9BW%*99rJ5Q%vr0RrDl%-yiXEKqIHV{c?l~V~y_C-b|ZgN6uw-8#>k=&!H`1>N%pUXZR><>3Pvg8;aayh23c9gQB|Y& z$6Kd1){3~@MrC(Owfi?Jc|7Z_T8DF5$b71`SwYn&M{SC{b&TzC6Rmjdi{X6kZ2O4W z^<0^`rr7q%t2TST|DFFJ<8o`yQc*{!}PKb4ukh#2$zRnwAwU*lH)VkwH>l|uF941#8Us=j+%46ES z}r!ko81-C{0uB zq00E4x9ZuBudDcpK%2JiUFtD&Z^kmV`=r12N7`Jsw+ZCci@C*pRwq{$`&*5*Qz(bx zj2c@T$$M<7u6o;-o$*yYt-I#lZ}mPUx^hzX^_Zxwx7A+yu50GHdmWzj{>COVPTR4* z)0mtwEl1p5ZKLM?njM3E$r*LA`+fh>65S$~_MK|e)WTblx5K1YTXWS}hZF6HUH3-# z(d{5+v)tZj#er1cL5;>uFQ=pXsRiZ86mhGNU-9KV{9qxg{upcT^(v^XriS~ZX-=3O z72Wq213hMa2eqJ_lwZfU)m|z^PqXgcpST)ZRp+S6uo>7iBCgt_vzMc}`^{gLna0t~ zS+i2Fju}{Qup*?@d%~8sCQNlZZX)V zztFGqJT@<9)cKT^4q5NUD%Fy2+Du;hM|T@P=dZIj;YZa4s_|eJhn7h<_vdz99>kihL z*Yz70`ML31ta+=)dS6o(+vQbHB;NLR&1pV&+HkhFfy!6O8zbwqg zTIafdsJ6cOHY@9}Xdd0}f7w^H{{AA{PLn!}eq_CqvM7P@Mwe&L~@@%$hPT5sAS&41hw6dp783_Gvmz%bd^?I_tZbvir)O&NEc>*ovz4&Casr6RpRn*4;WXJle!s2He^+vv;t7m;P zo<3_@52>?mm1-Tosqd$3?fu@G(w4Xx+B9|_`HY@Azj;_c2hBdM(y1zxR=J`&TD{yvt@}onUXCI{zUMvB$SpscDW}XU z>Xp$>vwYXnuC>W?C4cE($1>&otN1VH=f5G(lO)KddsCiWSJ_)%F%s9p)u)8V8-V*$ z{0=s=K8V4{RPU)WalJNXmiBp3y`1--(G`|SvmRyt%G#*6GTLpch%q{5jrPcpp*dmX zX=Q7F+C`4$@#k9mYj-RvmtJ41B0K8C#&WOuOaAs3PxI=(tEs3`=H6BQD!Zg&LUd30 zn2Xd`nZD18s|O=-v>$|QKC@j#?pjw&$zNi}Xxhb19C|%u+v57AXRAZVos5}P9dfNd zhjWYGHfbFj^?4ip+1F!hR!@HM&wW-5Jgo551rFKnzdwu>Csu5&%->?=7G+g(UEwlS zIYn6~R9MyM)m4tJqsOc&V^)?^{|v^qvW(xZW!cx5oiR&vTf^!0-J*|XyV(7~e^_Z^ zxphAVV3c+6?UM?37xQ;#m+y|K%j*&ds8=&8|5|gD@j#27yn>_=U1bquQ$StBNws@R zo;0ydySGMyxMHw&INgt5l1K9!ui`qCM2M&STtGs_ZLKA;#8o5r>gvU}w5u0mhnLrp z?5*#KklN<8RI4sUiTRDLLgFU>&$Z-Vj;^rr*^Ae$HIAr{#gj{0OU;kj|Jg4dBeAy1 zKFaZ{>fYOnnBBMbB+^zBr&1nctYeyTxXQ@ZS{c)(T}3S(Y|#;CXp|wDv!c@W+T3Hf zT@V;|Upt9LIhNQLF)yaKYYmw^|Icj>sCsX$J6lYP-s|7b@qK?UGuGCe2Gj_QMb5)n z>jyoq_iU>gB5}xZcEqs{v9aP>^AusZoT(+OGE+u(3}ojlH6Hr4@7hOOMY|pFJx|W- zvs+KK=D|@Jv*J!|(PN;M?Y@p&y?bu=dH>A2bKqeg?L}KpJp%G>T@TJe?L+5cC^N^g z_Wj4>FrTQhC+AIBk1h5VkFpfWZHii(Huc#N(00>~dA{nlpULf2aE|FRl`C)d&xSFu z=^u^0(41CrLnPNYCia2eY~7#!$`~CY17@B#9sxbDKa2Gjp|-y`^0wTxNU5}_B5z}h z>(jC`Yq6g!<1Wak=#EEWymhFqaTF0<9ql@_SV>>QIMph+?K-P{+BoL1^3iV5(OZYy zi|&-OTYMBVUCo%|+x+i%Pb*jFPN&La%WVs_hu zjBE7f)mC>%b)xwheNIr75?UEuW$)N`{Ln9^#hZeV38~m(HTB}!RyD`g3o9)bt#+)?=S|hWpw#)0K5doQHOq#Q_h=1e{%#j0cMCQOcZ>;+AI{Mmp+}7Vi zj*i%-_!=@*PW>4=2P;dor>w`k%j+m3d}`n6)G?09+bkKETa329_q|%%<#mqC+xi%n zwamj=smbdjn%6Rit+VV&{n^i&U-`zvu0O2m*>iP2X12@g*j+!U7_Yo-@l$_ciZTP^ zVOGUe6?sl|zdTDWUm4N6m{r$##;gh$+50~FgRtd{Ed3e1+Ly7Gwv#H$dv3S+W4xBq zpyFP;YR%TZAaL zVP1Fm@@vYHYdE}mar`apgTFt$cMar=*X@Yj8)g6O#m|ht?cr_crc>`P+8e83J|n;=?lnkA-aS_32nhd%~#OxM{7!v!y56 z<~x>d^qn6$X0&oOZ&lsK%&l$2=YQcm@ER-A(fQ+h+8FFiN5fj@vb3dkI{b9Z$&vNI zx_)9On~ z9Q)DXqn+!dRsWt(?p^1FAIyJ@9b*N(9L+QLaL4d7v#!E^?UBE9xEg-lt9gLq>+~b+ z=+kz$xjWI_XC%Wv_B&p8jN5kb$VWV6AK}O!Val)i$=eR($&Kgo$Jdd)KElx*$+GIY zTf#r}6RWAuMD_IgPmet6!tavttH0?{_wu;rcE=kU>{gt0OKlb&CtV3?cy}n)V?zl?y=D_j6_4LP4 z|BN?3jx0|iM`wAAySiJ(|KK-1#$7*_RChQ_p3KSAkLqXs{$n}3M@}+7yVg-}TdSno z-$#vs-g{TDc`qZ+S>-SM&(8{borBu3))8d&=%F0xY`?`hu6V|Zk7T@I))#%=4TDc$ zsGkqxXyk+l>t>1TLS0CORJ=q-LqdXb78TfDGZ>_~Pe?MQddy4!8a{SOZZfd){ zj-qa&?3WYNZ*H?y2_9RP2DYpv{{HTfwY>Fuz}7Ner?uqK<(=`7XX_cg-$z5Btuofx z`$zj}lrQ|y)7a~geQqo^jR<}gq(1NO99i{K=-OIrThE5nmwozEU^%(VAvDSnxZfWA zPqO^vKlUW|cH6#&$S6nretYyk$?{o|Y8FFeltW^_J^G(y`6u5Idz)X~YtQSa)8#!v z4P9PWF>dD9Agr z8?t=+e;PrLc8vWk)!517$P!DhwTwgAyr(>UuVwfR$9Rxsq>u9QI_56h4OxCTKOg-m zO2uMxb<0U~bS-sg%XNo!WS7^=tmwGm7!Rase!Gb*>+Oatzd!zk(KB}S;!8JPclZ@w zdEPaUuPw{CdNIp>_2R2PwkB0SEigV&@N|TcCh&Bn^7*HpgX*U0{Y9|%=OX)9mHYL1 z@{*uU$o2@%BuBRqbZcPF{`22)G{@8HJZsfsd3OpPlOD1ByWjVS6Cca8{X2Eto)t!A z4CbEK^>w3S$6z&XTIbn;nPhs`O{pGbZ2K~Qrwm69?MT;N%3C9D3^=~pV^jX}7c4tI zK5H3&zH2}7UoFS~n4}&#&Hg>P-(FUFm)b2^j;{9DoWJzTPVMzr^r_g#(Eobu?jYwu z#~l{_tDdQ!y4+#mXN0~Mm&5cgjlbV_IP`dTbeMnJ^JMq7-S=6ocg%mo=&bOM@u1go zcf9zy&(guamLpk?PEdqY}>2h`3IrPC5mI_O<8j6#P&u1=!t>nsC!L#`mo>Eev&o+ zJga8)smHDuyu2Q{>esFF?_4Xv-Lu?pf2}z$Ovt?Pm)yoj;Y542mUi{x_|(MsE7rM; z%j-64_ZqWAs@iToq91CXQaOU3a9I|y&x3Mw)OtGO$*2*0P>zmUvUKlTj{VdAty=++kyU1|JQ91uj}}Hx{SxsxPM34_uh_qKYCokvEM)1 zV|*OK%~?j&iSk?i=81tP(>?C>{qp$UPetwbkM@~a_U@JAvv%M6RIlI|hjdVmj#~9N zlF>Pry)w7^G0yE#IV;Nvpt<{h`D=6aDOBRkP904=T#lA)NilYG^wg~V{`0cjcKH8Y z{!>!vf-U$M@P7Cpa5XzkaWrFM10 z5&f?j@F>Ta^KQsHzoci}qkHG;DUPHNm6H{ACr^|Y>D{E_^8!+URjxyldJ z-+EsE&F7cjRiEJa;g{OqeE#r@^;fJv^tJ8k#SeYx9k1lgvVse~SOU zFP^0GDd*%@yQ6Q4IA`p)YIy{ zef(F#or_)TVCVQk|RbjU2a6_2vDw zkJQ1vzDM=te|sIVH@F^p1JxhxiR}kJ{01U;T@R(+Pc5g?QhQzZ`jnGD(ni#2`Lh;p`tB2vy7vT}Q+psMo1{+C>SE>{`Nz=_dU#>y2*;|xPYG} z9Cta|>(O_V-W7u{#npZxH*|_Z@jTz`_@l$w_DsC z@h5*E#vk4sV>Ex3@CpARhw}v9*pI&1;4wT5Kk=*QY$`*b{qSJ4dij|TR~?)8537FF z5cstBV%QfrdP?oS@=x!_X&)DOPM*<^AN-wqNuA5}MwdGNoO7+cQpa5mdrNA5k5J?5-%PuDaVB$3-b|5| zTdv7_=$sl{H%NWKPq}(AKEd&O_pYQoarNTEA*#GV;Ftcn8wMZG(E1hP@nn2WS+-9# z=coGjzc*qZo*)0bM!v3BFFv(%=~2&`%~`PjZQt%op6chLZ`SsVH;%tYe=PS(9{FQS z^h6o+(QxpHc;Cxst>I(yh|fB-{h)bs}iTsXxeVxO9*MHG>@ZhoSsP2?Au=eh}8}~omIC^UE`qaQ3`lpJGwSLDxy*KNO zL)@EjvUz=S_UmgHEg@ZRxjNgcyE?E8uT`>;>XoZ8xQ(u{OK6eHR9MSp(|@zygz##_!cSUe{0 z@4mkOozXa@p7*!t%Nz7OdDc?dxcHKPbDUH6?mh1hz>YJFMpg36u`@2v1g6zocxj&^E!`|aAJL}Kp?SAtg8UZ2ooIm{9o2hY5 zjj~DYcK>YYJPa<+Q~hn{AR1+!Q}MHe-}}kVsUgs%dUJ;AkH??6b;CIoNI&+S zi4~oC^yej^;|WdQ%gvG?9wzOv%I{Hn`OJ-=DtQ z)r%X!!TOw)%Oh`l;L-oVKeO%Hv`)?21U)B>i?8~OIMRp9(LTo~*75VA_g?JY`A;lL zbFIFOo?9P_mE%YKC`U49`hoXvr$4{v)J(DknOoobrcr%OApdv~u?Gw#Vh?|CRM|o;`Uz>2;~!_N?)`?DWQ@-}RmwhYijG+w0Mv zopWkl;4@Qs@6SIoOW7>s*?aW;)t}oeP~)V)_R)7T%)pM+xhDZBQs)Ys8|R!Nb&kMY z-ar1PZ#XBTnBBRreRskCSZ6))ruY7@`&d7)C$)ah=;unGDfLEu^c^{_6A!-Kn?B`S z)8{?zhI8tA&*pNkeX2Q~8i@Xn+aEjV*b8WP`fAtPv*6STu$Sd%^WiT3LGdmxQR!Kt zqk5v~+j@0x{s;ZC&l@1AEPBuf1+=k1<9LI@ZxqZ%4h_^_F2dj=gzjG#|Ey+LY%` z?I=2S*YUM%o7FwIUIx@|61d?R{ibQp;TWlN?{re6&J{Q}&N)TuT!C}r9{HSlc*hT#ks8WHV$3?<||W*x_s>U E2CC_69RL6T diff --git a/Quartus/DE0_Nano/output_files/DE0_Nano.sof b/Quartus/DE0_Nano/output_files/DE0_Nano.sof deleted file mode 100644 index c079fa824d0eba18f9c6971fab3994c90e09f35a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 703957 zcmeFa4U`>MeJA*@deyD!R?Du|huyXT-)^@}`y=LAHXy*j?RHyfYYaRai712&PeyWN z$6$dC!<>OR|8BQEjmOS3tVje&CJ)H&yQ}Jc{J-x<-MV$_zW2Uorw|5kc=8^cZ~OSZ zkKO+y3Bh_WjZ=_diR_xBT?Z4~SgMp!`Q1 z_kR5TLm$8YgLlH%``$2pbMb@k-uIDvuf2Q!U2@}n_w4`Rp^x2r_kj=H|G~RHa_7D8 z*feO&jkOdPL6m~bhxfnngZuCLwFCFv|G^!_JKjEa!-4(RUw_BG0|!3zrt9AL<{RGf z<{Lh=W9$tv{Tmt?+(@}~=HSN!v;V*+?)uPyw~sZtaLgRqcmKh+kEJ@^cki8J=HvGr z_}GW;-4ER2CwJB$mDI@m#y7MvXILKn=Ad(hNN{`)97_mMfbGB&>yov7c8z4Kplk=l zdL<-iQZ%*9>`O&ttMma66{OF`3hBUNP@Wwqf+e`;g;Y)Bc%@{}tKzC(noOqER zf`hG+a&wy1k|POVqJi^juB)Z{@DwVeuW{U=AecIq4kWEhNl`(O4;*PC)_{q4Hlg&4 zL{`F7vn0LSH8mmSMNOSvX$t5Y^z$ISCKi`CFEL6Pj~x={F&?X!vW=5?HZiVa$9T<0 ziOZND(=Jhx$$235OhbXh&TAwkN?J6H8STQU2`t>y%g)>+g=AhzTdM~#s!OHw0I^t! z{mhnf4o=$xwhbkkS2M7Jc7hhEwaGGV#8#K41vW`R?d_qel=9z+UTjwgxKOHnjoaTyPzDU?kCD8Fj%XJYF5whB0ri z25YYbXBU3s*#7L&e6_W;Z3V9T9&?3#JY4Y}G*r=@D7@s_SL zWo%QNRiKBy@4#pCbNWCm&DI>^sI3d9q|uqLnhr2yXBM1 z_m1s>g6&o$(98&WFAvBrYoe9xfd|7BoAzCKn6`N;7MPZ4N)fN+m z(iEWO6$@0w;k?T0SpXPOmCgb+*Mo{@&4_BPoyF$z3V`gf&a#b6Rz3H|h<)d)4cHy` z4y#avy(@L5DRRwGooOXv{>n>wCvCasC%50^hG~i3bhB+uAxah~5%P%bVU9`+nYNga zrXW*^kw+}h3~|XVfhM!NGa*T5MJ%&(cfmc1CGW1#RHTNeiKcbWAh8FrN+xv3Iao~( zobz~IZ?De7XLTY{);SNXsRxwOyAKai6ku8$>CN^C zBbKM8+bM>rc^#Um=s_Rcws za~?SBJ-`v9r4>oXpp3NdZe4~ILyX#^RiPD?xn1FQz__rre?t+K0CHV zYA_*8cnzf!?Zj9rHcu~4IzTU^KyUG2n-s^l+vy&!SFzo=VX@1`7DqK`n)dc!(u=i; z+Y{MU){XOU9KxX-bH4`1NgTqJQ#){^CWms21-vGdI7;&M3%X8J ztND4IXmd`D<$2(f!^_#uVtKNcP-pfN4P6OHTUv6PlqC|{M)Qh2na&orWs@XMScce0 zA{>gNu2-6Z#Jpn3AmPR^!SK}7fh8EqoF>m8o{CK~kTR2?`UWU@AT-3vCpJ$f5q05Z zDXPZAFq}_p-K(ToX|Q=2Iz(!m16VkjkjI^wOwJ*!6A!H0S^cES7T1HL&@jw;(BtgT zVg2_IN#$Bgf12vLZF^_JExn8}AU_=*u&4&^^StI1a?1nmGayS$R!ZLA6i32qF(mg? zGv;K0B|`2Nr1ML-)%}J@# z0wo>mdL72=!B;?NX%l51)eP&fuGnS`S1YDXf;in+ZAw{>k)`Fk8alGZ0O+6?szaIt zUj^6nZb;v^-t&|8WNWTyzLVaE?8cO~+k3S*5xn|(6x+h1DFLd3=c`+PlD-D$90r4qj0n z$f$0wC|j3rjLl?G>!_OCTJPr?$(v9bGn&FCzW+C+oq-6IvE{H+mtxRn8RR3gf5=4=4BOeL?PmWZ`(HZRSq5pU&cQ|vum9VhlO z#(+jGchWW~S&GwBjbe+fLyey9(+YkThpVNnywVh4G>UCA=4lekI#1dJ3=^23GsV24!YLm68S4p$> zK;7B{71j1xt*N#(>0HXI%>$=DtF?xnQp#@MLR~mai+Y>q?uRmC>FlmJl>`JKY;GDI0p<+K{d_Wr>8A zXc5EZ9>uosXiQM3&^iWA^s4tvpy@%ZQUo1x4$gVtoCns}14{YbyQdTdm=;HKV{c6% zN){*)@{7%4*Ns=&wStL~QBJXjxa{l_=%J4zNzzYRMo8O~;(m~UiJ8z&Y_*A3S|FLc zh;X*`==7sf@pEv_1E=qSDoG7bJ%jC;;2b2!TImQ5{ zC3@36zBPp?S)fFK*b&1(%iyEwu1}0i=xjpD9XynLI-@p;HN>T62{f7Aoe4==jB1mT zuF0Z|je0Ndz48lE#)r6MUbFj7^VDT;!>}k=nMVt-5ioLe5_8OcC``cH% zSEj9r|5kF}=BD>S!-q-#DB6r!)hh>G8TBs`T&yX#Jju26wmH4|(ruX+lY z^dMF#lbW3opdxDmCXwn!n@Qx{_0{8nTAem4W$}tJS}BEc@Hu1cI*07D3ngDSVOQFy zHR~Tw%Mc|MZ$a5$8&Dc&HX4$93$Bi*luC8KPp2rrv^bh?wv8!~GCL2bi24v4Z%SAj zd^Bw)BtYrmKHb@bbZ7&1DNln)nqe8CyW5YKm`mu4k#9s*XdPq4jJuzE6x*E{a@!k8 z6NXYJBGQ9c1^SsAQIUBz9Lh%N3BxUm>xtrQLAFqog-ht%QRVCO9Fv%ty6~&$lo*`v zM%w6@9(>zKc80?C4Dn6aHuJB42a)8zim#^G_G)8QQg0GH@=(%Jn=xMjG0n8Wij)&1 z-kDNR`A6}#`_4K3PX@)59!hgN2mJW{--OWU@}`W_jwmV9J88>3zv=c1OiOecl4dMT zad9AY2W2+I`i9p;Y}IabOZeS9%Ho3?jJf75*8S)=(Yt^pulVDq8n0(8(Vfc^?uaWB zb6RVNOWFjQ%?A% zM1x^1$qhC)=ISFZukS2FVMC?e37tsOuZK*bYtdW+(p`s|{F;lD(pL*og)AlVBiinO zZh$>OcTn6S)u6HkblyCN-K5y>=jB?@AjTE1opww*WY{J<*N0n{2l(wNr-ht!(O*yd7E)=^0>atzb zKxKgU34=yeOUZX>V3CjDEX7Lg9C1Cu$gn|=VDlPN$&0u~crz;~*N7Q!WXE1H^R3l*)*kFUCPjG+Cb`xmN2bsanKVpBl67BRJgkD+_3(jk`F>B2V8CZwu=fa>&;7netpdhQx~07YJI@P%&;nuM#l z4XNjX@IW97b-!S@oM23>+Xwa);hjQ*6^3E9`YbRBLWu#_X~$$yG0Ec9HRQVQkdg^x z(@c@;7L&<$1rZE+rT3LA#!a1BN@YargxrA%Ha}8N$rDQNLwS%_87EI2>a3C?i$@I( zBmrK(HSbIHLED(LmSLsvv{Y$!S}d%^OK+GKY0Wp=nZ}ekM)H6XiDJhYNW^~cku848 z{qSFG68p#}n4bf{%NpW=SJY%e${m?X42m@jBw{TiE!G;Q5+#Ao7)|o%GQv{s1C1%h z$%_#)&NZ>&BJrR*m!2tkZHCz5G*f@`wF4!H4V&IXFryu?vr~Ah)erR)p!8rZxW!)O zbtS>M5+?TuNeQIIk|co7_P9hF%e5nSy5MXAb6U#MOKl-I+w5;^Mf=2D<=>vPB{e`> zBER*sabsHXb2MUf@oZs+X3+}JZfQwjW_P$9*{N7EWSdhQbzVNwYAC#PhefDv$#RJa zmYNRLxr0k8b_r^;QRG(d)ffi!LJIVyj%t_U_;x$p6aQSDCC+x3*XpQ?keX`Njg`wQ zZ)`SAnVM>vaz|pyvv)^Hm31T8xKKIf?z~|kGEd@=WSc@q+nPd@u29AgttVn5th;U% z3yZuIr7JI&3AH<$ka7oV%JUE+X~s!1X)>yb8*|qRSl%lMW+j=e2(Oj4&?zJ=0#S`pJ^W(4j&QOx!4oWdm&3{Ai?nY#O_SEwYkJh?89VgNa{itVdQ*R8N*#k*saTgR9jql*1Bt%jUzbfryNX+6I6aR*Hn57VMD@-xjMZYQejqkr4+I^46uk( z6ogwcn38U(=p|Kyq+KE5X&>KKA8JfBa=(};&pzv?U0GLtmbvXsNR0wUBDMo+leGyq zawZs_+F~ek8g*wp6`N+XrVuX+3=tsKvd&mWs2D%*P#lNJeg7ws|0m}$JqL6)!IHYh z#W36%H8rXUg)}Pwx&k~}Sy0p96Wt;eM zKGUr=I4vPHq6SgRVYBA6;nB^$rdsTdSG2l#VD5(JJ}ULx6m4w+y^JxSAv;(@P17!< zHHF#Tz#=mh`}np<)1k%_H#SV6@BPG_*e41F3g`}~HkKHyJ}IwMuQUa@so3_YiBT4) zDK~}*hNrd|%A8alQ2?pfTE?QOrPw-ZjM@2Y!OM_UqgXCQSSY>}xOt@z-2L*8w+wrK z`Z{1{0ay7q@=A3HvKa=ph}VLzls43Z`6+5+-_xI_HU})D6YTf0E0t-utC8%L1C5@7 zRoY~kak1S4QmSkm9*_e$s#Hwk=+vFh%!zH;k~Lcm%TI9#jWG;R(G&&YmJHs~w;JRS zbvAd;P~+*o!kVGj!;sAF87HnlM4um=l!?C*tv<3PyG~KK#Xp~n!WI);Pp8BIq^9Js zHbIFg+xa8GP@*(>2Ju!!YRh*UP)U~sh6pT%TR$zHWu#H8QtbZy-p+}~-@srFXe!bs zUY68M0T`Y-qBdKbdX+RQ0XZ#c6vf3|3HTLFf(=(^%!^p1uDcn`fE^k6>m)m0>u6H8 z=G+iYK*5Eskcm*?DVaRCSQ^V4pC7-LU{)tEV3Nb3oygaJy7RGDkP+7N6l@w2Oj5DI zB))6NXbGN1dA0C3;|ZJ{xtLNmz@~ng$uDrTltMEQf0c#ayetDFa12(;8t@TIMz#JW z4^QKe>^iPrLol&s5T89F_wK-H-R%^}4sRX^#)nt>FlT4s8#E!V%ayPs63-0x(hKrY znZD&GChHLf!xI|@V^5vBTn8$f!t7dwE=alZiT=C{T3{I3Qy*tj+hEy@>>t2|aGP~EF$TwZS^?n4bSOX^76(eB%_zWiwh(S)a7j_?8+c0p6$kPe;Lj4}X7P03+xf`Sgy(Hfpnwt1KUi+!i!sJraQ z%M#2bDF?nY%wPsUT=73+aOs_IW$!0ZQh)x>#DC~5b8`DPjq2C&Y5O&A6S?CP_;mX( zKjros=+2P#|LL{f!n^Jg`Sx?@1Yo2|HMJzB*#N^+PHR)Il4eD~PR4q$o05r3P?lMM z#jc@V97Ux|Fh=;vt#JG0rsTcN3;XsCOIRILBl|aaQkgS>Zi}t!%5nm^_BNT6W7C0W zub3pVDNtK9PJwuc(viPeNUy9H+V5RH|y1G@481&$-=CH68{{?I`IOGpwm{3BJ zL25Sm65N81ZZwf@vq@G5!_>v}>&)#*Owm4RZzk9w?1&dga2f0PW?u?p_^QT#Ty%_$ z1D;aXNYE#QZfmeihTNaR6l7jSHtr@iKkcYP;=p>!>V+C-OiHXzxZlrXc|lao)&b5a zV(4Ws0W~hF3uui+^eb>5fV?(~jV5E4+qrChbT?k7-VlkAhx7Tr0=$qv%Viy{UWozFqB3Mf_v zJh`)_;C7>{t_*Q{Ap_`x%K4&GAD)`sdUDHPWPceWsy~>MVhL5X@~KA- zj%F}(Sg2EbL|L~)ehVGI%Twa+FfL)GPGoP%jF!^|#UWJFFu*pDq9DwSGE={RCo*PK z6I9GAP05ju#w5t-43J`nUg+~}r6A$Xw@UOs{8Z#~`}!ie<}D>L&%#OHzC=QBpZM+* zg_aU&VXV;N;PK5NDk$3Zk3Fese^@smH43?@DLJf7P@FtiX&7koM}ncuN&MhY5KqN! zJsH!ohcSvA3_?RG|6rCF*>D9>Ahv(+N32x;tv?}iH%6n^y=X=E^{E7Ap?o<7jJ}q- z<$%KZDz{=pRsG?{l3VbQXj-+TrcnUH6FK(cGSS#>()JF6u%>Q99Qrioo)#`KJu*9b zUKHBPBdNRvH?ZTsfFA1MAgoHk@$sWe>r%Qhw!dvWIkp|H+RD;hS*ZE>w3){Arbb^x z1)SI?Ha|PQJe~nMe4!)@@S4GJobVZg43;_}n9t>_g4^#oguAK@MHsVK>vPd}CK%|! z$rpLbQ(lt$JHwqpnZ?4NfSa&Of&q`P&y5q{zi1n?0aagytP5qFzzW20SGe+Bd4}kv z*>*_+rUd5WB(W64JMYo`8on$F>>KuwYdhy~@1{L$YUBMkY4;Hu_+2k@wYLR!aR= zXOHf~heMkr(2elsFEuSlql~sN;O!q4|L9k~u2&q<3JLr6kJ$l_5Nz-E({}3h#W4%I2^7^0|-p``&Xq{Gj|JPoiJn zw0U9Ve;0Y~DIe7?2R!>+A@bjQabSx7aVqXHQ%zH&z@`^#6NfmrAY!OSlRpv+Wlk!O zD1byPC(B}5r10U*p=x<=AIFT@aOYom;l!W*Mifrm=2xFv+z`C~vE9Bc{;R?jyw z|KZ5HWA9`bUG~pIt%>f+wD{n)25%5IV;TsWG?`#Y$P|F#iTrwTSxQafWx`C2UghKi zxhfTgUJpoUhsDavxQP~j4bL(E#1%VUW-kfLQi zcZJbd(`sPzzJD{^y43#??wvF6h*h|zIw7_KGr47O)WFg<}-pIn1$E*Ki#jXTOCz%A#(Up1uu zYAmWaBFd2E$<)7=WwbM($yt1&R`Dl6gdvbhpRYpi;CIAA1GmM1fM>iUmT@g1-*p7! z!^1(fc5S}+&CxR(<2PfOlw??YS9R5}LDnjIl0Q()c*YT5&j44FjzDDGI_YGlN5B z&yO=?=hblvp9KBz&oI%K2aen?^7Kppz~6i)ULJ3MaaMlt0%jwwd9yG3em?569@&vU z^R9aKa~lJS&xB`>Uo8eEXsZcn3Yes(JZY<%HE(R5f)vqq_pltK5@}MwK?bQ z>cx#1QEl_K%EOqVtoDJy?~6wpB7ILyt#54v|Np}pB<6tM!r=T^AVV))m;<>-6hF4J zN2+e<7N(BHF6)MdJl4$xbW^kL0>>j^&V5x27Ty@C@k^0174JR9Xa*D{!rq`E?g?Vc z8Mr-X=Q61iSA|6mzS@YPFf$k1Xp?*?D1#K(7%yO5QT!VrNbzOLkOxNGx0risoPTBtthOUvM^6KUr7jMQG@_GfO=XSP&)19<6RgLw>acsAhV4qBT770P~##@$^gUG zmPj(PIGQ{c&G|o`1k;P5?00#vVGEjIkVuQ9(=^=;Q;ep^zS+Xi*a>} zC02f7S`ynTJ@>7cTFu*Vr}}f;;VPkx{1TYHczaAS_gB+m5KLZ{w&l~5=tM7W!U*k5 zfRbtc25X5l{P&4qUvv-ckx-G6F}?>}@= zWL-aDHbl1q#pGb;c-b*xUVpw>KR@m;VyDD@A4b4s7Ij0ZT4bT7dHPNHI;w-e`5Lvi zy)-EL^-aFq`ZlTD@!bKVS8g(LWN+Mc`44?QIDwj*3lzT9FA(+c^}cg%`opNMKunDb zG+xynT_JGCk_ArcbTL=EIf0s9oiCwo4{dlHZ$zsP1M84%l!@P#KeL~YrsZcBxOd1# zuXfGJS=yP?k{p%y`Li+IlAN`PrVCP*h_`TIzDfl`R7xwD9X1H#y?YFJH6u~K#*v-w zl8a%2RHKaP*Zj-@OT5e_Q6-t~b79Mjy-o5C_yxoW837l|IkYY_b zoe6j5ts)g-V?aB^YaNyjWa>Gq67tJ3-7HAUcpijN>NlsikI@J7NfzH1l*S2S&lzH7!4e` zJ@73O!@;+M`}e2HhxfhRL{Hu@_c0`9LN&P0*y8(d_UC5@)xFcPq@f9^Q3xvIG{rE` z-P#m|s01>r`9m|95IyDorh%At;Vb_lZb(yP^w2in5G@mSZN+>Puofo!qRJ!pOZm>f zoDFUu_mLmXOZ8hDhs~WAS}A^YB9j#nqU)a9>UzHMp*JizKiEXmx>3Muur{~L+9{BB zI_)x-r0pnJm!k0|leOI0-_Lok(RYZ47cgQ^z8l(q>H?H^jk5pWpwO!U%)Kg9c_|q{ z)C6?8ct1qR0jzvr2@1<&JwQd9v_L`nd2ECFJ6);6Lo9rmitx+ZhY_fHGBQ2KMX{V! zzye3tQxl~dSRISx?Mt$hiq6!1mv5f z$j-yDFuXh!hn_!&$O{g@N-M0*d%mx%U{HsY+=9MCp*9?9Md6fJ}%4Zx7;rvBCiSr;7i)&$WGU zN6r^iLmJv286tQar4h3P0L$<%yrgKzrN`kuh{CT9v0Ep8&UMoY>Z<{RN z`6Dcx`V;IizxQL-@d|ftwT5GT-S-ey5%0*cEYbiQlQ*{b*6smn~_0GIJ8xa>^>@p}O>Gwix7uCntIvdI9{yVAq|qwFpH z?ZYzrhu@LH(A5KW?8JZsqZ_9{A%DD+gU?_P?7gwDzDDFf_eZA>6h9Cc}HeS(SAa$*T0N`)*A_3*V;u9~@7 zDx3!npM}Q_sJJhHKQ{p$Qy6NL%5Wk)wTO6Y^=0BxFai8r6$@vp-?kK&QmjV20wL_- z<5r;vIY{b2a!t}(uhw~IbexN;@rY-@i9RaTIHIy$1J9!gdQ}p~&vXoR0-xo?CX1DQ zIbBQSRe@+LMpOiKr`Tz%SU=zC?l?^C2o^c|Rn(d)dZ*bp1xB{^SzmWe@U^rFj%>u{ z371Y<`Kv+qjbp;RBR}zxWw(uv=rQjKIs9no2F0DxPcuhJ$9iBGpjK=?7Kc-Sl}8yN z3c&JIz`6F;PnV$H(H9&hN){Y>>hqZX`zNzfKDl9P>z|%C814PPYuq3HfRsi~K z8ietPD*pWGfmz(>iCV54bMg$)GU3kG1WKL{O z+MNX!2oX;_sKq*}S7p4{ghKF)R|$EK_76%~CJO5GbUEEl6W^9bnlS@gBmcw(lilUuT~AQL{wI1BJbY@v z-R?+bGF8ckU>%zB9N;hvup}tM;&6ot2gEg*C;-b7OF3CbCFP$L#NZS2>K9)Y{q~C& zE|4S(7C-yohDe^gVPfmpj|!gu=xgSVJn}x36Q>@K{>Qgrw&}02nGl#f(|^BjvzRge ziJe#bvi<$rM%3HHHJ@>}`^H;MsHXs}2W!dI(ek<+*b#4LZZO#PT+e`(g2$7q#>X?8ONnwPWRjOF zl<)oyj76L+QF)Ci2PdImX%Be4BP=6P3{TxaVLycim8)Vj`eR)Io^YKRVMsGY>>?6^ z884rbzN?9|o=zHk*)ZXVutpmF(u7#4hPb&#h~x(as%LOg9NGRQ(y9PvH>|VcZWQPg zMRLC51!%}dl?hdNV-{FIHqm7W9EF21G+T%;4HNw`c7dL*g0YXB3XV*0lL>Fc)LAdS z&E&cg2*Djm8&*$4e@M0}hTM~6P3yV?+=G9u0E<&^Ty1YGB8p?i;FAaXFy;^coy5@{ zw3f}%W02l*0Y=su6bisv2g(nEFyB~qxW9wYw?dNF)C5If+r`x zc+oFf$MdmM12XYH-{?EB)tCAuy8WG_3bA$`JcghdRp<`T*aA^5Ct8aMX$mrx7(_J; zw4gRwo1zev(Bcon)V55TGQJN=(ryH5Kes`&%ziI^wYR`P21Jiv8v68y{`3MF`|77O z*8Tq-^t>adOdof&EWh{n%*4pw%N@1))}13-uC40MNqO?P?K8wpOrW=8?zr|~tpeS7 zHLg62ogVDiB7DNC!Li$2pbw7}>}@i^U@$O>(S;2b9yXqjUtZ(AbE|CgBJU@neJ@-w zF^U@^UMBQ;Hj^6=;1}fvtD)?v6KEdF95^NiPDd1*A4^>BPe+f^%2!3@UH_A9=H7xQan$lk!u$mBX~0x=pUnY zNBuI|jNRh5=Im978OoTI3NP%kCUX0T6t&(m@TD9nM^u;upow(LL+~Hc0ONFhUQdxA zcUvX$Dgj4SUd0W2VD*ayOe5@Zy+c0vK1Nigbh*4+-}WH()%9-ct2~UkxSPFwa&k~c zqdnsz`X0ZUj~TGs0}XB{k?g+G5t)Q^#hj<)$Qo)G@Nkf|#o-FGX^hdBu~-zTzKEY- zAgV2F8y+hDk(ok!QM`4_hQ-T&QAROGW%pOS%9g^VSO|5O_y5@M;VE$ECF3)j{|GO* z-oACfKr@@o4SS>m@sq_bZ=1tMaMq1v9hs|-iBaIAQ7PZb%poH#F76nCgojQ zJaQL|s0y*Rh;)PB{ej4jb*K0{ul6d2m?U!*D)kH!$TO$43RLG+5yRkV!;UR(Cf3Fb zj?IOmH@v>;8bJ=r6ziyP>>d{EcxsJ&bj%7Mvj3aG%mPfq_aKW%c!`g3v@R3E-M`>t zM1}5P&jXprtBybujFZZ@fO8lTq4RPUy2OkVU(OjDc;XqnD)s4Kj6cVAW5INAIp(N% zH+1EH1p`^YiV}G09~{6~!BwR!idD-`W;tSk1sQiQl33I8fL_2iA>kLFS5!PD$3jhS^w`+66B; z0k6ZY-aVQy(haQ0=usdTIA@xOt~TC|0L`@cYz=NxeFhPOBOlNw1E3zyhrU`^=^T}m zZu0Qf&rHh;3nIsAMrWzvVcbN^`t&h4>7xUFJbWeD!JA<1FM|(w<%Z!C7y9hcO0s*@ z4TW=4=0;RvvP2C7tp7B?;t(GPi&$~Qh2D_C5tYlh18@Dxc>oJAZ%B7?LC=)IEbl>ep2I1_>#u zWuyg#iOYc<1(uxaejOXU2mbGSn8r5$ZaDIHzf1b7@2=_g;$?8pMKY@2nwo~)u3{kN zK~r-4yPqapcI6G=wa4Ro9qo?aTdwI1y$d#Nv2$Y0W_C9@VJM)haa)gJRLWzx*Ucgp zf)^mp$=8})MF~YmNBOj#fF^-uUYEy*^OPx+8M;U;FZlq&04elign^gIunvBShe68l zT+K`DwbYGDnVJcrfy-nx!fTyA3UYk`0T#cuEo|fN3trxEA3|Lrs+9(_n3? zLyFp=%c?2RKnmTEsLXI88SrqVC`&V*CB}Zmg9*lns8sQmicIVd_juSZu@8GMT72VG zWgCQtSgH0`v0$vQRlJL$Fnm_UTFevEY2Bf`5xns2({}4ci=MAa^wfmZC?uw)xROAE z;;5rGS(~5`gHUBICrb|DP+H6diYIZ%1{^zZq$miV#Nje(GP%_V+{sn=s9T-Kcm^f z3UfCr%y0SZmRMM;SCQv5_3$bR_n)}BWXrNd^wBwmUW8X#ih320zll2m@^*kRX+B`B zQXDoVT}sz6V<}>XxM4bLWzF!UCdYE6rhSY8tO$qVKn7l{_?9U~ls{qbQgV3;&BZ84 zIRRDMJdt9j!O4<48ly2{CV}8q+DQiRR_ys~wN=W;+yW9(O_35Cg^OX>!;!<<6ooXW z4;VX9U>z)i_D%J8*N_≫tMXIl=YfF}X3|?%#lw3K(z|X>}&dv0uaQzs8HyGSOET z!7r!sl8|CM1Qul`s9?nuu>7D;e0;N8NvTkfWzJQZ=|0T946=&k0Sq?yqQ{@T9tF@` zgn7u%D9-p&eHafayUc8!O5j!AFjDb9eUtbV%-Y#xwalSyGGXu=Mc(cQ?FJc~uu=+5 z9)9=%Qs3W-E$e;kM}LOwPFo`tV$muEHFZxZN@Kzv zY`NkG@|(YH<&v*iZvKA2YD{NhhG3+8B&xF++Z_e0&Tqpf4WwM^0Fo zBSmULY7`PvQ*v0FppY5C@B}0CFacI7HvMsjk)t}eKnFv$-8X#sx++!7gz?|;r1JbO z@qhUr8+rO;1;bvr#L0NQ>oy$QIG90<~L-( zepGHtOjzx z0ayF^JP7w^LUe~ruoA|q)Qb)&#Z2Nei~tAKp^6|zLBZA{2Ho}K&LPXEK6fK*IplOi>U{GcLNI_E3ftQ+)sAn9dGH(a^z)0aiBb{bV3B7EP}3e#H-v zdRoqFq5Bo^bd1f6(^?G9^=i~pEj~8_QdGyxd&qKR!*T$lG z9Ge6b{}vyu9lTi%Z+)x#on*bdCYJoW0}vz1o+4V!1f65V^28K?;fXxc(yyV?yGyK1 zQJ8c3Fj(bJi7P(w8v+wD74Lmx`{l*>y-hablVkpu?7tuLXMc>bRH@I~I(wB7scs8w zWfvxVKRn3Q$kt{vs$&?sV7wk*`;f*ea7M|RA$kcR7K*WkrB^FIq;&)93fL7~RV=4n z!_i^X&^}MTRPtmKn=-ZQ2Cyy3DTV*Iw9&T5d zMag@oE{Z(HI-ocva9O~Wj+x{2jtXWk!=h~clDa2Are%YsC~0RImtdN{P68PC_}WXo zcZ~%E+&r}y4;*0%QY||^A33bNR_^H8KsrcMsx_`%tmSo}NfO+4C2Cb}xQZi8ynZQP ztiJxPZyaH18a93db>f*m^(y}h(moB3^$NCEf_(UK!2y>lB@II834;TTDk)pW;*P*D zkYo_mUXBF+KMlI?xHZ!@3+zY!X*9~~1>bq?OsV({&znU=j^8M=l7^Xve%)G;}d>Z2!Qe3!Mg05wPqF9ipKk@ zy2(#86+P-1`wJMI8Qvp|L+^HiKg)Q>N)*!#Z%N*2d9~{NqLj{cE_xJmRCiz$v_zeb zQ9F%SdX;I6VCT#Dr4Ql-p6m?4U@Mn@8jKx|DCX5Wa%jw8wYGe=Y607lvNj3orqA50 zB@{p@g|lo_-GOMhIcQP^P#sCiRL)Vk4tNv~fFbrZ%>xDn1w8DYI`}zU)$u}Loj@V- zEmbi%I82c6)WBS!vWvH%44@?^f2KqDkZVw$f=BG7YLFJmGq1F*sZirznWmGj`yi0}0n4#TeY*AD$ib4c_{B zxdxyk_&n+ET@Oh3ogdlPj2yMRCE&&KuTMz%_(tJ;m)!c-LA89UK%KJTR-kx~Z}T{b zs!IG+)6^&=rl#buHbEgXg5k--k%I}aQn9HbUwnB!JaG`~$DVvgFguR!0$ADb#1oK- z|6u5#1kXGYkErH~e;=5|&t4(bXFk;@Hfe+O_?@_P=(ZHxQ@7RrtnN|XAS|w1HDnKn-E|la7CLb z&QtGWM8Yd9!-rEWDp4^u%S>1ZxAiAcw_>c9|ILwufS5Q^5_?DY6qs_Qmwmb zydBjFYx1J4=SvO0U@J#aCoQA%;H6lTHF3;~qH;Grjd_<3;iufoC^UjeKGbrtb#aOf zwTmTKSw$Cl4Z zS!;LJtAZ)-)Ic;@xjTmvw5KvSYrS7u#L|z!S(4l?$9x?vZSzMN8`wQLb&K#t#2z>+ z3xGx*<|5rJzTM^~Q7eMa=vN55xUAR>ShA!_EDgos3dbo{AE zR{$m3Q^0VD&;QGSUvx<|@F%$IkVjs#l=9>|M9Y6QIH^P+TR$C+ejD2g zjKB9{{LU7rl$4ey8U-*s0ktQWk!B?TrW*30W=@B6w#uf|)k^h0&s{#WX zlzCXWk7s4G#YT2dP%|>7X?vcC1>;M1zO3I6hO@`$jr9!LlY$r;M3Xe9K>uJPUDV1L z;PoNnvXYdnYg&UQ@iU$9?M~<4Y$s>&UCDeyW~?Pe9JyVkKwiKC8G|eRWc+7q?Uut= zec0Xo^t1NYF>V+nP8P6?_7>cyhrT`y7AA{gwew?zsOQ7@!hdf*@mK;ylH!i(l!IAPZ*FMeSQ~5-Z=nLu!LPgY|ZT67!M#}W_~L6(RA@;FL(+g zs_@bG$>>ZDcGUQp{T7p1k8i^puy3M%fr`TxF*xc*A)K0$!`cLeJP3xTCVwOt%A8al zQ2ETcj@SZ#_TMPoZU=*yr@s;zXuco`jh^jCI?)KY<`|Z$^$ETv7p6Ux{ zX9wNpgPA<_`S*lVm<|f6FX7!7Ojx-1Yw_r;YDr9^0EVZW)}~%{o+sf704LM&rp~QZ zx4HTC%i+ZoJJ73KVJIGT=G4dM>fuic_9^>&T&Iu+Uf|D`!l>%y)1(N!-H&1*vNEtz zd{7af&;+!bV-?H=teXHgDX0uhVHJ?2M)RH)?10QnkMdXje7P*In(?pIjfzfFi;BT% zt)W@>hQEVrP()k7TQ2u4MereJ<$y!`fK+q8 zXL3Tr07MWF zy2={IGtcTYQscUBIBwlR+STl*iBYkTZ-4hPkVovNALCU6!IEq5n7@dKOyii4Q=_t9 z&f{GyzFG0w4Y+4X8O_g*mHxx{h*aiWH8D&mJF9N zj+}7}v`7B>o}{}#N*Cb%r;9c!II$Ba)cDndeb`11qf!GhzV+)n@^>;e)rCh>)*ZVp ziC7OM`Z8v6Ufdui)igBnoKzlB0IAqCW8?%sZ^!Ls=R3Vh z@#W7}i^R(U1BBp9?}~qa-SX4xV;AdD{5(sr07nh}?dASh@jpW*#nwc3WmyE0tIqa6 z`P^g9X{xiNu{<#aV0a?GUR*|+6@gRliWNXNifXmlDUpY<_DBC~$mImGO@{qG`&ymB zPC>C@)eY8OfH9UqZkd+lhw2+$-|qa8pK5h5jq}tvR$*8eWopIOUA95?9EzH+b1a`g zp6ZwW34`&Yp<^)NfGy!Heo-1m@KAmPXGZ*ZCFBrZutb)*$vjGo+5T*q%oFYck$XyJWZeMj16Din?OK&O^=p5y z9c_?(SuU8z`~!xGbo8{83o45N>gCg@Rt3EEdjeH1cmSJr9?ikb5{HZo1ARDBEvige zFJNyI8T~pc1N0k_JTFw-tpc9*$2rO@NJUYnxU0v|WGS|mmhu*fo}VR>1x`3x9h$Pl zvw4WDGl)uWG#CTjWCwN+-~sM#JhtTk>u~m19ei#kvX$S6JUP~{GJl~JJvN6CRo|?) zaDF&|w|;pXRlko!_IG0OxyW*8T1V;ehDikssaa;ERW6Ob?qyFFpWhW$9>MRd<^c=R zi4X1CI6e8+UlRENUZ^~KuKp@rUaSSTxDngf>xK*xvn?YDhBDIR8N}Ht6bCcn`!P~X^>F|2JW|v^ z;Ucp$z`x#KeEFLeX{IVU5NdwT zfti7#tYEDBCQtF#m{||cAO0=ytcS-Mmh`k2f`ekGwF8LTeYNWFY>lAnrGz&`K!~)8 z9T^eW>B=itp>^dOXRZvNqhz)nhrwatsw%FTVDZ`s-(yV!(Hdea=T5aB8@sUe9`|Ix zB-N7@D-+6~HU-%XM9--J0irlo5R|;AjbQ+$cIo$!X>kavW37Hiu|B(zVwjQV-e>wC zCzhmS7`VtHq$}7UYO&%hMPX6~T#0-Zyd@rQmrgkv<F90IeEACehdoP0IB8)npg;KUZz|V+Uj6$Ixc=?!qq0w3J zP3+@H_ZBecbrA@jMXA|-Q2C^c%f#_eo^x7jh+B75x4jn+0aMwDqMgaE&@%Kv7sMecAkq5+lYwu^xC0!b_3Rg!+b(2&blC zd2T(U#i*0Wl>~+1l>$RXiTf=h-NCx-_?%!SKcf6R_1&YYqjL~5fspy!q&2aNP-1E>BC%AN>Pst6_?4AK~ z2B>E+i(q19=HV&BVw(g5*ORA4flXqHA`JG@#o82ws4ECn@+#pNXCMp8K!CsI0^BUE z71{_;76b1Jwa#gDkiUgN`~b**4#<0jP2^#}^3Y^oTHH)$j@5WPOKKvHaCYWsg~Fml*)nHRqJ+i)BCJSL;q z)}+EUXt|(I-!WO1k9q~RIh%{57bGPzOV=>K(n#g7ydxZn!=d0}8E(p$VPY*sA4D$c zGeLndbPaxYbR2=pu4px3Q-A7W_M>o^cL=JMI9@F#Xq0_#)*~*kAFTa(jQMB?2BZp z(Wv0NAWE zFpc_5(M0N*1Gd_0fCV>2MK;-CLB%3DYX?$f3bW$@c^MqJz{FV7t^*(&jT6?%*+LIP z3*U-EapbeC3YX9g!5iZiJ%-;pkDeeoO~f4#w|oTu7!f{J=7I@g;Q`F`l*)(;@sVs@ zfOk*&69)^<}Hm9`h!JK@2;$K8PQw!(f!}HzQv$ zez*k#b#_*{KtoIr--;S(fez-4&>U;bS+y|yQySGo+;s4=Nx~V|WBi2n^*VF%k?E6e z{V?`F8IqFXL#?aqjNKOOnf_#V?OaWp)tW#!b{x4QiSig`hH?(^^B^#tPkN zb_SD#w8@tS%uw+KRey^_k8dMVHk2Q~Gz!1?12e>L@c{_J=* zOyFlmgJ8EOudm#_hy@^{bdrNTweoAB&yvtzC z$x8*)RR9(}wK9~2Efr;|DmGqIz?3dvswC;}*9X5}~jd+>OI#*_^f zGr5a{VqqN|?Mb0@0a~3a0yIn{;P!=zfmf(*^XqJe$#?CxMs4Xf76vQtdVx=mN%Z1I z9kU;Vg~zbmGKXS;R5)+90q=f~VXJ5Rt~vB`Tc1lPIgC>M8{-AJKUY8T=BFLCR~nV1 zC}$FWD{@tGA#1|`3zMZ`akwI8u_z-o>6T&oBF!k z(Sx-Lj!6Y!aJj$@6!Dx^i6S?WvKM;Uo&rVSO#~W#^id~%qaby*%Q0-39NQdEOV3Kva81|5|l zC0;GhvhgsYIP%R91Cfo++5uen4tSjch6kLJBbdOtYqt{``Ll2VJT&eGPmUBWJ47Ob z9Rk7;nYwipkxkf`sKV5)U|b87+lz2-B#XIjDqR_#nM}62DNN7?Wdtk^n4Aspt*eC? zgvy+v_TbUYuy(N?p>hBseCtEoV|#2 z2hx>xRyao!`G*HgD0~vy<7fN0JKu&}l$|n4j~NEYnJ)Zk}7 zFpm;AZke7Uixc{8OR>jqc)u6QFNR}%3wMhFFFEk!uNY1Xm%i|sJ;nefq;^?Qx#2C& zuWJ6mzYK?N{q&clyzBL`re0zccqsXhpJ5=$u#B`=YnV!u1Uh51xQrw%+`shvzrZTz z_XTorR{>w;Uz#~mj0Hn%xcCxuziw0LV~$Fm&{aIFZwQ0TdD_(Id$3kXLa(kASa|^z@7TD6rEOSzKhanU z9b_De)5F3a-y9A;JLKJF!%6&drHlwMoL=o3cmg%4&>Xg0u2HQQ0#< zVg$A#VVywIG^c`LDd7u8<*JKNGkpaESXS!e!DU#)t z{P|47?G4ik^b|=8Ra8nhWr}9=kgFABliTaSoi}iCOaVuuLRGt=E^3-Afq!4`rfwDY-{_dF#8tL`{!yF7Hx`SfCfp6rkRKD+9 zphm+dv6Vu1npMvA|!>jR+H0xAKFE#MZ2-n|OLCD%;l1@KF4o-X(-t$7V! zV6k!BjRVdJ`TM`#<%l#Hk9b>n0vjAoV^zF=J+g21bfHLIYYn!pfK`?{hX<$y?D{aG zDrM>j+pQQgfu;WzRPm?)-Z}i3XUQhuiLK65a5ZC$bpu5v{0c`~4=%I&4Mr=9if)j& zPCz3AO{$igE>B?X7_7q&YUfZ+;SY3Z!CZh!i3lO`r!j|vz(Y9f0!{^YZ_2|D$iHJy zvoV_o8gQ=Qb)uV8Mb0{axv$E+cn4tQW1Gznbax>AFK-R9EkphBzBRvfywnFzT@_En zdFDJj?PK+D@Yv?4qT7Nk@;x1c&6;f}u;j#>13UZsnD5%XX?R2BUbRvxWNVVmyN;m@ z1~?1@u)&q1WrTuQ#)`wC;9?n0G8Uieo0aP49th3n-sWvC{#Spvs%(i_;9&#nADk!S zPu?K=%Ky*{AXbmu^~u2AaeOM6{@f)Yw^Y>G%QtZ6iCAP;mhjYx{;O2Vd%b`=V^0ko z^yQvY@o#I?jRFrPpPbej;?f}rBxWlUx-%h0lP{0`sxO7V9Gabe*Ii*`pYn^*?XT4$ z(X!xu5c@ETVScuMtbpCD!}4EVW-4Dfe{_D~@9^c)br<@#edhwpH|}omk;N}M@-p7} z{Kbaw=_mi4KT>`oUUAD7E<>qNi1c8sQYLBR%Hsm3bD|ESkD+7GJ+e=Z$-*x@^Jedw z^5+MNxa;Qz8{!w&@Dw<${={~f!s}9B9}Xr8<=3N3uAX#!Zpp*Vvv`AO@7C+21get- zOCqOa9_kDnlaZg9UGZ2(_{TVA5!g!AHV1`FLLi`(l0+T?4{#U~dIh6&#cga+=a>bC z$jJw+p2G&WJG5m!FsgBMUOwtGkA+n(55iLm98uA_;Yr-BZ63Kw8)#k`H9Y!;r*@Jz ztFnwMUT&HbBGrX$Krleb1-HWWV|2fIm3n*4?de=HmW544E(`r(Z# zmPWflxm<%lgq`!fZ=i;iP_Mp(`UEGIE6SVzr!4Rwl+T^RSaNG{?awj)n#FTv^G7X; z-={68A@NwMoX1lj>%-xip>p=S!YMA)NdE@ZDT}wtI)UgoUC-<+pm&6aaxEVM=n<*` zsn;CXTL!^n(5!GkEY-30&x72$MRLr3`~PR|eZcG}t~=4wefwVZj7HEijL=A!P&171 z)ga@Gj4_Fcs2L6NSb%*2NfE@3uVg_4!FjOjIOKcAd*=G046?jCV3Ei;e;O>a1Hq2M zVn5>eb1t&%PiDRE2^RY!Ugzy#oVPMB@kidud5+%Zz4!Z7-+N~UG`Cx5KyzlgZ*|o< zr_MQbs=B(Wx;p8eU&3yJnEtrS)-mN^qG`Xgu3I9(4rfOUIcESj)p8`XLb5Me^+34ZMr7t-t=AxN?(&;-@)@e+sHPRA?s*(>m7Nk z=u!23{=-__h4o!dg_craqGUv#hJp6lwor&lfRfJfl^5-q5TnU`6EDtPZ;jdh8TXwg zwTI8@?9AOfNgnC&2VW42oq}Y~b7;fy?&H{_)BVvn)a>1MxAiR;TvYuv8OQdFNi&Fu zcEC>n>WjKnzW3;56C+(7JY+0x6CDUrM3ezKqjB? z$##nY?wblec5)v@bAW=!*DQ^b4ef9IZ>U+BAGUkYI2FjbkH4G z*LqMsmJj5W&jfM#{87n29{6(f?MzIE{-bD}ms+es`d|Kjo}y7Z?a-`9e}AXYoj8d5I<&s{t3UK$y=joG`k zEMpJnYg>HPgllkB8fDxf^W(czN5lt5_ycQpPkLU3pDa z3ZoD|(w1XPg#m0Y$q>-l$ zdiwA2CB6ckF5BU;aMB=jJ7XKnY-9Cenf7o-N+ZjdZZhJvLkkqCd|N01kLB0AXvCfL z$6)0#5RJLllfbgm#8DRZf}mj(4d6y^aDNzBipjhz{J_ABdbkOIyvyT_Z|*yl5uc<0NgW^h(ZCfgQUm{aVN|uli>so6XL?hiYS^8D9pV*OyXXrM8WM+41N`da5pi-9#u;zM&htI zkVUGtO%$R7PK6Zc0S<#hsMitZx6>j9kM7Afh?=gK$Q{^u805)5j>cdy2$btG;HBgR z&5|t@^FVQ}7m(XQWhuLL*vAn_lU@P5wuK2VQZ107q)wIbdXIZ6LwVzR8;)rlf(QM% z8y4bv8b>R^qG&EPG#{D3Mjm1^n5qIRfdINiGz2^XfG#>`TgSnxA51KGS$bC%fY?4* z!l9eIU~!fvRcI-NaQzgty|YP+-vtBe(<(Z;T?!;9EyRYMQ;6Cmn>J}@CaI-cGkYhZ zJ6T4-V(ypWOfU?r);gX6UeM_D2CU!4uO-hUiqR?HV*mkurAoX2LBqfdWN`NxMX+dn!yQ^ z58%akMMKYKIt@7T(}6nxKLiXa3WFeOGlGH`K!b~6IHR_WvLeL-09(?PatQMUF}Uq4 z$>2m5u9$DOgE88o3MRoOK{4UP!gx#oHSn)UfsUW{VzAE$iZ)3r=%$I_A7tRONFbmb zC`rQtLuaq(hV?SZM_)pbvk}KDw`k3|L1d^?iz_?XA5t#yz$3urIz)gv@ zmmgGIBw!RkUv@&&}a8+fueB~_3<8NW1RU1)$sOEHh0NuqfKrve=2+v8_ z_A{x>K{LJ7 zAL_rj-@D@4$lyJ0R?c+T1WR>Zbh+A%VHS9@+~)E2jTVu4H{165dglX#;r+0diE8ff3Ys3_?yPgDwEc*>}4 z%c`U~T{yNh2;@T@du_Z&whjM|^}mEoy;%Y9RwGHSPAs^AwxG0`KrSndyxdlM_` zo#8|NUA8Q{HlP=paq^%JMC<+i2!3E&9e_D(2YdgE1u7;S5H*mf0|z_R1_LS?q=N|t zExtxR4yqsq0XAIXJwfoqr^X_dqx60Wk+?_)b|w@oI`2(zxx+*`%I1#g+)p0^JLT8l z?x=?eul`AHshB}NQ?)ZtgM#GHlM#Ik>6 z?hxiY%>8nx@^$Xpv=8q3?8X_ijFz4um@h;puuO!zB9BH%kiEeHFJV*NK*28)`f)Hp z`#yDl-E91=5r^vk$3MZl8N2SUGc^qYyq;utJ>`ez;3e&`{U_v^>iy?RVdKR$seBjJ z=&`dW^`^V=g!IaV+1jZcujB@U>XuRa&L7YYN^mt3PQD1$)If$rKyWyV2(YS z7|N7ZenbJZvSmW$DeS#?>C39)xG;sMj8}^D90pAVKFH{o)?6o5eBxeif8`wM*tiw- z_?Rz~gDVCMwp8?PDQ^hx9q)7^q%zzGM03->lIw?oTHg9gXz-3&Czn_fQz?MqiK?86 z$w+g$FwH^XeeZB=jE^_Z$_*~R+kPbM_n)mPkv+Krvl+)b5_hCw&M+9}UlNI3z~&^d+fjmHR?&LU zqdJ0{fQK;_AJbMc0Y-K*8Bw>mw)6!L$?1Sm7Xydy8$kcVecUy`#zc*`nbN7>bc_H) z0M4!5DfSc_yNS8FJ4416<}|mlL!E<)9Abh!_*#f#Wk(S)DQf&3P#=AUR0wxUI5-G2 zXI75mp=XxG#HpN58^I(TMgxom?U6dJyh8GJ51b+s_z$QT6VvP11+&3)G@q2AA5~_P z80a3)(7Vz>aUZBH!^=uAwE_B@^nr(TL0*sH3LhPyLr79cH%62YV*{B$@%lc>+;YZm zK;2?B-c*}i48{9;uvoDMD@A^`5tRA_at>n{X&~P@KkVIq!stWyE)fshE0vcpcKI!Af?E9b?jw~~HU#ND1+!G*5s7a6m2Njo zT3ue4^^Q?XDM-~)rCFv*QRgW2Iuv3M3{P|HF+USvwz4Jn?RV!xjh+y{zV9NuyZ+bV zhKpET$gv$*WM_zg-;tHS(JS@sOG~{4u*QF7QkM+N+TR=5eCu~T^wls-mkKBw@2@+; zxbJ_@;n@+)H=SE;Nm{m~ZwO@=&Zuq6WTZJwh^9No4}~8dmtFA?R?(MT)1K*O3y4lw}C)OB8%yTw;2zAJdYy?|wt3RX)cSk6BfvG|p9?ju?TP}_@{Y1o~kFWAj!ae=CZ zKoc=uLR5@0Rx1=Q@ePLN0SjXQYCOTv!IiKDSDiV4>C6Bw47yp-;C96IH&W*RN$S=x zh(Zf29HH+PU$YPv1wf&VCAz`4Asy89MNqXzb^Va1eR5qUp`IfEhybrLJ0 z_TotfVatbrY}yiQ1jitz)|>>i)X7DG)NeU(UK%L_Mr(l;k}jaj)Eu9Tte zW0==|c)}%-`N9JI){-SzQz*qDRK_sigvYPt1z=9XwohQZdf$J*i|Ie_@cfIlO$;T<9LwN(jxFQ=<6Y3~iOAni)ZU9_xO5`F z3QbNrl)iMo8A37G;>V?bEWTLyNfm$PR21#IXoY+AJ=(F&^D#D|CY>A1!6NP0;TJ2f zLm&9ahNl&E!h9{z)ipfZGn$S1VBWH&nV$Ot5ZkPzvZwi&fo%D=#V8IlZ zYO^GMIAEd|3fL^+aWpc=J3@)qVyR2nyD)lsRaqTU+Ubg9E+(#?VCG*9R3688v3-AP zy-j1hkm<=Xiy~O!7{iE(k#f^=^X3x(3lGSRN8(96AlB6wly(WFt^E(9&@ufddf&V} zW+#9fp|RkxK4{@QhB1o?+ycgA{LV)m*o%3kJjWhQ3}s3yKcWCy*&+ekb2ehC_JWk27{df6+B`SE8Nk+NE z@wxXWaa0!U{F_!+frQt5F+9%(XIAW$JopFsY1>UOyFWuizdKo zGpHHfh8_fgorY9UTHN5@^?Yfi@i{aQ@C<>iGh?{A`=w+_*|V3;3eX-r>E%p2?TV$* z7miU6jG2b`qzd2GF1yht?@|dS?)9vsYY8(cX4%1|f3$+IBD83LWjNO+Wkkg2s*kpO zzMZgvl>7}dEMneBeV8nO)r~(avH_1uR9TH}$ETS_B&^zJEk>VgQGzp>lMH2Qec3dT zE@mV~;vu*nRO=|_KzAPO{J8IT9{oe3@-$W?l-Bru{O|0w-j?c24)bwVHcj_nBb--! z`K((V0?c%>`Pj`DPmY#}tPHG2io>~!VSokHLO~ezfS!OxLTkNJR6)$-b@w<7BFqoS zMfYyKHyj1Z@a=Ewzpr%6({Jy}do_GWO?LiW$7J~OS#m#m5SE|)NNuPT{C3#fgjfB` zNLGH?x@T)`N!n5h*|k*3pluVSsfb}rY%MHyCg!BHL;@H#`-2%Y4)K z8~M^5uUt6HiAS$*D)bbjbxV3G1u#5i)V5_+(xgMfKnY?ayVp}CSoff*9?H4)`1L4r zW7IQCt92Lm72g}EtTv*L_lsxqmvx#CApTBi8NAFwNd;SDyCzr1nVp=MwQq70M5eb8AymZQI$ z)y7#e01l0BiAxp9TX8`5hBvd!TPP<>(2aj>{Yy`HGO=cvKYH75$su5+L)R{gML{`_ z+1e78Y(ET|VPw0@oLC~OUtXI$KU>67mQ>hfKOMY%dQ7%pkvpeh6+uk_}fw? zgSJhSX5NN@IreB`C{yN`4A;$Un+b+7im&+=2Z3rX`+0{WBWXJbrng*go_ww|n(Er@ zPmaV(#Y48M$bB5gwaj+ct6p&6xz5l%8Mb4FG-Etb>BA=hEiAtTytq9hq5zgBDg`h+ zWz@E1RnjaJ1Q-rj17V_0^=lL{4Qz-B!@y#QI5<(${ug$8+abS#%nabfMz1V~e1Fg` z*BjE9G2P&ZXG)C;(K?)JXn3ZwI+k>a9^El(Aa8O8#xuy24SGs#eesO1d!Hj*(PeSpB` z(OvIAkBYSpQ(+pRd({o+I%hDFqjZ(1FOyRt(+WHM7}F8gRihM`MO-}K8^~gDD0)fILtJ|C0+G8zAKI-Tn5MS=ZY9r|!#JeIHJql>nKlT~;uc z=@GPAf>t0^ur>&6Uo6up5;-akVL2KGm~9IM;nyXYM$F{onq_VYy#-b(cpb;Z?0gFE z80D7R&eF?4?<8ovjaNl>;O84+`>pfB7~@@IAARbg5sbN~!mA_DVn^;~^ThrW>QvB{ zq);l-28Wg^8MJMpG&460%&|ukLz&XbFDa?l*^-Z@Zk4O`iTabh-I+#cBkZ2w_KshU z8WKG>c1{rD^+*rz=b67;^V!M5-d`2xt&`6U)u$R+Q=Ivrjt64h|JXl=c>nMbc|TNe zqZC!*Tb`&C!0?n&+m=;H^Twd*%VZ^dX0ul#P~ugv?$gc%8EyxWOg+>RTc!SXIKt!6 zBXT?+<>C(@<=PRaTbIQ)^V#7@5a!EywV+_REi#}cN|~q{#yK%BEu^-x5aA>^JxJw4Juya0SP`j{tQS7O>VK(jwmhy+zHw;jEDsOS1lwZ@z z2MR8&A}s|t_ApCo zp(~a{C0+?`M{rp5g<&WFxzmmS<%tp+2AT<$ z7q4>*!&ss;Vg9`r?*xickroQVXW^KWfCpN)r6Q%^t|RzK1tTIG?X*c?iR@U+@PPTfW_lZ5 zOtPd>%OKiOt|)ese!OmgHUn?q*N}!HQ$WQ5mv^3=I6Y;wI9ezS(|2YiC}Tn_wQf0m ziCFD{C_V;VX6@sss6cr9Bd;i_K%BP0>_nFOtPqNbN(Dy2$xy0p@N#BuDaCAYWYiYi z5`#2IczL>DaGM&sqalLH!mWT6E)I_^Il;agdNzym_hEpmNoaz1H+?D7&9q6!8h{x@ z1Jfp7>d~2$MG`7wZY6xgeqSbnA7A8QxIYzqtZ+dE0gQhWzuWS7ALRCk)dXv7bF!j* zz6sIovU2p_8&;M~V03+8Wpl?!7;lpMGm)GMk`lR9lPNs?*5VM9&D=VTYl~xU0%Hna zyg)A9|9osGHu2_~0qXlUnj^^8t}Bm=|1zrX-mQ_bpe$_s#F$+Fb6cA(P&+L0Psd z>aj>Q;AZff23Eefyx+a@GWpU6Ww`e7PHcUNK|OHK@Bg*vuEQ_hF2gr|-2{C{v$!b4 z>cMpX=U2Wj@L&ga)n+7}u?!+lL0csgT6F<{R*TgBDFiqXC>dh>Lo5SnpyNaCD^I4| zc81}|C(%?*?P)aW7!n?=?_Hqq`p^uV4T zd5c;-Xa=Pp>7=C~RtCiq;>%W|p9r10my7nuyYYG-cyTpk6k}B$J9*~xz_H?~eJs>uf4fnM3m8(!0BEPtU0O1N?@~W( zmK`20n|KJ*$@eU&g~xJ%r{~K9@;xyU`Rhl|q)x z)(L1BXeL-*%u$Nk8ph7fdwz`9nXE~QguwEGw0LpNjO_iCbXKqnZi_LNt9SL=E^yBKC+%?+acmso6GOni1&v>)>x zbd3v^0(Z!P2cnMhxn432d_1pN7!KldStT)-bVzQ?a2YiiRj^mfb2B~jS3MQhS$s3c zLY9a_;i!dm}WLdEHKH=^FW zJcGXtzqEb@K3&e)e%z&v)FF}LNCQIzgWat8H6nZvKJsG5R9rJ@Yl%NGW=WQ}BAF+6 zDe&3V=)zy%RdBTk0h?40Q7rVX{Iyx+vqZwQ6Nci&a$XOgbeEX!h>x+?;36iFoNJr2 z-RDi8s0FowXLV?)QC{G=5N!>ZC^?-bu&)hOvF`x_&^vFlFw_L8%T}aP#^c)XK#L0F zU3Hplb@F2Ie!0GtG=IP%2?7{{U-W-b1Rl-~OyhS^{6tu|f;xn{qt6D}Bm!EU>yO`| zPxn6{`#M~QJt3XiWH3rK63B_dk>HO!8EGj!KWl^DnT+a_c?~vuk%`&b0Wwe~h*{1` zB&uf^AZr$=#Ub#Jll7=xlR(t|92Og}nbyH29cBEs2R#1Pi1Fw*t;8>RD%YIVZJXIv zu%bgsKlJK{Y~Bx!KRSA_Ju{>l)ZD^TBf-w^c)|FZWdX*!Ch8W_;CtTi4I~YIX2g&F z5GH!u-AuEv!xTQ==n`!8OD`}a3GD@bg#r5dq5)W|Y^KD@1GwF!`vB$kLr34F_^P{t7Z6c>*(` zX3SgvTg5;n_e}CD7+cta4aQ)Hbg1O4*H|iGhvA5Yo)R4G@x%#iXCRrl%;Xbe{qfP| z!dK|fQ)9^~oYS#8MNTt37e1s^3u}H+qNpi0Jp-Ts+X@va;)q$|q4b$N?A&;MF~xh4 zjEw>?q=(e@;JaMAi8LGAn`}>ZDygS$Z@h$mk9Px~N|)IGivISA0<~)omX>PF`i<)4 z_nWSdcZeU~Zt(^Hz7u#5ZB*2oG*3Jaw SQ_h(bx?m<25_lV3u9F7wVYJqYzQb? zB_>%L23UTS&f=f|uI4K*hyt)Y%}(%l*b8MW_mH)CUs~AoUP#73KOEgj{E%>qYAoqiGMXxJHc&`Xlc;PY*&4GNGAH;<4->E zZV4{Ed5e4&8#S%j|NqF*LpSGS4LYHn&=$p+0ahIqtIuJV?ZQwb>u&ajFNCD4SnBRw z5z}CC3fd}3Xw`*-ea4p)foH&RBoo&=fklh^n{l4PUVGl=xAXlEtFk%lF2u6i*yTlk z{PASRCiFepgJM;Pf81MF)@!i9_8#o+F?F-p_B3yOszH`kv8Iltl|ZUy7MlVAHHN?7 z{-phWhjdvhhLfA02Cs0m6VHVv$mX8ljkFt+#aTNbTtj;0HfU%s!YwnXUDgpJyoqRDh=xB8UWesN8i;Hy5MAK6mNBU zzk8pmf)&AQ*vC$8*cpUSzp;HM9|O6f{D!mOXg!IgFei$I9Ruh;C{k@ErO|(KHzO87 zj3eXI0x{nbQ0Rz}Nw|QOyi~>~@xa{K81+aIK!>Rr>X;ki>aOC~&nLsW`(jjQ4tzP# zOqPPZ$M8~C86+69!R%VEuv5EOAUGg{Rjkx47p6w!aQ%<`NRRZ|ROuWBdQ=9O$zbpc zUT(O8rM%u6RZy?5u?q)3Ms_FZl) zvQ=k`8;5jT-@mFz{lLYeMQo|)eg4G2cx}frQ=(*9K==M?7~c9)f4^+#^6dVPi+n5| zF$GH6QVK!kHF+8a+H2cFX)51TTS?oV2{GnyANdtL#DDv2mA#)b^3034i#>3Dhap;9 zpfNYD;=req-OAecdIObduP1nTnSWs0OV}v)m0S=$yH($Y@7PVGR%gXXMCpV+zvruk zk)ZZ9(aLxFZ61;uHd`}FA$$tjf@{-844odB^>|p*ec|;I<2F{o9G+R{W|7*nEi-xj zG7CL;pp(CIgYDRLja(G1@CN0TFwV*HIFO5titP@D+jE1pss9*SIo;$Pu^+-K+{K(B z5#tvJ;FkO_B=IMFH<6_(7zY^7BA=p`S81gY90rHQ8(juZjXh(%GGVIdIK&<_#b~kX zWdox0AnYr^Gi#t2iTZhBS4o~tmCZ&NwA>hkM^N= z7Q?v|hPOqJGo;8WwMhq(a~~9%6A9J|QIys)VQ~O@RM7Vpzvk|fbVzi#h${~O-Ji21kdXGoGH`$Ly zt`o&r)@;;Su^c;=uOS7?1o^@}093iHgvc!DA1c*dPy8 z3rdXkfLtbTSyIq0>^QXJDQ};-dVL&z{w{UXVbOPP3d-kA8Sju5qIx6S_WU4m4r{Ws z@@3os-*rJK*Zp*qT@Bt808OP3R9ZAXR%}ki;b*^*|K%L-}%r9 z_c_rtcKEjLF&Wu+?-DCre=c6-sU?&8*gGv=qCjV>Wf@$Yg0{*`lad0PrpXwY4(7Ee zx(=&Vj&A9Z0#hpJ?&;S&!*Dtbq5Pl98>w%K{0%q2llPzJ8%*}u-5%R`!WF&-)^h`Y zNkp5o_f)=$byVdE`H(m6P5VWBKfyZuK3?1B4Jo#fU(2VHyI=dVAEWySgJh+#DJjtZubj8mP2#oVZI5ba2!BA8l@ z1s_;z(SYI>1921rnE*eXIEJ!FXMl7qtTToQDEH2r#Y!Y*|0p&9iuSA+kJtT=Kx*4R z?EIcsZ6SWV;K{~|C3^Jv;UNDz7nrSmzpLhOoKtVpRt|e&nb1q`aG#KD99p|> zG}^vxY2ag(yY&60H+(G#C~44C3Sq^ORa-+`(h?|8OKnE9HyJUS*;?9m<3sp{+A~W< zm+eCH5c{^T`kqWapp}hVy zm$@X&XW2h0(62{h$}Z{MMh&g+218W-|EkKJkyP(6@P4s4G%;N)>nCJCt8< z3*|!?b;kCEBcxaWMN>1*iqH>4Kj_1|*Hx((UCqtn0~WPhyBl+bL!hudxY0u~gJQoT z7(sJxvhbNDrrS|2*TMc7*tzqp)H59H!!E?=8U+24!!3tfxCibdrtr%E~hygf4Otbk1?trz(Yz&T7$8S31#6P?rMa6Nd3a6?`Zb;X~ z)0qd}r|MBZ1W~+wt>}N+IFnSK@&V7tW*n~3!w4sStuek_gp{&iSg(c(84OY9EKm#3 zr^A3&XBpU4_E-(cEKg?~o~0JQR(0du9@e7v%QRdflUUE5i*ey|(e1n>^00d)77lmX zqHG;Uzp)b|aTnl=G|v`7e`?*#VuN;k!^)Dn=z1CO4xb;InALWnLCLV?sva)b(pVf$ zWtO+{qEWJzVcNnato&do^@H#Z#*`k($%7AmFwSBr9bdg9=o);l+#Nraiw`{ZL3wcH zZ{~x;S1cV~y72<<%JZiDVB#XYH~5Wh8fik<8>w@`fTpzJ1eoKZp}MJb?>J%tk-YEx125@QaV(s z?{S|Pjg;c={X`g=KYCB>zw4}{QQtjAcE)~iYtNtfH=sZ&>D-`2>4y@IKesXH?ftqx z67RoS^s%#(QlC*9jOMq;>$HVrEeDzDgfj9CfcIzNfVIozsUVItceEgDc8qd>ffc`Nna%=#B%_EuaE*Ww# zvrLu&{p2WYm~%KvIO=@Db;Wja+>^#8*4S&#!E-hcJP)@8Jh$0VxKn|9zWy;K^8Oz6}J6X-oh;5T4IFAJr7kf>nUk z(@3?h5OC*Dha-6QL8($aBsd+J{QEQ>N1WPTb zn4ylIOyR=jaq@la#jFUV!3_y1nkaztfB}prDA)xC+&$k%mk7cj$)OOf9ZX`4ntHI3 z55}-Lr3%?G#wB`Gj8PnfmMTqDWh^oxD(U8+BnDvuU>0dML%oLQ%$ABPA<>aU(1i88 z=R3GxVz*}tMUi!eUrj^zscRe76kGIQb5-{_H94XvfkMCnOo0ks^JNceox$Kfezgl$ z+Nljm=P^{OwoT6gLkD%55rY+O<3eSd(|8MEW;enX3KFMr$Pye^;9!C=2uM;Ew%_t1 z6)G?Rks7l2iYGnv%4=fS({J!13g&*?C_9nc0mLRErVM?j0vbE;0w+q^QVQWZnP=4Y z&L%B>7Yyc@pI({*^!CJ^4FeRPpgbjM3}pwC9rZ23Jh>K5PK5|3Pi`k0O?)!&Fb0Q; z=vgwI59u|8?vBu`{df>U(9A{2P=<2}UUTKxu~^B-;&7t1YB$w4jBS+sYZI^wja5;q z6az0T)&z?^^fy7&YSiK+%tb#sLjf!0jNy z?NtTWNerWBsggn47D_^TI%n9VPI^2bi;QDPp~i+aU{pGoQQ(wt^rJy95B-_{ZTo_;(qWr4dsr!sv*IjVnn z(3fYsa5G))Eahlf7^e+%T1ze{3fCYiFI?d;K$%-8uSu|dJNk_TpOhY0r|y09Gf@=& zmzvEod{*fDL2dN#fn2`0@jO{q`jQF8$A4);uKg7mE}iHfkC5&DZFdKDXWThT!P1}z zUfQwoy%N6bs90Tz{=uW`jTq9wOner1&{8FXwoQ}7b7ucuzYZ6y%@IIb#RG6z+fZ6(IjB-%y7cm|lwTM_dukM9vfHwEtBq@?_RC)deUyE6!Akq`v# zwXDI#VV{P`T7z>3gQDhc#28#CSr329%nCGy6MXp;1Ri9a3PyiM#P(EFc2_2~#>!gJBKA7W5_-^q;6= zA1#Fo(MeA;S&I1K2u!@Y3j*b&64e;P=tTpVVI$Z{h!%yNnVxBZk7ayVnXa;(URZ*q zU@nf944P}u;9!>1IhJ;bS_IR;*{5N6)BhHVmX}AB@u9%_ zcaE22`jRjcW20xA`k^HqwaE0~MUL+ytH%RBKl)W;W8B}V=HLfBy{VJu6Ra$6jP0Ec0KUeUK}96guIB2)U3 z*B^WlJ;=Vzh{*!QpQ5^6fVU*J{peq_FaV6vfeF~!HFe@Lu8x(N2abw&0uE8UEvPG4WZ@ z<({0)@3{uAgeK1J@@sdp_D9g{6$}fOe;;O9-x;(F+!VJR`J0_)QC`?U(O5-6L9#*T zn3;0zM^_0Ax7A2&fJs(*PEe;(7PjHYJa>UJP&)B;MzjMuz;IH}>NSMHZZQDGBB*H0 zyB#)@;s({!O!VqH0%@S2@p$L+8{;GOdO^Z|ylm93pw$UaC-589VJW3!r2#Bs^dh_v zXFV+cfOVa*Ey>)8fyDr$br=b~bm1I9=f&AX8k-#VEay+S-rfO}li( zQK?HyKb0lGfD1}dQ3wVXiwpXSb&O7_NX0;~F_x&pTG;?K&q6cnut93;2pQ}#!3a-R zVIelUYc}R(1~4!VMTv=v4nq?%%hM!yGk*1hcycT6w&+pi@A6(4j_mXhmThJLbL_0J zOSZs+v}z83K;?&aU#aqTgf91LKmA7+}IRzm4=&MU7@{ewg%)y^X zb$oJ}TYs#Ege|2IJ{4`71|biE;c1RNni$HIIhFxpD_bVS2m0Yt&j;QFTw&;Y|Dz!0 zZqJauEm+hv!)o%M&XRoBW|e13x#T|gE_}sZU&04cz&*sfZKGVcQcPutM&CQP@oW#Z zG;|~@hb4a^l#Zjn6YV5t@2QT!=r!s?8QVR*2MX>RWIxdqY=)0A<4hHc{+5q1 z&|1QrQS*c1u8U#Q$q=4UGX)eEZpNTqBw#(|0vt=7-7RYzzlyG83fRV+6RKE%FN}4s z;dCAE1f62Tn61esTBEiYmW8uP@# z3o0EK$z+OnNwW2%Y8d1V;9>R#1`&WmDZIi`M>Bv3@4hS!1w=P^gJN=(ExHx{l*q)S z#R1zqAA%_LsY0<~&-q50Y_6@f2^KUO@Z_NLM0n#MtYo6VmnEn?MfjSIq;Z2)iBb=5 zfUoX{Th+kR>rW?p3o-2U2QX^3`SPA?k!j!`&wC$@QE^iJfR?Hc4Z{{?=jfcM26+n_YZcWfq{Zp@`T(F)wytT z$1u2XYPV2uO#uuJCfL4X{y=p23K<(j#23ehCZlU_8)ITR^!>VLH-Od1!1;20&l6s# zqPb=G&*8Oi|Z>gJpFTcI=%2LXd4t31>-TLT<)w}c`3T3@*i3!j)I7!Pbr4Z3l zC4;t2lxF6J0jQmY39wq((qxqb%j4+zR|mrhT$FnMd#A+H!-{Y!Om4@f-SX{sT3h^Y zp84M4518oI4|(CGPokuT^WEPf_fqWnycbfqRG5D3&!cF~-}D6E!sZJWV^n|9lvFK= zsW!mylu_H3RY`NYFul|l|1kD~19KytP2@2*lETpe2}K4p~i?1~%17 zjhqH|AutBgewG5LERC&=)J5{sC!_KVMC*iLH>{H$A43eO(6cTv43(ln5juRSc&m8rV1284(R}DVULPEeZfbOw4dR{1s(WQ$6zSMVZ3v@ zI+A$E+Ef8y+AzM|3v#y%yC!MEexZoXOAKCpUmf_m&KvSxL@##gER2fYm0`liFvokA zdV#JBu{AGVcX*cjHq%uX63Eh$AQ!cM(x4M>G=3rWRWEXm_mb@1m||CB4A+3t0pdru^J3c_W9@zu^79+XRs5W z+T_eHd;!}BWdwsBl}{G%nyv|Yj^V}Pfr5CwsEfLWUopfdn>34PW|?>c@Tk%2b83p{ zUV$>SXMq$d1|Aw69fPiQFlP5E73vj?|6G$pPaULXR6xy8Yg4%{90GblVpn39jM zxuJIWK^kCKtAv-2fi}t-$A%X347PUDO7X5ID@25fEfpt`>|PFU&k+q(3!#Eg0pzw7 zL+@GyV4+nYJD^a29TdWIG2)a(Dwv||9~A3wEuPveM`H-#5KPqx?e^e7GU!EPZCrf& zlV!5<1U@J#%@6Wo(LhpKN0)?FziGnGza1}cH)l1}ZzrUy8Jn#5pF~d)ZaHAj1FKhR z_>R_;ZV`*8v0T4*4DOQz&a9TW$O zCaF+DYqU^ali)D{-<=49%gX3tkN*n#Gas)aE(MSaKJFSthYuEtpp#Phm>&6q`||d3 zd}np6@rAh{E zn<(T#Fg(q%M-xMt(#o$PFKt-Qwi@ z06&Ids&XnOBh5kpZ|7e8!E)LEB)&Em`*;XR2X!d+k7{?KC{%VXF=G#}hmRijFh+4P z^!V60gH&~$tgx}e&2ZWY9F_9wBcjDw=*VI5faS~sdZFke8z%$@gm7%fFf3?HSv<7lZhA}Eob;vy%*rr+R zr4nwFXMKyv1qNzn$&+r+MnQ4VffLvF5NM&CECF>#qRW2XjTM~BLfQ6v7D(yf<5OgE za${maFuz6&3FA~`2m<1GRmlJ%c`VV2naVP-$pJrHVw|?1D1xi@~5`UhFhZd;q+HHHg}+6 z4#kmtIKSPWT7^b`WirQ1thRvt=#6(m(4)%36o1yiB3)zC4jBa{-(sG&nHdUWmbXaY0?N<6SO*Q0j@9 z#P4Vp0WFd8QXHU9Ss$jt&6B%Xi(MxMMv`zDOk~+d%>>(7v+#XC+zEV0W6XlRW0WcT zEJ&qQSRV$DK&{h$xQWGRNjmr_f5l|I*3cH(3hc|~aWf8sDi`cVpmHgClU((OB1i}I ztOnThd77vJ%yxZ~rrr#k>0d8^U`;=AN})(o!4kv63}GDLnH!qXkD>v_VmjWvZd>7e zKKf`Qma*wjXTFhbAf96yfyMFT3LBs52x?BAZT;9nI8(C%*8@dSZpo?qebI#Ynr7r3 z2z-NBZ~RFamz4o{bt0l%MO-?RWea*4`jGtqas3~6g?>8GDPpwlhGhNPUchVaslZ^# z865BkcaJY>;c@F!EPnK2Bm3{FX1VR?B(e?a4_r79tiMqn!k6niCtrG>dl_VM$9M7O z?r%;+vUjWJ<1@Fz=vk%1?VHYvhHt#U2yYa6?~+-PwvQB#>+}?K#qh+v+3IO*ps3`VOi0L~__TUOfmg+1OjN-YB(KADL zL*4TXsFS;g3!L^z$$^3z2AEr`hO#(Y_1)>x$aq!)hfe^G0SVzDsbKibfeF#MIguJQ zG2z8*!7Gx%EVXY9#0(+2B^3pjr9vG$H8x`Kqd2l^YlutQ1e8BcPX?MiEiY!-BSAsj z>l19ja2rH}EfsM?OjhLpu^rU0f~w16S_K-J+z9txq}{-s&biKF{uIBC*G{0N!`H}5 zzzZ`ED=Tvhh3KYI2r7=O+8W}{7^>agi+2K3b2zoOXGECu;&T$v+&Itkl|KPKu!-vw zfI-mT7r7QDo`(U=r=c-H}lcs@$})MEW8D4LSC_KhT$mMZ8- z%p^2X=W5$TA>BfOAtOph$H)M-4UTD(Hg6Iwyr{sQT$-kwsz}dhti*pDLy`~TaG*FR zdkMQsnm>b+61R-3)jiP6*H$XgP6rZ~M@=J>0Ec0q=^~aFKH{1Jza~M^IOBEo&{_d1 z?))u863zptF6bqcfU2JQ$TX>Gh;3FNOUg}9-z0MJa(;jiYNn-Gu zN!z4&6zGnYzuAGgofK%nBJ&rT3c?zkQ^5eE)CW20kjkQwsX>WBMACL#VjBe&wvo^` zQ{Sj`USb4%j0^+ous6-LU|<%E6B&V@AIY|p5SO@;Dm!>#FyviUwdEqq|arc#_Lf|7tL z8wRK)8)b{b6(%c}@}gmtO$@W}nNZ_}#W8@G0lZ?allFXNN-8MX7A^~GrLtGeZT~H7 zdHO$V*@B%vbbb((zTt(3G5?TTd!>%0G6RC$c(LbH(C5&7o%)1W@=6-_rcyvt98ML( zKr_MeqN$Yfg4!Aeh|+}lFZoD9qPZ@3npillto_pp6 zbX>Zw>X6D0SGYX}gU9|!1N-7NPWFNFPv=V8l=`*5eHd`j-IR|?;vIQMHnY9FX%L)( zwn`FmTPT>67gVr(*OQ}P!%~8&e4K^zq&I~vPRn_e#_ipEv5%v8==d3s2L7}J#lxfM zO7iOPAM+;_!e+ZvBx*GM(2Qr>RN}V?!C*U3MuT8j}w>~EQ^Q6MFeHaVt2srJ5Z!0jJ=g##Kcq<8SN3- zMr$cnO0k|DoZJs%?PT2^l)8@QU?14OE{Hy6?!*o(un<0`A&J-)~_A9IN9Pn9G43c*D14cT$|&pnm@{M&U2Z<0f!FI^}> zZuvym{qp$4Ltqi^{e)JST^d-(S1bK|*ggJ)+x{uHdxRv8w5b%Xm624%Fwjh}yddfv zZ4G0I(uDajSS*%kO%#S-%YCdF6V+c`D6>z4n^%5WuwFPnTB98*hr1PfHz&?MV;Mv=RZ)az z5}K%%v9Cj6e{(}7raC@^UZV8^8&9!~I%l9`*LgdsWh75TQapNY zzv$xwk?dQOiJyd)usm0G7G+TL0kOb?uj(ST0Mjdv;Zo3Mz;rR@OGH@ZjikzL3FH=V9=iQtxHu; z0*s;XCS{PToh4%%A@C_OcYhj~h8uCD3S@=J37qc$4~(t{QD;ucvD0Qz6^Ihm;ZB7D zoD;ur$p&O0Z{p7TtMjI0PvB?RKMJQG$B3tgrYg*6D_LIlWE2*vaQeYALsUGh$-=1y zU!tdlsClZ0NQH(utvA5w2T;4mAxCCXiBl0Y6(a*e9qn-rqqBZz6+J3j_A z%F8YPj0`{aPx%Ab%^F)O{;}B7lcI-SSP}YzS897+Of@*bb5{w59)GSglKp=>OVXB7 zz)~DpwKc@0L7MP7dl;si)MO`1=p^d!b@xcIzV|}CZTB|M60I%tiXHyq@(%wm{;yTI zW3Cnr-kCS%sk6O3kDYC`c=v$V7_HQe7g$;ML)<6RAckGwMBzRl?q#XRcdvM$rNWG5 zka7yzD$pG*e@_$GBFHuz*k1yJ{pEAfb^NB`%{~<-bqF+d+z#UZDN_3(JS6{^TJ%P} z^24CM?uEkGS}ArE{X0*!9yu?Vp7nNECV5f?eq#u%l7waf(FG%WKy(0+ism>SFz|FP zx`!n!)K`TY*g=xvwe8MnjNu@nt_Gbh+zs)Q5y%IF=>YU8`JFGt^rUWqPf)L()50~n{om_uN;hHFCc+a64kZ23cTg>%ZwMKkG4 z!;_wdk1fOc3lU?{PCHji6^bKt*c_DJzx9{h0MbUF?Hfs@#b&s?Z>r8say| zplM>u$qLwxk_z7%6vlykp&)}4DOsWXw&X%NmJ{E8ejo+8k!k&(fHp`6}uCj)JPRw?J>uC*+ftlbqB=jtxmAAibPrl6 zz_d7AdC|p{;q9Ap9bRrrf9=Vi2tF*64JtL_J#i&oDJu8ZFZ^_86z%`8=uW(QEsvfb zSa2`@0WkLM{Z+3RWa0VfW!_}z=U2OdAb3z25qsmR?lAg>^ta>FOsi6bqc1G!wU4g%B69$2!HZL6c%udKC5^ePXx1uXIVVV8RdR2}jn z|E><#*tcb*}1B!$)G#vZDU7;?fI)b6S3K|8!>KP=!kGjx1+lhtgTppy(a4vrSQ;k%0 zG=Asey;N*W6isH3Vt#gSMv8Gv1IUR75kI+dQSDEoOvZ=U(Xd#O`n)cKO(AB;$3qPb zhqiKP^Ewr(ydXEVR<6M}YLZ7^_)tOn8$w zE|s%t{eIb}irQ&!(jM=}PH`|!1Ha*T`pw)x@B;cOapwSO3{M$f6eDVTP$shg3by*7XVC)M-=_O zV;r|)<_naWux2!N>3Rg+=zk3jrp~MRubwRvLG15GidwmttMYkAO#y9u=v?BnY$*5G z%_;b2GMOb>!vGO)R@oqsYArE=x{?dFgKkQ&@e5;jCrk`?t2(8YW>Q7gx+S&j*qMaQ zVmY(Pq^06h5l9O#3aQWs$1ZT_E5LdBdtexJH85C3b8)i))|QDZjAJ16*(N$kzYhi4)L6>gMX^MpICjeTMYy4 zK=Gu2uLbY(-RE%Y!q}V&5bA{=DOW@R zxHDsbzl;E8iGg^|-V$WwemZDPH6`jhmb1pL@F`v^c7E2_G1YsbicMlyIAm;lvJ5+| z4-MY07r2E`D(F#};0Qf0KR=sRinGs`u#99K`oH#G%|K}z3(Y;$`wWS=Cw51e+%Il2U z8sgF*O=zf{g$YSWI>(kd#Ei4E_w18sXPjtF*6n@|VXM2+H>5req~W#S7!h+|gOUHQ zLjvr-WGYWp)pZ>E{$=C;&)oZf$x&T*qNl2BS~abas2PpKNaoL-W(0!-xKRMJPK@s~ zgFMLcx`B}(7{@IvY(dCIIF9Wk#yQ;#>=?^VgJoOx#-E$NJ65n)*u>#&yh#gVf0)PS z8(8ev#NG(j$*1s!)WY@Atda-7_Q2bk(3g=*(2t{d4ZQ=bU@)pSt(fEuGEn zLL>`cIy4~@(;LM<@Av$2;re@&d^t{g+L}SYBBWL3#k8$T?VLyXgLgRYjWW^2`yAOc zl8IUG6}_E$U9UW2ufGDYCM6?&>$`u0^4n5aw$d!H=#7A`ZPI7 zdJK~uwG(D+Mzk~K8dOTaN&{BtB8%|?c3ogW1#7E#Viton1Ag}2#&lqK*2K=FUlToy zbfBU3O3XyWY$YW+q48v?l(B=j)gsyxm6ieu7@bQ{C+1nW6d&rbIQu8!i0bnN!9FVBC^L^HF^DlqDUNr%Jt713jJ4zv5B2y&!(n5sBhcdjMS=;Y8KWQT znSByqH3sfr7-9xDLedXnijd%5`f{!gKhA_eqKfH=unacvX-22E4rxws)Q|;NZi_;G zapkD&9(dR~299*XdffR;9DC+6n4^O5y$>=vHGr4`IqL==sZ*Um#1h|?C0sk`9Ikv5 z^Z&XZ_@z^2mqToH87rG??uoa16aK+>+J3u59+bUjry~UtRF2|BFH8uIFYBdg8=OuD z%RPpmq(w;$9i+{CEH2ci77K91%9tl&`)ipl3sl|kLdwT9lKtGawNl@F?qqQYnVq-( zkD`e^e-KFVU-Q_VcfE%H;W<-m>_&T!Y-weQfLbRzZ~8vI+I{XanPeMR#(Y1ydMJq8~s*6s1foV4yehbp{n&u2Q~MTE_;q_GLv${3LEUp zX%!=?tM7cDt?@Yq`_sKUgTI(?(k0Oha5A-4b7&Pa;S3v9LLmxfSce}4U zlZj(-)P*)Y84F9IvI6R7s~)QhYCEaGuVb)I0n>p3t?aZR<-mB7X~4sUdngF4Ixa$H z3PXQ&LdO9M{u-!7VU|P~grY+wos$rPsazP^pZM(jSdo&!doZhb;LEE{VHWDuibQ6) zPRf?tAlEskK_HS>ilG_5SW}G?*2+q2^zjl#3tq3OZ>nxi=3$W-;CEI}8k>Eya40s5 zS#h=Gw{*{nus)Za@X2hQe6F!WcAQI~#@WCUri?G}AH!H6$Fla!KXN2i~WQNQB5=V{;dNOSE#JEASuu zwMfjgr#0_?cwK0+tM=vTm9B&s-`vtq{=C!;EGSxCm*L zL{e1{BBlTZ4&Ic-`7VtmcG5K$DN=Ra_vb3!b%UNvzSEA{otZ#x`5U>%zRxYO;8>GC zldJTtzL6WQu&Fe~V)g6Giv_u9KvShi!R2v7v8=2^Y03Nd}r$-soO4m9I=3{6AM@o}qFB2dYgfe0-zolUW#p&X7S9MK*rsWTHp2eWOXK)Pmx zdv;+2`ea~O4!emOg^J$sR49oxS9N>^g_)fE$l#4Q6>T@i9cb2&5{NO^(Ii;` zauyG}(OM{!GXcujk(GrVl2&{sBob@~?}iCGDXNpS zhbf9L{LCC&s2O+`KClpx2XM&}T8Y$rS;bAZjr>jly_OiW=($l`LW zwQDz7Hw+~T;P8mC*yt@-i&4EMVvIijFWMqwKoRfFu%>yIxd(9tLfekDn?T0IgqHn8 z3QggQ4p3Z;778~*H#tlpZ5ySTgh`JUs3Xh#Mt`; z6Eb5^6;vI+#X+MI_fm(A3qJ)pmJ>~p0JWW5U|hdM8y&(`mg_1QE2adme%`=7|KqSF zlgank(@OXNDK-$7?nE5|c;lENZsdy5$QCLz8B}hMj5qu#SZ9BQ`f|J_r&|n0yEfA9 z4{wDItJAGAwvi;{`=PppKu$fOo`y__z;A;^30NB zZeZFsH74HpC;93nB~QPGH~cw_PlCpsmE4rB{xCYrf5fZ{g?!WWm?+a({xAe!_>)rF zwnCWZ7=UBJ)PEbA{s_q7*sWAVqkTwd-`hpa!|{+8=(mgnuifM{k1wU5-#Yjy0qat{Mva_A5K>j>v_=f>6D2A4rKx?uHIqrEny0O0|j^+}r0 z6#7!oH6CfX9e<2ZfMS^@-zV|BK9Ok^Cl3UyhS(KkqLs3fgN1ZZY!*0QGUDV)5#PJ7 zh2P-tpbOVmJ*kc_L+#myXXtp$fY1&QmZ2CUhWj1jF_?#!n_YrJhh!*?jxC6u>lEW63VrGldu3qqR}C=Or}R3u^3)e zA?IDFJJC=B>Zke=L5YFU*@zMAW&jQR#|(TBeO)l8s6tr@d$nqZPG2KEr5|HZ_V{v@(nA&>GX?z*Lt*t>{Dwb1C$j~~Vz>7Fc#2$#t$AA}6lir^ zF{HBb7V`Y}xW(hIB?1Mcktr^eIYg#|jJ8qWW<(xWJ3?_jpy1`IhOEcD87+Z?lEB}5 zyNZ1(D<8b(OIGmhr;+N5U89YSzbUng4)uoqcW$-#T$Xr^58V+BB@tu%_Ls4-Z`B!K z<*$5IhO|X!3x&Y8C@G|Ep^zBC@Mn%bS{TZhc78+ww6kqT^g`2(9a{qXNFgg5>RqX7 zOLXIPUZv;ePY1!Stv3Ab?rb$U`)&Tj^j9$O)U52D_-2=U;6+cOwaW+Nj646@hbp;H zcWuqt{e{fES)SuaPVd7N&l)$2&yY+?Y1>+5nqvgk)N`^~ei!T=%y>6e&L;rnmm)3B@OpQJGdesQN_?LXyGSGra-X3l65c(7&v+&5}hx z+m%e^xMDO1<;sp~GQa^gA&e{yltY^l%)rP%X`|RU1(jU%)ZdYlAQ(f7fXleq_Bejw zUzqrajht3hm8nincnt4LvpR~;QBX=%sTI*M( z=0y!Qyjk%;v!)6S5-kyO!0<#3QcXDIrm-g`Oo`w`O^G`X8Wr8(1B8L23bEbuY#yE@ zP)ti2gYUHi(Qxb3K5dN@p&LbL5yaUVYq~wbl!WCq33_$hXF)1W&%!@m&Tg4L)zLm6 zKk=gB`frb>F;w+*>411Uqh5@t%FE?Uh%;3Uf?s4TKA%+?!&cx>K6XWK9^Vy(R+E95 zmb^*KQBB_f<2o+DJS-_w_be90CFIV~!Cc!Ya32wY&wluxVbaXo{BFD{G@8h$1)YI^ zl&2bxWn|?8pTj8Vk<9n2f10(yYi|yt!l7RM#|m1&LvD7Nov*%7)aERlLD@D6@T0=rW2t&yx6 zj1*KZ9kkwG&OBq+MDNCMmqDt`R^`+?4s zerg*B@^J^l9G=7l$0UY+!D^~22@EEn0t2kDN0vH>ftP;9FL``W6P8}3ovNMtLkV%cjuw2 zh%q|-8dpxl(3-*7l@p%cfv{r<_UMUmc&r1u7f-m?osZr+H&UbSIs!E1)o&^0fi#T~ zRWK^2;nlpSRDy5g@kTETDok!MN2RjGsjVY9nzMs!9Y+=F>Bg76Lqbzb^?cn--06lg zL``8yTB1?FR^RIKF$@L93<}(2z~E{}FeEBm-z&lY=#eXSeZo!a5}_khxzE=ECA{WK zV)y-%KVG}(lt{L}#q7JJ?#YG7)?!X($F|2-#qD%QYKR;aQTgEuVC5Ud#{S*ekPW-z zln()ji(xU+wiMD7c&^x%^ja87Pb)vC8Ti}Twjye4e(B@os&^Finf2b70Scr{gsA>8 zoCyj{_M8*;vzJS77q)Egd2T|caZr;1_2|YQ_iFh*97vl6IHIxxzh$Ly?^!_^BJhO( zt4OMr=_wSz@P`a8MrBNMOu%-5jax)zJl>j_Je{yaqV5CtYaQ7SlkGxjmHmwO7bft= zm+85ZQ!Zphk@>7#6`h)5PTLOfZb2vKPjDBV&xoN@cu&?FHvT zRotT9NW+doQ&}QHYdFLjDuX_7a2s}*=U_~5P2|)z_Dh)oWbMoWEv*N*bsdRFP&B)p9XlZ{WMQJcqUxxJdF*yvx;Is ztx5;|M8njAqTKFGm*fOtS*d^BK;$KLW;o`Lx^| z0AWx80JP*b3fyxd_FRpU-~9W&J2m4$%%fbyk;1&Kkqtxm)6XzY|Tq-3*!oJxFRP(p_)yO5n7iOofox z4sbJc19edHn=>t z%t-z2aJAeJ$A$7fW146S--cV7ION|$`v~NM5n@}~$H@Zhr6O+->=^K9BYe_{yrzO0 zunaLj&)vV+*J@#Fp~1imB*IZAEMg6=Q~)cAdhz3yu*g#U&R?pu#~;aJWS~N}jCy7? zi1#oh=WBcPo&su~tIn19Zi8oF4;wB-{lF@s8$%4CJ4`+^y_H=V6`z_?yg?{zMBZ?S zN7gYL1|0cHw$@`<1!EYhr)sD?q^NrJ08)i~1T-LO4FbLIf#4)+^Wz1CQ1lUmb_Ue4 zNzYi*gW`|0*W43>!ecYKfbto$*ghEG3LVmtt0~6tL_e>$sP{S#pN%&Jc<9 zflDO^7k0T+LeLTy`xcX_cAZ4@5QMAAL+J??Fh=)+d)#VM^B1vGhK(& z4MaPpT682k2+LGtp2eZKTzMNhSl(?ExS=NB;LZp;@EbsX(6ZE+>xGJ@d$Q(qD#tlX{mSB8J=x%+8}jj2e=u!DavA0CK}7lw6710Jbpd4Tkqc1 zOul6)h6z>DO@_XIA;0AKP@JG!E`aAT&$E|if@%MwakSWbYRSZ!70C`8NfrWGgLU;25CNZQ-Pe&1El7$m1~b!q;_?| z5qM*M^zdvki$qNgF+9RtQqYUX{|0?Ypg6pSIC^i!R22F^Wii;=*)ThNH2CmwBua_H z8Pfs%m_iuwWQY?j zwl#lh*7J41Gz6QpjBObgW>l5*PZlWn0h$F%c1pM)W`piTfI4DBKzDAST?uj!vCMi0 z4`s~mr_4}NXmL*j1x6Y8Oic$HZo}If4`j(SF7UB|=L?P-gI}9hyv3OYh%KmCCeWN@ z?dPn>wk#rN=3P z4wh^i{S+@oBJ?3ehHj3z=p))8hPL==D{^zi^>x(cB$F*3RI7X%>VdI zd_a6-N5=62%#IKg5#4{yTSwdzvcl7|alMoc95X_}-4-Q7>yy6Py^J>RDpAhgGnqsah%nnF>q=Y=b)?!i2HgWJh^> zWCYK>Xlk%|Aq7l>j2n!R9fG12JbA!+x((bkhA?czOeRKDifWW@C8^FSTCI4zZt6M~3w6gDXH26N{l?vC-{ByJ zL_sl!HpY@Yj36;`PXQ5%|9d3Bcm1d-6%~dNJ-;v3fQ{(#uZv=r`~LFFcQD69 zIB0=lAGo;Jke04pVy4@iwuJ&pTa*;iwoqD`8#?CbqlKZ2Y3D~2Ks#F(aqBz8#~hXJ z$8wtl>$|1?cNYqriQr%SkcUIIK8-I1e?$bI5cYC+oocl3?JK0Qd!yubpXVv;LS^N9 z1nZ_Lpd|w5sAS`tPzFe(OlbK-p#X+IEmTX-!qT?2N;FbC8u&&Y&upBds^=!eejEd3 zT@iDZKoujZydT=Xjcnxrp8iXpgHRWEe)rC9Va4?2BbSqciv4nsKDG&Vro|&L<1B6 z_jQDrut)}%DSs@^*H&M$nw%y*2F>eep$jtz)|ZPQDrV&@L=N_)p=o*WB7x?>{Xyur#oF*Vx|Hm7HJwfSb*&sN@kr5jA>?T z>7EsV)fR>XxEb6PS0Wg;W!=aJvo>+^CPB|KcTDD>RR<>?9ATu1^xU4cHmy8(rU**b zxiZ!kih;PmY$|CDajB3Pl(&>gfoX}}lq=5GX2X8jVA(=Dcur_&@ zR^9JW{E*z7Vl^bz%F)u(L~$9%6>-cZ-jenn-T*_fs>zoa(Ge1G^T-RxJXIQ?xD( z7Lg_;suGWM4IRvfoLOAp_plZWev4}+;)<-5;*KRnG*DRfdn7+nc{baf$_OU^H5*hP zz9?_Z|FP73?%7;#ZO^qq<(UUBw*#Q{jjO+%nW#ejPd;-&prD-y^`;1s2N9xy|1Q2| z8uHZ^3QnazrL=~)PVsaANQ}ZMt^^{)n4`&n^#fAQJXnix>lHhGn?YN|aHkl&d=5rsd-bIq4DAH8#BvJ(^f2}~S-_PYn6db;Vqc1DcqhT1olL_b(d)RN1``d#G$%x^e9)0= zCO1#Rk;f+_oBre*pHD0`#D$9c+xRU`+W~Kk!ykf_f>S+>A&(eCnpB3*=i`PCO$8W& zeih>bj1z-;AD-2Edhqm!FO1B&Lp_i%?MOh8bBK+L@80kWu2<~uVg+z&llb<`;ktJSJF%yi zc&W^Tn!>3=rV3RVG1yt#0^4#N?OjPAz-8#55i?VZi$?6qN$DX9!1AXZQO%a5@uLgm ztW5Jvzq@a{D{K%Y3G`q7ZIC0)I?iUQpSx@@9Q)rMb{8#)yld9zRfYSpMyA<2bGwGs zB+){=RnXd5IE2X;ig4Y>!l4l+Bd2Yl;8gmVt)YXc3rpKZAu6FQHa(q*5Mws==zC{m zuq)sc33mN(LhP#<$!33p2+0s0IyEu_Ukh<&!m51!;mfji!$V%wzjmqI3Et~Z-+NAF z^Z~pIc<~FVOjM@XX-?wmh6t|p^jnP?X?ZsO1(4B$k zw^&Gtpt~ApqWK>0vK#BCK>H=Uq%;Kq^{4KQ)JRHai|SsD@a?&Htd>BMwav1e2^Kt9 z=i<*yMQjJX5so1mSq78dnC%C5b?jB~44kO|XYJ#VGj&#IpmV&qCgF=LcEONVv4mb+ zFNVHkFhFrNkI5ayi({VsuRgnOMD~0*@)~1jN$#38(p`8`#}s5T_(*2*3#0~ZWcDIs z2a!UbJ!J^Aq&Nak&MB-V6k~BYQ4Afe2ulx9=SXW9N|Y8XjB$j@m}Cz1yUqmd2bTF0 zMBTFcYPtIJ?~Rq&qCW2(x)X{?B~QbPQ{14U&98G6kt8; zVH?T1SQk<*N@zc~hu)pDQGg4fyrzMEaMym8|@UWc`C0WN= zD+-nalP3SI8LN|L$S@wMqZ?gggcz!(leil)(k|6q`?yz&ZqraTre>G43^40~7Fu5CIwm?8`Fw2~XMZq#02m z4LLw+b8=}29K{g)JgV}2kU9sPPd8Rt(YjO<+hEIPXfNz$`Yj_kd(k^UYUurj$GrF2 za$laGIO;bdd(nMGr&87V0BKW?TOBRtXwU z<-+LxuZSu9ZzGKzT~TK9Tm2HVOM?2wxBIf;`W5cnLbK*Jyxfc=Y&hd*=ceWq{ z;?6Fmme|UO&MG6uY+c&iWjLt(fp@u4(33^Pc5OW)ja(wvU-R~$wB~E#eQwhi zo|(JLT@nmlhE1+JXP4>%%qrdogwdEm!5(+wM+g3fSvb8ckmke(Lm0gF!hC7qSM#1| zRo2PihX$3qif-XvCdP3BzJZXzYPk+E)`!YRDL?*_pq(Q=s}Z{!Zn%+@?nK64>gHSw zOdg`br~*uRq_8RsC&bEmS*g~Ts^VcL0Shv*co{cGRc&zRrd`x2lm)09sY%k=lq)^w ze& zbOh#U6&Id|`X;f{j9Q2Pqygm@Y2)J)*wLt)N@#X89hqdZ?Zm+PmE|3x;q5=vHiP+3 zjAbLOiH=y%44Fd`802oyg_g&FX|d|(`aP=aB!LG5Z0Sw>n}m$5Q_rEd?w7>_w9 zDUzs;!yA6Bnb?01>g^F82NdShYa5 z>vnx2EIvKyM~$s3ZLso%E}3|=OYYotNjk&e^ooyDhrGuy;i3au)rr78NHapgsq|A6 zL&qYaEjH7&iOj1qV$6xio`Hvt{Y%m3AZFyD%&_3&XC^Ub1T|8;$^;{&H!Df}|Ul(Ql?pc&lJ9-s)!-w)1kIwKU&=12?w zi30sr5q$l1t;F6Bd!M`^-Mcc`2%t+oq!@Xv%SxH+;~$6jU#^n8yl*5gdlg4`WfgXY zn8+(65>&{~vT8x(=ik$EpCos1m3N)@vB!dA8uM)F2pjE*AqycnT1HO+so4*!3VdC0)--p1i2TWoT$>dKl zuO!$gWvOiZlyUXgQl>n}b*!;I3}Xi|p@-097zc{MrAVql+>s`yI&m1j;=u&Up;g@* zNMN!Vn?kbK2zdZw==DKD3=u{^$({RR^Z0~_ZPF~k;XYQw$b~@>wHlo#>?wfi2?cR2 zf^)y&Kl>;!N7oW?o|ldhw5O?R-wgA4jG2AFd?T65SQMDzpN6*bEzs-zhhj!UsPV%V-gAC!Lbj!-0k26(g?>#s%PoBiD?#(piIJIGjvb} zT7|`hl8u{drH3d0OGHK#{t-)e_%Me*`lFoO|Gm@Y+;9BMc0Xym3|pDbfCJ7H7(Tv- zYwOc%Yr|hXMW(+0zecxzKe}AZ180U&^sS{;eRPS}zw4WwNx4{Zym5VDz9VRHg$19c|jc4N$$YIrQsyO;dVBrL#Nh$wv zrmrnR&uq=ikv5U&(IA-Fi9P)WMx7W@$(=8wT%)(Rwt5cAZ1magh}%1Z@evc~UYSHp z80g*6ebHA89+A;gOrL73xj;ppUlxxXfYKRW>rx{4?gXQKeTJTs2?D`Dfq#*=4?Ci` z&ZaEG6cC13da~+C_gY|ETtkoGC+Nq6juGL^Rkny#32wYiggTJ$9Vy_UYDY#=4(t-? z9wma#q(`%imutg3SVM;&*V}LIe$-TjKbWhAk@LCxBmqoMS$@ke3MeM*!9q-vhCORCrp_c|Ra{qR#eNn_GgR3+ zbO{!p5w=iZ^lv=TD%F_=YMlRLhe9h%V~J^q9vx1Lb(C908W>1V_;?n%7}akWp$$kvN~H}FPvFY282di!6+%6(=h$V~b2?@Oo4Kv2)x{^lp05-B>h zx3TKSLr+TbEMh|krDQ2uTqy6@ij^Ls04#sn5%=I_`f@!lJ093#Y~kBp_{5H`S{j>@ z#=#}%@IV%44SIKCL?!?5+r{C=)0vUdHE$hkL=P?Xo;tXsSD(3b#AV5BJ3;>(5%%0R zF~ezFD5O`?Xrt1z2xyDVG;JdDs*D(OB3`--As3%AG!}kXMn^^-h!-S@mIP&FJ2~*R zeyv= y}Syr5Rc998gtD9< zt02b~-|7p#ztnaG6P35-qu{Z{JenBTxZJ+V>BF%?C7mRobud!A3O}_f3|_&SNn-;R zDfp5Rp@J(kZ<4YY6gsBC3-SQo3C9FZv4Ie06SyUVX&R9wKPMYtU`T^rBjrFmx!ak0 zs_x27WM#EDDp0VM8kc}a;la2FQwq4Fp%=Ou;rroB2iV!?-{I9#p7D!pPas$i;~v)w z_;v@LQ3l}Kmn#2EJmaQBwwMF2q5Hy!KYsDk-VB z&VG#TVmMg_4DKbY#zdFgg&{GURUHDS$xswEFdDw?VygvAN!6hnmwpV-F}Y9*7-p$A z=tGS*nOxjfd&oWyv-D>t(Al0h&ix3~QoJF5UpTx13!N*aKiF#;)Ibv8>jM2wqgEdF z!ftRb$TihiB?aBtC`u27GjyO> zoK0AIST?Sl>1oPu>25_#JbHzdt9LAsD?WdkJhtb#sPg?CU1=00`ozH{N}B!KU#SEu z-g!ZBgJn$&oYTK zua;2oD+7sY=vXAQ#8#$j6KPSm3~iy%JttygKjsAPJHIHO*&5jLCbSI?tn|?0q<|(( zoqX|Kfkn28IjTsuVc#`uO)V)@SKG0|{V8Yxg_H84#Vc_5*E%fcU+2Z=?K!(`3nkhX zMbnWzW>|U|c1cI4ipAb1&o4cME%{ujO94t8iZH5}3?u}a>+@?{CQ2*|2jM2-eUiN`39 zp@W>aQQ)Qt7+i6Lj~kXF#ae_o0xc6E%0h58BDjr1NzTVSr6IWyoA+Rk9nOz*rN!q4Kc?}7H=^5&9&xv@7qnGVA zAJ4VglKJ8>zwT=>93L>|E9Mmd+_j`9zUfdf`BjNPer(4y*) zU}q>ZDG)IS&jF_@N&t;;owML99NLzk8UcX9TLOS>BDQscY3mtI+d_fJ9BC7X9_wh9 z(xQRoy$~$ET8Nm1h`nLq3rXlW<=OIW>rTO9V7X>b#YC`8<*i*~V3%&T0Vu|y04o_{ zS};&no2TM7o>`_1wqy{ zp6m$f7PP9^KgR9IMiJf|iy*E83?MN!xPv((mQy(a=(Q`OWlB;982)&;AT4jl6?9e( z6D}umVGMq>0G2LyVV!?0qZK`tirhhW4~SB7HTJjG=mLY~1{v-ifa;FO=BGq-W zfgwX~Rokai-DV*;Qh|w;2`*(AW3YqOSLe4kNU;e0pyvubnGa zH^HVp8lQSb{LZi?Rnqf9f-TqWBJo$%Fs$+ya{W4^YDH-@!$!@|d-a8b^~1K}Civ@(Q|I*ykx0SqJ3 zRO*LT>!4|IrL#gJ9(SPm0w{u0jpXsbmS@lv`~lNRpcBA2P(}kDK(634scW}HV^}jV zn3U(ps74ZA6(ggeRGK0qt!bJuPX=a;sz6F73xv~<(6+eAv>YAoL{^TdYMzc7d~1XY z@E-aJ!$d(HOEw4yozhcjinLm@TMARegXl2@kbM2%Wd^W%g|YFl*Nd9sL#)zbs40|X z-Lp2NQW^z-Pv}asR2Y{#OvrrFMI`(@FO6WBa}P}u;zaYY1e>0iE8f}YPcAcZAQwpA z^IbBj>$<9pq&;nzjkS>5LqsH7q!=E^T@jQ{FIjybgLw4BufdvriLz4^9mLl zS2+73+t5}RhnMhEr5%+Y%d6aVD)t>dik(mYCF_Tq|8w|geY!hX_TBS>UEzNhdB$d7 zTqyvH9v)8$vQC8CR=L^2n3uLtATvkW6l7#$zFOEHyR5B8r5Zv-jo!*Zdw-ILtGjFH-x)6pA6V$f-Ve#5KM8SsaS zd1GP7@c|xc3NEXfL%Uvruq@0(7;IwLb^)V3ZVZD2B=a?p0k_{4HP6QKCQpX| zvMuhC5}tr~)6Ta&?+?L9=N4_l@_o^ugx-Zu_2B`USBp+kn-oGD&H^#`R?l4lKQ4T zcEw3xz52VO9&d{Ta^#X1w-nBKwtFacTdUk}e z?XkSg-nAO1^G=C8^vf(>XiT@~5QFe@oqBa!@8NU*e76xXQA5?83T!^^an45wS3!kJNKNEi3o@Uqi9VzPCEK zu*IphOb8_s41bXAi~%y>IKvGYzkgparX#~>Y7M4P76B#TYQc`<;GbV} zvvGDb{7;bMraLwdyu>+y=L3_5Txc=#Do@9ce(J6FQ>98n`554E@yU*YqnaaD1NjxAA3ueGZU;#HWGzmbDB*&8Q-jMickOq#DREs4n z8{1S5youacAVDr9b@N=r$2;>#_(QmM;zXMXs{cV>BeNZ$s9Xtvx^*i)5Yf#68Q=h( zjN(I|6Ve@JG_p+r3LKnVDiv7NgrU$+!pGfVH5P6XC=qI2J)qJ+LS+#2FgA#nH4)%* zg39dkFu9W!hJk2^oP}|x6NA{V8%(N{3M|;v81JYjxJDr!HRf!E_k{ua!9T!ae>9r9 zZkW(IOveF&p|xnUASSn}4-EUzAx*b4m^hm48l5~bwEqAc&0Od@TChi@c`FB&kD&$s zf@4Ev9I~b2C{`0tx1e1*uqhmFyjMP~e~5!6cD@Cn4`a6J)r#%X^ZZfSvLbo#X^?1k zms^&X{9~vkP)Bv4y~j2o)EdiAak+d99jr@j6u2p+!Q~R#h*>BU1_8o(+&Y||3e`Y%KKjeZ&2e`Z%H ze7;**Vn%K^zQ5y5c(A#uISe84LU1Wk*e#)H#RuNw-EB`R@Tl*pN~}XJEsk8{W2vc9 z2kbb?;lhg9xZtrGUk__kVXOhZb5vJX3Mh-9>SL7N zYTJ)auoOAYK{4>z5&XFAVDu5%#YL64fuS~}RLNm9wcEw>Cjro<`&{S?@rry-`FkjJS4Jir*MI}XYg-5&`?hFY>NFyY6Sh8WtP zrU{f&M0@&`USIZw;CiWwyfrRc?wV>tBNq>$@V%y9>$%y0c3cMsjA?W-oZN3e+7K+T zN7jA?BOrcpjfuRo?=-xRndQS9yxZtZ07G?du@gj_Wq}->9ZP5z8`}dGiIO^miOMg7 z^FP5yYOlK$CN++=P{1pHR&<3&sdV)RJ*hMJA{>K=Pj#3Q?ZU}R+zwzTKY=sN>dWz9 zhvPgkM^*i585umk(3v>PILZ4oyAYBexS*baev zjXl=j%cfkA_V%ez&s19AQ1IGb7ItxVnl?>~2=rHPp+&x-Bf?1C7> z{2;-p9>xi1kEY)ucY+3+U>(t=6YJ!oVU#`S2ckd7QsmAsjB>JP&a;S?oU}9H)Un!| zhxTdEiZpj0kq-Q6x53OyE5@>uPFY-*&xvkzKz-1kq#Y3M;tw_jL2KnvOyr~(p)mz$ zRpNWhZOeU(?+fbQA|rl;Y5u)7hz-P`K>H|W0aqFFEl3RUZBQi5;@BJ4lj|D1Rm-T& z{0Ss~zrnQ3C}>e-)P6FQQD7;{D4E#eF)Z317|vnRn^}ZZoFGpCh2Ltzv|fC^`z(}s zoYVo9M$sUJcpwU-41GgPw?oekNHipY`bC%tR!p5e&qHH&P;+AIa7`JoKN}A7&W<`- zcbNnxpMpSPgU%*UP>tP@ad+%v4PZ+f5xOUR^&7#6%HUnUJoH?%qTQv8oHy8%*TWz# zx)(E8=Ds+q`}@hraC`mWpS z2u}dya`%-V!mCEn(s0f4$O{L)X02YnB)E6uZ~FT_@O`g1>Ag$ro<9)p$se7yKrzkA zL!qS+_&G;I+jc3!iT~oq%4-V+lsVGQB9X>vh(xjH5OLJ^3|V>Qqa)?=7cio_i7zT* zF99y411dxB)N2a^zmz;agJONmEA<<+#f(XWvp?q5xayZ1wt?cHrV+X3Oa-l6xTmtj zhR>`=i5$Rl%@58XE6Jc0JcJ%DLa0dCuuM%m02uzXq^0}Vz>Xnwdc!m{p7gyEO?J%8 z4E2L=!gCeH%!0-afK%3Y@PQL3{fM_P^l!gkGd)jh<~R< zw@Dqg<`u9rrS4e^38gU`rGq=P{fGr+uf7J8CHtF04TMz%bnvg7?AK}8=%UT<-?d?FfFTsR$*01fY9_2KyLJEwK%-&OuKH0Ksu6Lhdt25p8c_!hkS& z7xDd)_(%;A)6iCIhr3Z2_8SPsG&AQ4xX#N+33?fmt%!s0F}Mb;<>_>XlB9r*omC_I zDl~>MhGfKqc$!&aBMG;mk+ii=G-ky`*R?!p%BqEXEbe$j@6E}gFmG1%R{pT6^;s8wY5eg8&4G)|Mh6&q3!97P>MlJ#jammaaB98h!?~>@1Z6ns$%LAee1FM}agc9@9 zE;RMiQLeFLt21{}*N6Z2d7C;P`K_kXb2>FFiM=`s^8jZ2r|q z&;Gl6u!s9h=}Mz2@>ik=o0;#NQn#%T+zs8NN7Q2n^>U@F6C#)EoE7_+WP-bR!Mix^5{O-h{ z8$z&R7JFvLcXDG53)Nsa?{4_fh8U|^OGO(FJp663E%jJrn+(WUiDmh7;=v%xhSL$b zrSEX?{lWm+7zXcxtq&g_zo35ubOBZPYq_AfowYk7gP7LK!O}$j3WL$z(4wLGOA8X2 z6;bmdHw$E1dIj6gtxwFtI&My5K$@Bg$Nad+j>w9lgs>}sC#T}Vk^wzQfZ24UYsg?$ zxV#?utC)d@C5;l+e4wo=v=c}|I8_97|W#88{|#_M%IDeO|Zs;nX+#&R>(wz*uK2e!y?8(eYhIq7v^J58B%T1m?Ld7EbYw@5n{V)X6b3G?1~|* zhyLz}lwZOe)pxoLvuWwlQf1}uW9vRcD!6*H7a6BAxD&xIzgQ9Pfzu;@xEM@W;0IUt zhWkJ(tWIwXWY=Z6Qhn34lKVf;FO?Fhk*%f(1=6AjUW-#{g)qYKrzI`j#|Ct*5qUdk z)!F(sjGaxE`jp{2;vnad+q2x8;Zzp~FZ^r6$raH2sS1>!O4f_@z2dPfdMDST(Ye~M z$y7!ZI~@&)CPB^`3)S$Mt4M3l&x7~fnn)^0VYErmPmMy5_42dG4n3j z1b(5q)52|Hxc);PQUI~iVWuGVI#FoGELLYQb7)xjHcT-Jm$?I9NimCHHh4nBlCYa5 zEFmR56t`HTBM(KAu%*c*fyI+m430?4b8PQQa-?tQAX|<B9F|M6qM$l=q@uN$7q7%eDw0q~Xkhrn;m&qzJcFHP&9fJkM=C;Vh>2-AlwSNM z!MB>{I1=v&5!xY$RARKHrDqYqlN}BapBtnu#noV5co}V;;~D3Rz|<#?R8*_{C<>2M z1XcoM$*yt3YR{8FtZGA;m3?D|QMIj`Bf~hE` z#=|d_v}QO(h{PedlUQ3?xKn~jz$4iKgx@(#CEy;1Xf)TZ0Nl^KdvZrvSiph-`sf2DJmut5 zN`UUUdTgXArVhmkStd2lV$1;tEHw?xJL1Ju*NK6Hs|T^xj$+3$j6^z5rjJmlaT)Tb za$TPNTe*{4$C`4_gFZXx@zMHlw8X!vF5U>ksSqA6Cw|?pRpl#DULFjFeS5Jq^~bmc zK^b$L731=tzy_C-k~~{_?!vn9z8_Zc*1@RAdH1<6_d_FMWuLfhBYODvoFg7S>3-e7 zw6%@rmzZh9B!a%Q42ui@SuQlvi;b}&pMg~<^1&w>{!9v2Jy(%WzKEUf&s;D{%T9#- z5WyItftFLdrN21gaQ176~n}mFe0<9QA)1#X@q%mrG9fWZxe%ZZ0GBvrk8A zpc;s2--#=OWtLQ6zxU-xE_}iB)Q-w>k{-l0jqj|=7jNqcHp4Tt?jzmBU-<`wqyd(k zf`h0jg3UM)n6w!}r&C=M)%lOjk1F!8yTQSy73bZ~(@<3|#n2I8+%Fup%3p-3CebSR zqzXp2gV;)HF?oliuI|_$466S$MaFIpeJg&S9I=!%4HzP|o3%8Sn1*UP9QUBFz~$Ch z-j9GFGzc5RWyx9QamO{s9#)#?cIbjJWkxSnsTXTR7G#A1mmdV z_-kmWd0YmUTKvof^j!Fx1$qDvH?#Z;I{8H_gLf#xPx6!QOhTKAeoKaoFPLSZl>r5A zDNW)h6)3T0X+2JlVcH1*a>}P!WnS&M4SHrkJt&epr_rKll#%XrGjR*MI6zd8&v{}o zY=ux+EN9)}xm7WvISD3EOuY*AZCaShObj=LkJ8J+Z3Fo~Mv!2hei8W;paBH~dPJTG z8I2&Qg_*}31|VpUz?&z)V!;r_-xHKMq5?Fq`vDG>fiCHTqm1E!a)=NxHW^?!BgC4c zspMp-F*>nPlAQzFr1VoP>-{1K`^UlcEKuiuoLy51Cn!#>aVA%my?LlD1$a-R|DRq` zoE!|}ZUKWbSk@M-E>C7KV|AuPU3+?-^c!8iO+gMF=c(>hr6Y0EB|kFV5h2PDYRu3< zDOuGmE_t{YXL3D?g8t>ZMSpgyYcO1dk1!UVKASZ?<<~Hhz=+BfXJhv&!}N(zTa8(g zT?a)mqH-tWn6WELa?@jH`?Bf`Bcjm3h%SiEo zmFZS~mp;7}8)P2A+D~PRuubNQU2q>}~eFW!Z3$-xW!Qfz@A&d1kUSU9eHA7-h{c{bB{fc$tiC($Me^~e4 z(TeSOuX=yd7vx^O2THaE`;V8@{eyS7rpEXGeu!@xNm(y}WRJxK)R7n>gKnI1L6z`| z!S0XmRLpUQfkCJ#&unH@sX0aL#yoK(c`W;qw-~ag0V^g(V?z~S&c?XW8R~VI8$t}8 zy8WulJPkF>yNu4k15+ntfY8d+#tz;TY9pA4?RXPv?55(kw#7>_6un3ZDjhuY_1Z{i?6!^O}<$Z&ReHo%k&t`h~c6Sk;jkFHHFTlZ`= z!$7xsGK9%-ksER4G7vBwKn1?o5=T@PDFzByG_2wT1kzfIMyLY4H=uRAVYt^wGnhP9z#&lqVR?e z+5;LxS0UtjOeGY4&ca?ENzd0U!o}XQbXI3uIVA|nuPu#gus1XCOIyUrII|SV1oHt< zbX!-Y(eo=M%qU=4583A4b$ci`K6bYCKL0k|b@f3{s=arbXybabVLlRb!VG*(5$ucz z`&OV+AYMx-IFrL(_uns4|0laFk#aM_kG`DYL!_3J(~;_rVIJ=en*6}=1ZCrb%X z2M5si91&E`Uv6Bf2W_E%GDq43vWttRfq8O1UVd$GmR#qiHoqFrx`^!k3?3;yDp6&f zE5^BK^Z6@h-yDrRjX-mgXE8^G*CR1Mx>$${-hPoC^9cluZ~)X8 zufri6rWpVwyz(hHu7kA%=N^tUk01IBEY_g$W-i|-0*r~($ym)1zL>4DlY>c5c3Ri| z%tIqFD6@DaC(`VQ06{De)Cn{jv&>f1>5rRZ2L>cpX&^p%?CB)Hd?#M__5;jOArbc< zn+REGfN50BQJFll#n*+?fQgZy^*LbX_>Ql~l`($GE*s}NEW^0f#0fxN(ZxVzslbSJ zcpS$@f+;;8N-^r$r~-SUks6G(IOfR2gcGR7)POXfH};X; zo)jCgt!q!R9axf7Jo;ffTbCFEb$R|8yp{C?^oOzSIO7YJ(|yQvkiO2~yJ*uH>F8n; zYVrUwzY4>p8WbMznwc>3ITsQ&?ArJ}55#ta39R~+p8U9{^(|dk zR?OMK%{N=QY1288?0tJwm_8Iq_0A>QxadOx$0x}E5he|#Xo}$Y^1x}%br}>2p!{h| zOV1*pEjH7%i7>w%KU<3FU(8YEF3L#huP%2)=jbwfhLs8qSHc0{T!MgxL5&!RP~Lk{ zRZ5TcPE@^7sc*z?*gVoa^6cICko(PRhZ_f1sC@$Kv(XE8CDI~-x{`wfeFXIdcxYKT zKn1z&mkgn#yjrMm9xHgcMd(5}9%lw~K`YyFdx}s6D{)#|u<5OS)C_0i>mpiMR{`#;&qYjT7piEq8 zu?8HeDFhm3UB%@l;G9=kZXLn8Sc_M!_eG=Ft^w(S(#;4gq0qgRaw%kLj42*z3^9|d z->e*63Z?@1DU!yRNBwJD^@bpPO-(EF&GugXo-CQuh0aTn<=EW1&dtFtegTq$h?HOfJ_nzp(4cW9>?;>rLkpBds1Re7Sp2GEK!+L9gvd6Z zmr*LMuw!;_fPG|*O)s=3fe1}dMzG;Frs~LF6r$$<;W3L7q8JrG6t8TLCYf7P-N( zI^VJQY1|E&ZO->5HehE^4I=#Xt4=X`XHlh*_2kLGv@?n|eQ7+?F%#U5Kg?0$mr94F z90#wHD|K5m&8O@-LPGq8h4H{MU;uX4lSg1@Q1B{0!9b%>j^1iVyTpTXdrCj;wek@O z0=uP8vr~6C8uf3A(t*lw%wP#S*~n-8=wd^K4Je$?1EA3@@E#!IFhB{WgSs!vD>1f) zcu8a!L}69{%O6y8A8QzW_-h8cz>YtrNREg3&P54S&=dPl;DO9FClNvnWk4>~j#OS( zB&q@*o%)`_4<;oWacQ`!YG_4npWqB-*xn*w8Z?#o|37nY10~l{9qR6L`t<0`Xe6B( zkLgG25W##xI%7C91vD8$JX#L08+gFL}=SU?<>AR#dhG4I=Tx<{j*>F$vyZ@oQp z>eSD!UAuPu?fN;@S7kk;*mk>hoD#A_1dKR*crpRu&Nl0clTrzwZ^_+$u!H7 zT3Mo~%$;#t(Hx?i^6f;Ir8IammSCNKu0i`q~JB4>| zh&hwB76BoLbw?F_NSszpPqtvVs5YUia^mhT^(M9y4EyeUICd;okfZ0bTltIx<;n`H z1nfJtD#b9NA5r~Chdn%f?Xsg{aZrA}Ab{moiOpk0P~f_Vmua)HJbF&tauZrZ z=%y%^d@pidvuTZ*AO$miX3EbNM(wUB8nYuo#Ik(S&{J9p&la=yS(r}az@`|rX!&X8 z?H6+laF67=~1o>9{wXLHUTr&qlz+btsEZ>+&~Q<{(VCnzp>r-fYu$5)Zy=P zo&bVTr9PEjnV*!`Tw0Ix$Tn-u=Z3>cbI7#H15sM*r$)t8?)}^`umPdQ-&@0v3X`1) zORL^|6>C*rdJP*QzogvDo+etF+KjrE02bVkN9%#(IU%wiu8s$`)#FOKpYX4|%1Qmx z;Zfy!C8rN3-$0Z9vs^VAx{@oYJJM}j&2Nn>wSFMXy>VJbJ{P49?C|O9s_?!x)RIc} z{<^p=Nsj5-DD&jJBJ=?-{XJri#qlAP!@jwZwEU?d>&EL64nJ{cAdQcx?%;EK&3tbA zAUltuxjZA(XEo2%9kVAX%LWco9e*%Ge1qHXs^^Y~eVYusjoC3T9}-_fPtY$I_bST& zTQ^wESeU+qcGhkm5*%rk*{qpyGMtZx2HO2&Pr|=Ob*wlkf`|%;itKLE))74{xm!bi zN{Ul+8K|I4+rBKX6~UMvCu|jR5wtZ0v8MkuC53;0cfBOiL@3(t~1VRi{lPyvb2K`f-g_pI*@F zAgEj&u_ov?RlULrd9#2_s6NXG4c44s(kDdUh)il3b>qck>Et731wOnJ5G{>~%R_0_ zR1cr!fzVh}3ALNatc_WtLV9RO>fHlW%99wzDB|TZT7_xiyD5#HI4z9-Ffb@iw60k? zDv44}#&;!lTCEC(XG-*pzh-x7;6OLzjLh(P5fqLNV(~K3Okc?piDLvgsW9+e1dJBa zP293=L&<+_1i2J5%;F%w49?zZaKz|#^Mr!4{IcZA#;wX*0M zA7UB2{Sz^k5IcZIMZdbR6{%|xP-0B%6X&JlN;>&4Sqg+0`Gp9_Xj<;GN4J_x^B@Ml zLp_1ehz)Q_Rh2rs%8FgB-Ih~<$}akkrGnAC16qzoEt-JJK7ABwx4l13E7Lf3y;{uv zjT$aT9$k@>)faNG;LB6;;3^uR)qglJXjBi^7Q3zeMus_-{)crUk~1 z1W_ER9FZRr+AxUA5?t#3q|hey>_9WVM!Wun{XskIOfMxBW6)9kXBnA#-}<^V#k%D0 z%&2@WG-ysuVP*YgWawosh+Tqc8> zydd-X^SleeXUZSuS2PcXqvhU`an$zh_8PoUf+-N(7OF1gJf^kL@mT+kS!BSH*I&x* z369as}Y~+c=3+VsA>z` z-L0@{j9eA+vtsa0?*w(D`sbt^dp)B6g@o<04Y6ueK8M|@5n8__wkfo7?E1wmI=En? z5jn=0Yq7(83%-hkq$Qu`=NC#V?8!}pkUqdEjtar3MagL+vWExqviV{os!_RrCw$;K zgTGicu4d$Z>8Cj96buwHALVCnrT-ql_a((ozRH9}=;gl@PsmOid0J-kwRY=)r=@Yf zi{zaBeQH#b!@m|ZO4Og=zYWLnmS2j($w$ISZn`$9ls`PkFGu_&(7d@Tw#uIApJs}j zW@RZrCVeAz8fji+*K=%tyy24c7Q)ZHc1Ds`Jf&$pd_gE%{uROK-qY#}@M%jo(Othl zsr3aXB|G+mg6x0GI!`Mc+$pU?$HTDBuHQJ@G^Q__mw#4{JuoK0xwX3nTITW~T?b#A z_WsP0scWcQwE$7Mpm<$4dGx!Xb$v0p07-LsWwb619m9S4olA7^#T`u?gd7>z}72a{L8^iS5jvR%(8f<5Ye8rt}U@jrrZ=RyzbDi|4{LBaWnp+abW}*Cvy+q;1JOMx z&4E*g(lTn%MCMVjpBkW-bc*VD*{>H1D9mT2uL8*8w9S*E&eQD3L)Sk%b>&=97X}#h z$b534?sDr5^xAdy0`>upxIiXQ!1PuaJD=bb< zF&cHAI`)%ttAR^^RGC`Mvx~>&Fy=2T)Pxu~051}6JLXMq1lhff-X{RJg0Ick_2G*f z4Ued(+U#67pRCb1tbOV6Dr=RRa@HGQo3k-l7PR_AvfocLIMyU~o;q|+%587D|1lU^ zbp3+X%)a165p_j3KGb$_mcmLW&N96zUk~#+R9^JF>;j-}X2BJAdzud(eaihzQN5p0Q`?{tvOLt|PV1)g(d--b4>HA4bK*3D-VtXs7Cv;T zz_j}4UVKl;IYr^ez%a{n=2pcOLe6BYFtL>u4=PHauU^2}K2X~CN-W5L^5s>(thWcp z@OYZfS8Pfr1INohYN%S`U|Yedp}&4{3WTAJ8!9DxNYLdX=fvk?c_0GQ*gtvT0Bf3eG{b=B>+Ql%ZoawFw^9y3q!)0IFCShh<1z8E8fG z8S1y~IMxY9d|Z_{$t;`+dFoU6J1Um-SbZ3&E<&vi1F6)U@;%5e;X&MCt<>?T8EjOs zS@Pv=%Vk_W$^sa*=qd8x&`%E9tdx#@h~et@ZV)-HN?sdON;{<#*olr$uXixF$unH{ zM2@YDW74V`pR+6CfFIuAJe>(zO{~3WW#J8kqODdg^3su9ouBbCBOI?zUJw}fNQO1X z;xEkWPje2YK^=DwU#C44fS4k~ zd-}mhv}*SS6F0si3@oUIWQUIbPY4dxqzbL1@tvS`=LDIax!qbz&DHgoUJ!lbk#)(= zeb9U9b3=hs^s#H2Zim*_bDnTA^-B~kFjf!tHL%qk|bbj^dC`B^F>K|?p#@Uf1N=w0XV+kZdqvszVJ`9b%oixV%~G*_$z zVZC6L`V_R%{N++G5Af?!H&Y4l=mq=?*~|H@I8?OsnY-Nxmp%?%qPEH;QS>UU;P$ouY@^n$tH%ovysUA1`A_%hKZG1?TJ`5Lq|iFjCKx4${X&b zQWUq*siP;v-_6OG?4d^KbZv|yGzC9Obs~mS4H{nb@rI3x9lvhx+pK<$nY)^9;XWx( zJ;G}IMfoV;v@*48MHtD8^BO&z$-(Ycr}kw7qm+VdLJ8Lyxb|zi!7?jo1*~_p>$2}9 zq`}#MzQBx>iO-Tj1~fc*NUdZ~2V0v^odT!Swbl0oVl=g#PV-D?97;`Yfi1&c+W4um!`YS#$8pmBvvAMr@T8I7db)~Ff|?{Y+UV%ntGpzaM7Ip8egClM#h zrj1YM5Z75+8|KUwfdFj~jOB$*N>0Tzp_Wn$F3=M#9{d~a5m(l+h{JXQ2=krv37!D7of31kgz|?YG87xLAgxkhh|njrUMEITV;4?4 zlQy*-hqxVmO|oMsw#A#}`sryjs{TYTcd_cFeWiV~wA^!15O6V3x%EX|uq;3Y<8 zZiuq#YzT2^RMeFIAV0jbX)TeW*Iyh@Uhc5vYW(;byqH!HM`%>#cv2b@LskgPww{uyAmSG9_ZGzb7=?FUx_5j?w4Ts0^oGP74_KlvPoj>9 zu>nUEhUx`02YN?0;KJc+<8Xrs3TRYW-RnWp<^SO&ft?IY_0Q@#y}wWD2c8RMk48(6 zBFkEX#cFq3Zxx%;8hD4Gd0 zDy{y~9+1_#C?t~R+-N0}vmvQqz%o*ho=xPS5&4y{DrsF>4d+M0MG3V7oV6DdndR3+ z?rIm+KL@Dz3h97N+!s)#fEIe^>{@SKE`yFrza*C8?4_ZeXQw&6w6^erQ zvN}I<-nwjtlH)O1Mddd^PN}haI>K!~k(}at7CU^N2s+&s=r=QT*D4rsWRcuidOyTd zLYImdwB}pL=F_8}=3|&)p{=5#!Gu@rxzuqw!oxZYx{FTV)WT+XmJgbeLaZ$v^guGC z$*D9_v6jpk@APJ>RVftx2++=Y?;eI><1N+(q19*yOX^WWH7fns+2NBTsfi-z-q(Y{ z)wI3AsF2~&Kq5gs@VDyVPz4vFL8cSqp;>bHEhA_iw1Zk%fLr)rS;<2Kvq6gOV=5LK zU`$PP((5QGI%cJ@335JO^Ol6kNdAw+xo6c)cltUS#GT%{WJ4R4)mWNu>QGiUpZKofBE}U#_z!&c96vWL=?p_T zFt*Q`%JB)2e^}-BlgSvqSN*u)nrKuJXJij4T34`y4)2V1@U~g9vxq<3%vVR-kxVN$ zxeARciEo!G8da9@t^`9d)n~cj5RJ+mcw8a}wt+9xc=OsYIOp@hl$#pBkI%DyTD9qs z>yzvw67SWwVH7jqIZ0#(9_Grx1L$bOgum^N^5JZ-8XH6T572p3qZou|FwB9 z-SCbNIW0+#rl^a8rTZ>vXgk?7ZPC3v%Y0c2qvgod?iZN~%0rO5St2dM?w}n5w8BZW zwDhT}3y9*N)w<_*F45B9x#PFBM8&)*;K zaN=&)JW`tujjDVz2+^qe2G=PU=cDj}K%7#00n3tradO+B3DdeQHk?!6Uf`@^1i8{o zl3Zn-XAci+P@vS|+(!QjA4Q1vLReouNV3+(j8n&@h!UE}h*wC`seO_m(ag-J=+vfl zydN%%A}j9-E>)9g`}M8aOx03gi2oWHbEE3&0Zzj;Wt6DocHX|Puu9G00yGe?2BVX* zdOUxiqY{g1qu`|3m`}^tHXkQ8#J!^EKqO;gc)EjyBFr9}$BJacphSfPs1iF=hROrw zL5U4+(|hz&4F%A0(pw)U)S;{ZCb~PbWGHQANA-~91M=ds(uJnVLQtd?k|%wq=KEUf zikT+`6ARk! zDPE^+=Xk(AS5(hYIykbSg;#z;9q@4K>>%?#$uufkr(O~D%JYO5z-Z_dsiQWKz~BMr zq$Vb_dynU9G~P|NUrCvUCX!>rl)v8U0~~u9f_f#Eie;)*FxUY6%5e#HGG`AHsRXT; z6cx)NH0ELws^)k|eP)zKP=C?nc60mG`HpdlknUi#vE9j?pcHI!?AEa5o|$HWB`;<3 zM~*Z;Oe2`PmqjqnT{2KE@2=nDmr`G+gQl!PNISnB1nD>EjExDIXot#8H zeQBU;bA7-HkK@$TZ!KG9dWAD&?*JZ7OWRSed~Z0@AbWUphif!gzv|05ek<;hf>pO% zxYmhzaYVk>bM~4|jA>Y%F<5Y(zO!3fT}I9tygB*{M)mRJ(N(XKzi6*MN0Jy=Y`6R~b$qFHQHJi6XY{cY)I#l2w2C`MW9 zCV;l;VuPyTIUWmP6!EtOr1wNC>hb}y$(Dyg(#T55dFra+G$yfXYFU8ArpurTaYCor z4Xn$2>eNBvTxEv_}hu{|w|L>p08a7ZUZ(4TAu0vEttO!Q-+52AjKWU}(o z%aE7FtiYjg2W}Yua8Pb(ZR8}!R!?}HToKk=v8nPqS2RKN6NP)ebzWqLO04F!Ugx|| zSA))EsI?}U$DHxlO}^(p`m!nu2nC%-Suv}fOz{CN!s`wU2U$5GdoR^69U5JG^peE3 zt_yA#IZp;PKge^t*;wjMt4pY+VKqGDQJx$Rw`f_p;#qDXD7@L2E${9UrdzwCNTF3>fqWO!@FGk#s6HoP@L1^`qY$;LB-uZ=>kXH3eioL6F} zxBXgTRihd(T}cu68mUh}W(69RF4Z>Nt&FdaT^CB}XXn_k{`p8|*5-Ywazl>K?SN)x ziC`38OX4JvsW#{ilJ$G9Lk-dTf44s)9^CR20iRhh9`C*;s_NEVCfxwN^QkRXDnB04 zir)ih&y`OE%})iZYHuRh^d|7P4vhVYst_QV@bvXGS zH)3p2>{~v@sP}{oTOa;kV$)r@@Qy^*O4a9iga7=BPi5pi#ofW&Xi?I? zOCf5a$6pgi>CY2j2GcmrX~zpBrd2zrYgBH?_AahGg);7@N+B0Q81+!p3Aodek_~=NqP89|$xf47c)XTXgbk)0DOcsYoSQEp zlL=H?oz=2-=owxsBir_Mo=XU)m*RtOe7T0aJ>b#_wcrDKYCKes^UuIqP!lz$RJ7md$_%`()L~SHA#WnUuuunp^lgINKw|pC7d-HF>r~W zKnLEXK8u6Zpbb3U0&`k|{2-`=x@-}v){ucK_;-6O>i9nYH?pys_?R>Uw}9Nd<_seW zG)B5An~e+Jr>nu5_m$d8Ag{8w4Jli-dw58W`uARg8YyC|wcg#uhzok}jhjY^((%}V zijt2a8lcR;q84X^w?b?&ocb&$n7}fd*CB-xN>7aIl9E9WCQE;#Gz3%`?A@tk@lXaU zQy!VK$q{Q*ks~0|3>0~&2gPY>MDiG||FNFgveX~3BPx-qYL+z~7~6_rA&$d9yo5yy zRXzR#VDN9(%C`8D=xA6zv07n%-(*Q0^X&yLCX^X8D%%$eDx%iw;P}@#_v@lTcre~?kcA06;*EL001e`>-a3MCkM(tYd=J;THDvo4Ht|R zIc4G9Cer9z}2JsIGR@J4G1!fP9yYaEX zfNz{~$5VssC6eL3ij>$r!S_QgLmF3K-wHoBl8m_@Lpx5DrcvKY1a6Sx z%rp2_TgH z42odX3AIMKNqPE%Rv-sGW&=gv=Z-Y>m;L~kY}Y!H09(0wh#BMICYWvs-yNA@v|FSmX=DkWr#N(2He=$QQ| zLRu-T%HBz1vrIzFVE;d~$}zm}ms9Qj*e2d1u7>Cc!Xd~&p@o^iXp)xK0vvQ{G@ z5#PX^I>suYw4M$k_dQP1jNfdNgJI}sac1{>U8(W6D_NGgSjM0HSs`$bT^qV%pI;HH zMrAW5l|ol=blAe&`))R1>5MP@gZnwlA5A|>zFg_}L{iDcH9Po3Q!H!LQte7B3!ESq zjeXd)Ue7>AqR%Go;hzOzv))RKduW9vpmlyNPO%s?kR^lB7+SWci|lWI%gFAZGeP4| z;3J0?2vnXaaoj%QtB!p|IcD>zU$H(E)KsGi{9xRVw8DK~3EZYvf50#4@{=l;6=k!2 zu}T*Aq^OCT{xzI#+@8OmT|cWDL{F%IJ0=K49W}`Qnyw*%i~o5-d%yaa5X%! z8WRW#x(JpzoS_vPrR6l}SbL>h?TdjiAwe2l-`;?0eJ7#SP9cz{%7 zV3)o6GOuJ>bWcE|Q*xR;rN&+P&heIdkh)|&YgD6D2%{Wy2PRqI)H+DmN5)~Ssvap% z6hKE)p^w5`UeIKXRqAo*HL5hVK81MbjVz>Ng+0u+{$z6XPFwU?y$qsGb#SafcVb~e zE7pZ>2j7EWwG>mk?r!KHc6mEV2PY#rcQgcP3V9HH+C^x3H)+A*>xY{}jOV%wrT&AA zM}1;FRn-K1uD>3EZA4<5s9uO|&n1}t{K%!gYQe*~TvF{2TuC#VwNh{CW|-C*W4MG& zi^3J{N3~9nzxsN^jm_NY`^_6r{3pWrZv30w2(`m*l8yT~?JYKQ{{XtPLC9L@6oNW0 z*&eK}QQ~4-#LCBl)#im%EyE3dF&pyhjT@xTgqqR#J;2HER#VyW&xjqWwtk!%K$NwgyfTitJ;6m& z8&~w)7_^ zBI-2@tOItEMWZsw=38d<9980U%^2!?O0A$7-YlJAMWZbWY5Bpy_@4ViYbU_bS&~h@ zeL?JQUlEA?ix0^5>X;v$&G*;Mgnxa(i7%NJrMR?!GAX`UYsw&9$N!lX!-d0PJX1SC zM6+WGkGbO2xcf#YZ(bNAmW=ZV@VH>22YbsBuT5GRiQWi!z?CV9%g!n=tCM zYV-pJ!b&gAkgc!E!Ihb7y4~&CY~NGydVn5|(wRaAhS#j}YS|9kp<;tXq7{{pV4Hv8 zxqQG_0x^>8NPu3bj)PjJNw+~eI-r=S>D1^8+P$yy(Z@5f`BK+GD)q#e>>Pxa35vZb zHj%1v;CW%Ia9C_q*0Y%l>Z>OShf{5a30o>Sji5O z7|{)Y^hBnh;dp#jj9QiiPO;%rQ#nD?s$+pX78R7J=BqzGi|zuCjuk8=)*aGwK?G%o zjT(lHUZL@1QX5EufzZ-J;o(BM_%Yk&43EWO78YC^ab8f0u33Psj5;sJb0W9uSOTym zCdU+?S-ih{F;&W0-?%_l22T$*ySLHTHjNt& z25L2O7-f7p`lJk4cmNbt!4GPgwX4}|*DGg4HP88;_Ox7U-CGuL&zFj_){zL@YfT0; z_c~Igw^{Kz*LY%W>MLFfcGiK2^tW`PQx~u(pVJuc-teAEK?y!x08jHz=%K_ zP`>Is&R}#07ihTCK|ouy?eM^;n)$enkEc4HTMc~v;2@EghWIozCmql!)O6di5V8#G=g84 z=wMG{8Ol;YNw1NkeY%8vM<=|?8_mNyMu5aGmF2-qGifB{`0m z$^%iD{~v)hEc6vz8?VbNVfDaY`IBr9JF3YA? z%d-z~2UfUIl6}k&n^~Z9gNGZ9J0E*s){b7zch9XUNw=>ZtbWo-0hFGQ?${6>D5BW; zlJZ&j$@Q^({VmqWU%gJsGr!?UxUmh9)Gll1wkOq-5kj0=8=ZQ$MdmV*?HUPp%F3~L z;DUx6_=d08@yGullVy6vBO?Fu7GkS(pM0~3{ zZmNPKZeVC>Th3|vc{uhBu8cX!(+4D?KVM?!F*z#)Z^_M}ro=p;9T2q{p2u4bORgbw zsiThcRiUhAG;Q`Gb6;iODxa#84q^fHQ*3nA(&R8fgR?VOof@*=vbiN>EoDbjH96l8 zcZx0l${W^KEU~{OmAH#!y3s8BL(%z2F^iscoXS5{wfTAp-yL`c3seJy@rnDOVb?g zhXV(84Md}{oW@|eC5Y4#$!er-yge%*q|hB|`mMHBQ{#0ek6e}1m9V)Hj9QGL)lFH| zB$pFLAk2Vae|Of%7pr8T0UruBkjItxLvXQgfTL%4Ni>@bqESf@L_Uj(*b}@Q+I$=8 z11T!l!T1w$l&`*$X{SGzwZ4x|-AL;puWvdfU|N6a24$;t97w)l_0ZuFmUlc`WAbEa zkEU@p;53qwF=|o1RsN|CWMRcg{WF0~-M=D|r(SOGr;0HR{LV#ID)+^q6SO$1lHw<$ zV*dlAVHBi_*9#m7vzlyCq?M3t>--xXoeSy)KQ?A6vohUu1NC@6jD`62fTSAzp-gNT zVm|{~(Rbf3^7w%jN%Sf$)8D$tnDP1~MJ(<^EY6T@%k&_4reNhm={XHl-i=!RFZ8A1 zT|+WC5eD+^caPL7-%@YCV_Vw$7I)A-_?ss*s%rU|8`{oKy7Ps9-Fn0xhWYrdxqwP2 zO`h;wd}VF0?El&WlH6Du4DCfBKc9PnnL(qfd#(uW1`&UKqy~juY&a1K?-PB~42h!IWeids#WoYbF5u#>Hd2l>M`D3--;x0@-%>9*E zwbymQH931jFn410pMb?-YNMn|MGGo$=V!y`g#GL2n$Soqze_vkNbZRTcKG3caY742 zv@2+XV-Jxj_da#LosF%zby+}pP*Yr1(2hzQqO%y$p1hbA7N0ZJ145jcch_V3c^!_) zdyz`m2WYcZ^4jv~+blY#2dBQtegtpXqxLN?pM`WaBSY{`o*Ha-K&|6L6t+%^Z7J1Z zh`QSse;peAy>p&@%}-e)_(`~?jyyCq&B1uam=)u2G4;cMo!*ebvs1Ko&ipscGdqOc z(a;1I8U^~^qzFbs(R#p;RvG2g!JMed0hHz!7DH|573dKu9!obAdP0LL$O#wOqB7q<;6j7YA{E=D9~1QiuDW=7=Zv`1T6&b7C!w)i zoarDE)x<)G5rm|ozU1!Fmu6gHz&AT73<`Nnw_)0FZ%e-|Re5#5xlV1eeOB)=VyGtK zCG0;#k1UQE9);+3PP`51$Cth7vEU`rLO^ytaU><75_bggPg0r4lSArQX0T-5N@(2o zOW2G-T>KIuS*hR5WhQ@xBh|5{@u4GZ+o4>Kdi`%!v3kL{v<5(2%dD7Yf)Z69e};k} zYmF#f=j14tR1eTtHd602zrEq;3V$P|?O^ib(moO9H-N|krD)DO!2w` zl%9Cv)ydfA>n3CanCaKngu_Sv>&W0OIBa;aTr)CfMx5omNDYp(N9+oQ(8sS!1dYmn z<*He5liv>qv&Q)47n*qdCz68Y{`ZS~ZGDJ+zM{n`w?30vbfxOYAPkA zWb>=^yNq^jc`pO}l|iFwQr;!Q*XtAfZpq*bg=_7Avx2IU!5pt+ACv|^r8UbBkTOO7 zV$cri{xSr+_Tw9vjTkCYd_+D+$l>#%UkD@_2?xgYbHCmY{ggQ!_s|5ZCNq@$ zvtw*VzbLT5L)3hQjoE8iO~k|q2lti+M?H3J>Z6WR{cXF98f=t1+qEj92!-)M^;!~8 z?)vddqpa_GB+luV%6ne`niVzf$*(HNnn=krYL6nftvyrGEkxjd@yyFykJsq^fMUfM zQX0{#;RngB?99%T6SNo@Qf2qvH`4`jb;-HXAPjhbt^I5i^{SiqTP}lP54e`?Z zbQ}f`7Z_$O2nKrwO?|PGiYF34x`lQ?&zE-Be#OYw12uS@z8ozs332iBm`0`06EqrJ z$ZS@eI1JV39ux>O4NX$2D29Sko5%7#&(ClPgANJ}-#ivs=OL-~Z>ITF9`cn!Qz)kx zt-M4j-57m^3k)9J!HV$jA78s@wElV)pNUy^;9G>M5;qd+vfesH?h0N_5i=o;c)pTe zDb^4vHgGke4bYJp;O^AFmd~gU(AsmR<$l}F&{@&RuGd25J1xrObGdm*sh4iaSM4EP zqmpNv>eC(Uf?(6JXZcW9i;E5AyjTX3>Df!iP2B#*w}W_wcRTKQN*Gf2Fl4#LM-C`Y zPf&Ygk3*_XK!eMq6-wpKth}D2+2kkU%BHv6IcE?7dGvxIN2OzHw9=w z7jRW*#U?LSv!I9Br1sNSHw#~Rc@^i2xuf(a_v6=aZ&>e>71M>-VnJFUT1A{9kX}Y4 zAJ=7DEtDg38`xF2N`m^_v?9!WYK@ig_q)L22sEyrDEz|BoMgCSaQ@y4_3sMq<7;cv zTm?}32xxq~@7szC$!2r1HY_)M?mRoRfkm&^zbl)TbR|Y$i^>yEUjqSX#brD;{rw<| z=r>39(rAO&Pd?*A6Y0SESn_XDgVO0;eTE;BV z^IF@qed{Q$SGrZPsB?YZqziPmA;ib+>%50=_d-OD}*fA0x;#cqSqrcBWGmc}F%Q>Rc@+n*#FN1ICmkKc5)H z7U=T3GpZ+}4I2$fFA&b{dhj1&22ti%4l}x2BBn7`xKsJwr$@s*)6e~PlpVf+sVb8vvLs0bs&H!)S?_~0j1-7 zk9aO!WBu!g#5K-kVW(A4gp^_1T#xuJcM_yK^KQsqv|8uTS>xHmyVSzy3J*l$Za;Tq za{qgc6u&trLzk|ZI9JpL~BLhwprVo#k;Sv*%|;uox-t@0cTAkdIowGZvQ* ze)>;R*ZL~u{k>n6>F@olKv!Fku$4|}-sCneWjyeMM2NCXke(YhTnl2YUkt|mSA06u zDx616`~1LoAP>1XJ>QU#k5QQ)$;v(JsJys5@|lgg(21NI)a9=yYIC*?LOpd-eZp!y#?L6J#U@p7U zy0uZRkP+?9@a@HC*F;YQ-fbh0v2?I!AacO6gI(P zyMK{pQpVn!N09o2#DVBg2M6g~sY3IeMWp)5lny0RRSeS9Zps+!8I(Gf#oq;@CCcq2 zhD{v{sk5v^Bn|v;6*KvfNUVE+phJY0vLacWnuZu+w0sG$U#M>?sg6DZvp5jo%@#|0 z1az9VsK+vZg@!Y%^LWs5%@(V&1g!d62@EfBC&b7am7f%<_u^33`-P=GCUTRcnvbj) zJ|I2=2xeCfqC!UX%+@)J6KaRJkr*|@IU-oRoXhKi%ZU!t`DPJk;xVA~uBOE97g7VJ zQZ!T-ms5+f&hTf+;XtRH9X`%tm@J#zsG+VN;Pl@5zyzZAb2XA#J`)Nwisr?s#i#-7 z*F_zFJxb#KGen!I_xTWa?!3@i&8s7fY19G{Am{yLE{`F zs!Y(h$VnV=FQCH$23js#3(CS5G4nI~%Rxu}4;(2;9fK6c-`oy~{{KbDyd!mk*K(N{4%|L4FF~JYNPT*EqFu z9}89X607zl0hF9Zh+>y3v5@hHzvxUwH{^qc#`PhEwLNS-m_*=cq zqdWR3e>gUubn>ua1c(cRHvdbj;OjdmPw*%5y*05Ne6CQz>R$Qg#OyA&bE|=Uf(1{B zk;zj;_J!9nRr*OBsK|ovyuJ`7hl?QbE8s)19C?GZQk|=R_C|gPF_>}5wwj0~G{SRM z(YgXXoZRx}8F}aL7sOqJCxVKDB zHWehl@2k?3>sK|mo?kcN@YbJ8r1ea0N@+Z>wjuQ&23c;q6FoU}(TeSkFnY{^Y#U8+ zFOfzt7O=p_TxKoX>u=FBB&|@=^CQGOV=F9scUojtF;7nB6c<@Hjs19DHCUJWYh|nZ zjTKgpB3IFCF(}KI1K3_Zh_^C6K~5`x9zO76tx#tIm#xO|(qLW=jVdwfa%%JmrH4xphRF4X zi{>Lk3ZzE^0_bP#G~3H_2@Xo7Cj`1?<9LV=PkNc~W94=nw>pgB4lwf2iqIkqs+#AW zfWCpqUBQWdG8~J|%8Vqnvo~#J;E5`cNptrJ8uK0N39rR%7P?v$$%kH9=<;%>x=?0S zQmMnCW4qVbv3CX62_;LB3o_R%@~fiYR!GH>4egQl;$u~yO9R^loz$F3^@P#@A8IIR zU1W2C(G;DBWIS{8YZ$*Z`bby z4Omk$#u{IEkkH!M7Kn?d&~-BgQ@qL1S%N(iFPgSbsih)ta3Si26}NuQen5OQ%3twn zUfc5s{TMf7v2@AKQ!M332_{4A>7n%GX`=fOR6*Q_hQTcj7q8gPhpvLm9 z^P7T36@)0v%2cAeemm`JeMa3(gzmP&^rCmBaHpP1(Wr$?`v#C!nMUk8KrivHE4b-k zvqZbEtSLg{$g7!gqsAMI3&KGO_W;V#P-wREV7l+W@j?y!HxwWOEIk|oP zn$pntX35+8hpc?%En=_u@f7;*y^^X%)dxN9w`QfNK*yB3drFT{FV5i^LR8k8NvC1Tlu^q|S!I2az1WF;H0tmQR9k)oRZ-u$ z+KR4GrCggb@!3Ckc+~!!{?oe*Z?9DGZdxre9=2{q>PN zCFM7NzJ%MVGV=BFof}j3dcg2#KFEKhEaBr%zjxZ0uhNUYHLjR#w=&Nedj(&lx)G+| zBAdt1CVrIoBJDx4&)~*d)>obrPMdrpK3`9h=!qceb6`{3kZ&;c+cxD~dOkcH<1oJ* zUqH8?IuITFf2>5W;WtL>ucJI}i_*1ePOCsxuZ__U+$zpmI_(vpRvs5^_U`wOhdTUCLY$?m2k#; zG?fWDWC>8)FjyDS)s*jaSpZu zxi=nT0|-qhY&7`ZB!DkM`vjE|QDfv0R1CVd->G zPo2lKG$^O^GYO;?>nQQOMx|IzQ|E`~ytQMft1Gw(g00atZlY!^_{l3)6A?t-?d5!P zWb(EvAp&bcgs~|r))hm!+iCskaGX)b$K=3NqAJw0f=AA^8t;^vV3-H5CkW? z4k$(vYEfT+?hit9>0CvsvO7wmC#Q<661zBf&%^v= z?kEeP+oouD(Igs`KQ{!~?x4TTBPmaO;KoUN4d1=WJ3em3{CpNIiEBQC?n#b4r+M;~?+9-Ts?5;-^xekw!x`0MZ2 z+mW(WmuZWo2e_Fl$BN0Pub$+`Q}Z*WFTGOc&QEj6k;#?P_?NM8|LB3RF=e+%h(<*x z)`OY`@8>CM`}_Ei)Mi$Te045#X7d<3tS~8q4Nt^vzb~?T{!2Tz8n1r{|BZD^&7{*f zO3tX|oi8wGRF1W}T;w*~QV0&rW}Sa7wo6trx_} zO}FKTD&H3O+Yhd{_1)}(HpX9VF3+tt;rQFx!ki0-Zf1?DJbQkUQoVaak}sosNwVcJ zjvcN4a_rvmk89#R^yk(`H*E@^{ISn%?0Qo?6~i2Ri{HpN#os+>t7ufQ9KTxWS|0Jb5p#1rwy-eRbvxDtSAnO0dhmZk0wU#01H671R5DZu zoWhg(p9Z&(jz+awZ92(RN*)C7;dDX94X@1Z_oRAQjY5gwZrCbq@E=uNQ_v! zxjK*2Tx!e~kEi8PPN-Yzy%-ECt!zJLVHT9H1*MN&vtW6oBU82a2`QW1{_e$HL~z>v zF7z?m0yv#YJK!2cN5eCQH#w`s>SN(SCDt8wiLqd)a;8%y>u{i}7@fK(ExnscX<5yY zc8onh*#^;{A9X5k&4N*Rv(91{WGcIq5hcQ-^6DFUGtoDxB_XP`dx28~l~^BOkPb5G zV9v*)DE{NYs1&C(9jzn!pq(f`M)`0&3f7ya81+-!&)4aeM!tE{Z6JW!f300G3 zRnzyoa1Q8)>AkYiw1%ElP?5?I@kuOE8JY*p(=uJ4-#OX=$Y~eBGp| zDia+l^qOOt_2VojLGxywQJY|2GQ`JU?Q6`b5vMFk)~viMKPast#sAhBkCNPHf(AwH zHFcKCqVnfdrZf_7s$u7Ci&~6Lhux4{H8?8G3%C(yM5|KNI;XTItnzbNHu`5N8M)p$ z`$92`QaKUes&J@v(Mszgj4yEm$I>imqBK5VAOwEb1X=Z-Q-fV9pYbWCNYm1Mt zxnLDrBWp!>JTkmN%Y?pZ-AWq8dm@wWzyfS~B>^F4bN`8-FxXupA9vnW&2j^;x~P>E ztVdqlu<<(su}41uho*AF!c_oCf29ErE=K^vfTDTw-1yjMmVm4fO7A&q3ku1 z+SFf)BtKnM8h7Gq8c;UGpO~!M@Y0OMTdmhh&E#Hmr11+8iTCa366D%&`iLD&B%5& zRnY!Ae~UKd9TZq-)E9okDOlpDoWcaXgY~v$F<6=oNQ#^BR9qw#HiL?K06N(pmpuNI ze^Gq~gBf~awYAjfl%ltv*|5YPm{I<{o`BTD8mUyko;X0~RnUTg_M`;1y;5~%LYZ$8 zdEVwoTE7{y>iZx!Zo(i+1BGEXX6*p~d!2^jw=~dtx(Lju|16I$3ZpJE2E8c!z ziD)5$Wo=E$wX0~AddjU7^TA|(W*Uv^ z$lCc>i(5hm1N^SD7&}e7{*o9ue#tB?o9mn0eM#OuF1`SdRiS}KwPaB82vR6%)O@1Y0AHSP~s>5X<8awQAvR8es`Rh`hAfE7nLG3DlXspxlqg(5G%w2U%#?S zrr3)@@ZIf38m%D-AGp{^hvw#v~yra>Z(zVKK1_ifO#^AgUf$U(%(679)z51`}1izxb6Dlkmh!YVAdA0hNbzl z8d-@PefmB=GFv0=%1u@pH_@~I(<_W2pnjOD{WoY-D!0ls1^DFHnp zId&&83YUd8-XiwTXTo44cRaQ){gKpMx`Kh?vu47Wz+L{kQ(@KhBu8gJUE+tWJvCRZbcN{bR_4(feZELitVvCvgZAEjxzO8nx~~YmyHpp_%njl+0Juv z;ed>luLu)6>WN25K8jszGxU!It&p#r$kIyh;X43BBj^Q5cwk6!vu_St(-m;%{;O>!m25b@= zY8TRrHsjG95R*cY9`~k|XlDf+5Hsp&;nWv$PRZkGwesQl{GptY7_RbmJPd1M7qqG2 zh`JZT?{1$fp`>$sKbu1{!v!4OK2^9 z@p|4b^vx9C9^e9|!kV=GJEDJy$6bx69)VN&a#0)~bJ8f~$WUVK+hxg;a>PaOVtIUQ zEgh$h-9~KV|E7Ix=jQ4;+ITvdyFuxH`dvk77cK;6n6wqmxH*1~Tpny<(YO&NKYyPt=l25YwH;%2ypOC@ zei}urZnz_#zNWgh@#J89cYP0wNDmMA-Xs2HR&oxn2%@FRijKII{mA(#B0D-AiF@G_ zh>Xvi8pqa&xqginkD%H`>G2hQN>E0lijrg|=bUDTe`i3cDPA|QP`cxw`6EXJkeASr$(wq58yh8A-VD>>z?x2p@*Z=#WL&+1i6Ex zK-4(cl{ALZoE>eA$B*_?{>?^8N#&wQ>;^mYt=+-f845p2bOI3=iMDtoG@v@*FIg$D!3^l^aGBhY(QFB@rG4R7mTF=1R%C( zJl{J9@DC523Tumw(#b%*sYHc@Y?jnv)r};(gB59MOO2!iqM2a`)H!Ee9vXm|ji|YQ z78u8Dz&tgn;#UE7g)HypMvyGebO%}G6HVcAkielf+0JhpWrMkLyae!C(W&?lPH09( zsoS{X?-~^J1BJXxF*PL8qZ3AVrFc9e7JFY{uY|CHgc+7*xq)HZ{$gx_JtS3|U(B`jDOtB$T< z#55TP9MYObHXheRGG-?zSfd0(aT8f)W5tNy;c=E|9RKCE6i$kF>?%I2Ew@B|n&*q& zO@s|+t)NCtPCrP}e_XZ!8r7gYQ=m1u?I}2YLIhsxO0x0ZAdmw?Bdug?N+L%N>Mu*6 zCdXtTE^as9b{C*g89cg!K@`mZ9Gx56|IU4jUy|0!(#x!s+0di}G@6e@NX$y>&KWkF zVE-)O$gfIhHJ-*+xjP=Y^Cf{?`4sK#-+l`Qc8vsSMSn4kPT&!W{5s0}qpPjgsG_HS zu_z{lQRP(&un#cujkgBT!Q>n>_|@CQ9{mA?Cq8EOj9n8OLyjsJvoi636=%_?w)ZCJ ziu@3{?A*t&@Y&~rhjhQlMOOt|8@J~9*a%6@wl?TH+DmP+_p>c`7P2ZSADv8EbtsX_ z)2xnN@fH-nhO`=6)=K{RRbEn+r)B!Lr~VYZ>0R**8kPHhiF+3~xvuiS^Sifhmu|O8 z^6gg3Zpkt}-D=4tS%&fxnK<^TR@=0N!j^;_#4D7wvZJt`L?$ti85~ZxTBeZYq%1@t z2gsHcg=q{ivLO#xb|}j-BfQ?Ml9*k?X6C0X9)61B5QhN6n1}cOomxMZ@2RQW7IC|^5YL9#&f@ZQ?cAWOO7dBtRh15eN?X&nhD|c3+N|TD38xn4SxyhJ8T`&0OeiJI zhHqq8>dw(^k@OgAw~X&{k`CRk?LnwFdzKz--64f*L{ z$W4YrlDWsr!3^GGoq1r$Mn?xDzeZ&|g*-~?hYb5)8@#i%p|uj|vTw6x?A8~1)~&4; zv~0{VH^_IJhPr4l-)7fuq;UgK8fh-ma0`bcCI|hb`}FcSa!;lk0hTkGyfD8C*+EUC zqNR!4;irP?TrgNLRml1)T5^4V>Z_`g+-hnL>$CB{E|Jm0&rzjLjOnb_L^sjPbLZK> zCMuh*QCZFR+7cbtcdln??+(VEc2MJfe@(XjryLo8s9uj=b;U(Sa;HZb#)@2Qkqcek zc1np|KSgZ~YnW-%m&-PCaO|VBy=T*2V*Ka}-ELp?OcIQ)(W#TjjuDdGzqlG|ZhZ+U zWzB+73*w$B5JhQ%QA-m;KTPXIRyc~tic7)^qw9Hz^l%V%Tz#pJQK&`M^$fBtT9BHa zqvC~^j5rPJy>Up6RF)|ZZ6I(`+kQnYBH3i)onz&q;xF1m@jJTu@k^s%RY7D|Es*t} z=8b6Ot|cJL!n#@z+{&3s3+9d^x$gSouh{bFgBLNa*~2=0&%YbfT$jHE$^%P{e>q1{ zeQw)`Owne+Msz0+wFyMEIf!h;m4e5XC0r;|PYX9NAjEHy@FLZyR4yF30!n=3&-K(o z9jV(u2Srm|)F{fYL-F)&@spKrl$)WS4BT9vO#D|M`*5I%T045$%cbv5_$L)}VL{n6OMN z-(#{15F2r}z*ayVU!b3I-EY11Tnrtf8xR;Q{dtyp`rvDu z1>cdo0>7=n5u9`Am;Bmh2{^Xp9IFSrA+A{s6WYHFE6ui&iZWiaSWh&KD%W|s^`T+p zu8H)=9B7I(1TgS!v#I^Hn`QCzv|zm~;+XZdK=Y1sMCta)BK=9ZZ(O(L(pdQR6HwRS z*3hlwe6Q+bDt*^@G^L8h);G)9x?!j&sk0^YS<1&bB&zKDELa?jo2=btz-f* z`g6`e3e;8#2QAqsH4^d3Sp8K{59Nc7DlIbKQq-|mC7_(Ofj$F*5xBO@amow5NT zLj)#K6{M>t^N81+;FKk&Xko~fWN4YTJ6uFI`lEeFbxP$R+AV;5rdmk$Y<|nNsANsIz{ZM0GDMG=cF`2q}7!&f@t8<>r1fRu}ot zQj?!E@lGR_UtoZt1!8FERGd@_(Af9pQAwgw3G?f77j9|4Un#LsNaXfcvP@5acMPk!{c@cpuZ z6+=n)W;CjDYihzAPh!i^ww@87Uj1n7_H&NPjbCPJ=9|c*@Un^A&p)blE=z?f)W7rQ zG`E@|$0HhK)S?p9MqzopKvEgu1jVRl6Jxi2m{xh``@7<_-sc7^I#Usp{Z0w$mtI`Z zAz==+UC&YJcV28Yr2D$750Sm(zhwwwOGspAi8T4wuWF>H{<*GFs;_jTZ!cnY{@Y?} zw|Cdfr~L~eEs3Rp90HOGpA3HH$IE2kof~QnzTC$iThx2Jexz%(^Z4sL`{n0?dib43 zgudl0c{n9Ax5$LBRAh>iLb7phYRQ!*T=^t|@*ouCt!db^{|3Wyr%*hCMuiB~ zX*fU_)vsMFeR)~HsE&jZP7SN-WneDp@KmmbomXP6HdJ-Gy!n)%&6jG^#$%5)mk~S3 zl^r3f559C!V(K~eO0^c8+@QH1!um#Bz|O^t<;#7p)VV;=$}=w*LY(G$YKlslfDy+Z zKyRgx=W$vSbyix!QQ&C@W)eXQx5RKZF4 z(p2Lr#;X838+UhFg)DS+urR)Tx`OXg(t^-{<3uFEn4$^;>;V}r;P0_@#@iO0i^S9v z&GGo=7myL6Ch=N)CLqddDJ4Y+i<(9ou!utyN(*B)xfyI)vKi?rKrc+~- z*>Hv5L}|f?vmrklr0(Uf7%d@8Yfs2@q9CKxt-n`ve0992%DzTskP-!Z?%@1QU@m|? zxwbye+$@ltgP}OSBN1%Z77iObr4vpW~OUER9(ob6?to>!j9B-=o=<9nkzC`plId25gh4ab&7Pi!#O?Wza$ zD>r?Z1M$Bh!~SIYLNcbiervbU>I$y}?jRbKL{z5R|2hbwQ?JOd``{vnhmF5!Y+V=u zN!Hg^$FHJ_QHzRuxsEM?abY1tPzZ$s??XTM)*8uVE*Qx}XOA0p^*xtK z?}pz&SNtS`As54B zZjouoEa1bmDO__!v`gMs5qUq-udJsyF7|X(Ym5AL;D^X#V<(WTc#Vpjzfg#4bP&9{)@OYdr=!kkFIy9_Ml}}hxh%+?K2Q|- zZQAn0Bib9Ru~K73!54shRC^I?73T>YJ(BUXbOf~6$h``jKE2B8WzGzuN)4-r*Ql~o z4j;T#eHOq*Xv7RDuB9L*fiD0blMBW1CvnB#{|+7;?Lm=BT0iTsi)O4{su|`dq-r`r zWuFAF2JED3L6$jk)YPa*N>@I{kW-TELox%gem0d(h|D<>kE{wLYNmr-xl1{6P2X0( z9(|^yV%^I$l4U7_&=Bn`P&v8?wW=+slp5e!lVEq3N5!J%Yun8d`LgSbk;OWg(N2h*+DE5tBO3#k88HPRJ}dx1{D} zSK-&j29p%I?Z>DU1kqoKG>QVt`{cG+nyKGhrcFIP^-$8-`$WbHfoy@gRj(mB;nEnE z{zFajbCF8@A}L})t3e_N3c|&;u;-LPZIqf)fD0J$rt#*24NCEBu#FZSmoAH3@Hr#$ z)eYnJ^wNrxEy@MG!P^lUvvt`q82Meld~70Xes7cQVvQ=hBPY+yLDxr}ZbUnl6!q3d zOTAH%E9~PV2|Fb+6aA;A!2O8r3O+Eo)T1AbE{cvVmR2 zj@jq+3oatHUt$`6Pj(?J&Pqqwu>>&w^5w|`M9qPXLGb54HiS`%F- zL0 zd?4HBRx{*yM1zc4$bskHszZ}ilqMLpG%1>L^acl>FFZ#?v0s7h4|_fbU!8lfo5OsVWI95niSgf?GjFGKsU*eflr~X3lj8n z>~&1mUSN;TDPB9UMKHe6U6U&!r=@4>Uo*FKMk6C}{jW%A`~3!ATRFBvmoD0Zm9lh& zQD!^|o?XOC2RWY&6QfaGBd0FoLy?aUPS$m+FZRAlu=C>=3}LL2v4)Er{LaEDd=k3z zai$=H1P*Fd)S)-A{K8x0II?2Up^^P%!-V=(V*OPbbqCeXhzEsNzQt3Y%p#6? z0fHqBB<28jWqZEdAqv|10>-1pQ|9rE@_TO3)Tp51#lV6dY18<|P(#wDu6nxa5el%S z5SFnxEZ#j;cp5ej816fHqD-wxGL6AAlGv~xqlE7x*@8}aeI_mGwF5V*NmHb^)wk;IVaG<7fBbc%{zAVw{kkg{fZMA_El9ZxET*#U*ws7j+Hn2tsp zotCU#&*(H(Dj1SYSy7a>1lJKuGjEjVG~Ohq^(H04r)9;c>nzl>ayyBlsIM+6=vL#* zkf8U`p=VO2YH1BUQ^GfjsT?zY2UabUv@{u15X^Q^O$*W}-mKhD`Vi<8qao8dP$#o6 z1EpC@^mO8BC9p^hpss1n5{Xey1*=9wR(%e;uEqK!7=1k#{Img*n!(FP@U2qT6};K( zwxQ<}x(fB`N>AXZt{TV>D?)SqTg0Q0LfK=YDz4eA3lr*dka7YZ&#OnCDjYqwGXu#QR( z)C&#jW(&TSrwy=U)oiuew>xEZvTvX4?LCo3Zd0{SlLfVzg+^Lu34~zvISaD3SxF2b zPG#WIvy<3%@lc&?uipBiHVKTTCBtjgWMJ0_+#Qm-TA4;EL!_ukP7M!GV_-}fZ+Nj} zFN)j-Oe5SfS_F+eBqitts!8EH1-6mwl+QWZV))Y~jH=R!@;oWSF2+6$YUI?YTQ`wP z;M8^WS|AvY=X1v1^gtj^eWD|D?L{mDPPej=RePYKuU{_ZA%|S-3fTc8PLPymKkFdz zV1ta+GFI*M++dItJnVvQjiRs?%Znsgc)PKL*jK@JfC7DHa{?g`kcZ_!6;&D*ygT`q#j*e2{qYeh$49I zpJitqT1j?gvaRstP+To5H9qc8UDKMD4;?zn^0lElhxbmHoPOM4_~i^={Q4t0gS~7Y z#hd()<3Ns{{VBz%v9ts!T>4^dNj>Eky-5ppT4%D8u)^nlX6LZgh zB-&K|Yu|lkHsfWtzbwj9Hc-#A%mIxol<;wP>ya^#5RyO9RCUK4h-^6i!x5ug>r0j zoP=M&YtNcN?wSa8cii8}skinJ<+9|vK$ju!?~&k{*igHX|8rD?A|LvYO5@YiU$zo& z=bO{*NiG;8M9@3p8d5KJh;Eq`Eac(30}{RfhAg(36;$0-9S9ZxP!tpTa!t##9O>Gw z(QEneFkCM*v{2nF<;d5KKsFd> zMj&rfH?Pz=qt;|QMqRTBBU2+W?XNA+`)a&&+sP2YIh-7Xh&uY2?L*A?s%G)6A-uN0 z;;__|drMSh!0}MRGM;D2FuFtaK)=B1$wK{AftKf4khN2uLC#s|`vNfF`Kh4`k|f*E zs0d0kF@$QWkP0mwn!U$-hGyMy(UuCN0iF`$sDBr4NQ-}v_twdse|4mZHP3I19w+7q z-_FZFttikR*I#8zS6+e`p9Xib3Yu;}gBJ|*lzrM!)!~-Zec=Qy(EYbb>RFa<=}Q8| zAbs+zHo(|`C~Z3y=Lu`m(*3=1I%uO3?X;|Pl8UQERE#Bo6F#?TZN`Gx6424pbM8ik zq=YNzVySR6m#eiVHJKD71=g#FnUN+nDw7GbCzaY?53w7eO>I${)Ca=R(1nt-?-`Qp zL8%s=xK{bNTC@d5@~gDRX6dzJCi`N9cH7Y{_3r*O!b=~OQ$yYCG2{=L`^jZ@_)a;h zA0Ul1vu(hrMitk=>Kqjl@BvcgHt9Q<86A>m`+3`B$Xa}WdSpMa0E#JcYC8YpO(ko1 zrZlRE!D3)puM{Tmee9zC1H*UOG8pCOIMuWF-cdRBT{cxlkn;%Ve6!)IL{1ez{Ucrq zoh&`@=L2_pHdy@Zz0!BbTQXdj2-=xf&_ymEb8C-~kH;R!nELznN&H)V+}koAk!k)% zj-vewoBKIhwSui{v zB@H_ZyH04!^?gjkCRg?qPRk6I|!;_=hjLd zc^ug2jvRT~y7E)MlH7WInU9(ty1~lYuiWpmuX#B2JvWCuv?W+gW|qj*a~8O({r86P z|JD$O%6QO-JZcdTQ}!l2jiq#tYE%+#Df|tI)bQDuvBl9r+4B}nt2NY&yFMsH-Bbb~ zCH&!jiBI2Buerm$CU|Mh;7<4YU?O+_1F~7!Xagpb1*1@oJHv9n$TLDIf5Hzg!Gq!Z znK(ZZ+6IZ3yE9ZQ=M8jM(xFi~6`7813HNeO!T<%J0aEuBG)(KN53YN&wc#{a=Q1_5 zXfYc7MI@QyAyYRt{ERQ$bugjD)DE0VTNxUJNqZp{nslbzCw(fxks*?5QdtN&;gbWUvv1xc7teReaQ}KL28zV76U3P#}4}EIse#NR?3kRYvc^gUkL38gOoqRgOsmEr0-VF@O&BkU>)HnVurY zK|5+lJyOBe74andxL%|Bh^0Kbkz1+5#V4756XQkyxJb zg{$5e1-1HUq}A4r{7~%E5+=!_l`__Ix?4`u7gtrIYE6t#!*f(*cYgk8@?m!4>rT^HWMgYSe5llP*`-W0lW; zO?Iz+sy9GKCJTG$+;b+V9eqrj9r`qDRLAb)J1pv$ArI~5toqIpSt>ydng(c84dBhP z4!-fMJ27^2qaQFwxFNX z3Uu{AAvNje$v_YwCb>dAnKq}z9w<_4&>_>GP^4R>d&5y87E*}~_F*2^P#dC$ap=H% zZs4XdHG~$f>OrSF4p9=XHfYCT#!HQb0;0TxMrEk#0V3m9T*P}= zSe)6E@pMf|OMeie906?u#2W);8+0v7na5XY0XFn(_3_qx-Ft|4ms9&fO_)3zvex?` z6!=sq^GYA8O$ctlFbr6|>PZ7u4FrL~hL=`nSi>Zk`qv&x;*gV!`(!kpVI9G_pyu-o z^=Kdnjh->LNnaz^EvbTNsE)~T2s8b3WC6K1-`d^@Po!{OAz?pC!BwJAexIA&oR&gl zqNea1*OW@6g9;T6`P8JYf#Bh?>>3$SXDhH$0KY%4?L@_I6}g9j)*u)5AS~2tx??n2 ztw$26BgMV$Amodojg!xUNN4kBZCCs763$&9n=q z_bge{b+W61N9bM#cUQKV%lQb->F?B6`87OK8r6&04nBKgY~PE^qPpC|H&$*692j-s z`1$u&QlWl^1C6RaayW2d$PU-3Re|MGXIiV;f^iRW*8hfUBcCkt;fhkrazbroHCcPz z(2njCfjuOAhGQ2Jm?q8n2%F$$c4tio$a`~E*QjC(ZvT6ecz^po|`oWE0m0UG=X?g#S7;Dmk-@ zj_SG9A|JmM0zN(J;4>Q+@y`0Mq#mAh3qz-Ba`)%L@li!W7PXx$?JW7^tx52X@5QG6 z>|)c;N7-ER7AdwM(+qM^&zresWn(C7u6S62{$FEG1Ybk>-we&fHO88w-@MKDiI3dK zSJD3|5T`M<2#i`lZ6Pwnm<4E5VQ+L8qOJ={y~oo7!Z*@2Rk;TMsiRT#$RA!9Ua@Bp zAE#d-LCq7Xk?QFvDav*9K16K^kXPP#X^^AuO-RE1kYJrYyeen;rcRI#ZlJTeB5>JK z6K9@ZN44m7>4XHn(TmxBFO^Q(f_mumDXKrH_H%=jal?(VjNmk} zur79tY>i1sUDKKhI6dx$WE%Nx#L*>%kX|*3eUJvv2R5zeRZ4W=mIjjTXAS%iI_`d* zd>Ux;7>5xVD{TN46fuD{3){jIS$>-$j-y^K{J_QDmfrzP2s}5ak%oZ;Nqb@`lc#+4 zZKE9w>lS%Jci$gu)6}M9CVQ>MifZcJ8a(wxEX6LE3M73*%YxS%4Ge?>Pf#cZj~-^d zZ9x&?+VN901DFk{`IP*?*z}@$+7O8x+@8G zRTvr~dJV!v%wr0co%^ z2Q~MtA$G$=CFX%b2A$A~6di5H(mE6lkST73qd1J_eGsoU!0sSmX~9-4$^cSw#EjH! zSam^%R;yyY7HD)mQ&Gvd^)tR=Qvy0@@JUeFRTx%mdynOgu>M*aB^Wb8zg|xDRs5o_ zL=rSuN>S!8t#Zo|i?DBX&#jJyw%p$ZE;B*arB8tp~=?EffR=$>dvUi#{Ka?*1Xl1u%h>G|8qMlf+JqhC&ZNUBF)LJt4*g*d4UMQ-HuGQMM*j7#Yhwd$VbVTM(c0)0VisOAqJ*f3y{ zof8?G|H%cpsBe=5BQGtMZCSn|5wqnUP5gLR=r+b6RQmizIb@WgmVnC4@<_;|S#Ug) z0`Vy7p)do3b5v56H*lNM?*<5fR)5v{p%lfbIGuclLGHO9xhd+hW~om6CH49JcVcS? ztg^_qKV;qOE7Z?tq`PN)gFM{-Qjm=Aqla2)#hm>6)sb9KCuOKs+bGF}AHBsAm|NuM$>fSbhhy;Q8Y;+mQz|b4XCZ{QeCVyl(daq$o%i_&Uk3YUD9LU z(~qu}k*`aaIoy$ylI17f=-yon0wZo;p+h-o1ujHy<@OGNM8V!YQkG;C{M(}4esP;& z+sOK!lJe!Q&LFD5sa(z($j3OfuFKE#EMw|nNG3-7H7brZj*+y^HWH$3gqxd*BEaGC zwylMC%|s=gWA~~NGuAzWs4tW(`g`0B55*eGhSE(*t#eKC^Zj~J8glRSHECPgP6Zz% zjiWHGWy;NwViH>+}}-A55K~#G2=00%ZJqeA;I$q54eU^y?ng#=`66U+2BI2`x9Q@1sJpx`Bz+SLrHZJb2) z;8E)h$NWF4y*8*IlM>&?_9t`W{?Q;!G_P?hJg2-I+i6s;UlTUkVY4D@O>uyZoCJpO z9&`kCm0=$b8;Ck5^WyEV%|P%$Gp3hJ*HFM!q!1d@$>agL|3;=KXa= z4T^X1ohMQ=@>z!SXeC}y^`hbyN~u!G^vwt38bvfOISoLz8`8Miz_jigv08H}gcRbc zCoKV}=L2B9q;$f*W%L}tsdopmFBz1Kp|46I5VNw!vrj&yBixRVP9wkSZABUT?s${o z2KHP(0Xt7@4-TH52{SQtz*HoSD?lqnDuF#Mz_q@bc)UO?!8Gm6er)ZjQ!!x-?bzB$l_?P7Q zFm$9Z2d)Ses~+xka?9&z?>MSFGE^L3w7+;z3Xg{LsTV|t)VC#c$HRKyYVCo%i64Ga zs{8k@x7GYRM_qY28fmt-J1%@CwpCs+uD|#T6+Icz8e$GV=6>L6*MJspUBV9Hy@4G6 z!D{%rWMEr9$Xna@tD~0C`^uL|=FscY-1_#TQr3_(xBNPFGzH>O)Wh<4dGPCaqcu}^Z2V~(t2BQ3ss&D~da@Ffj)>D! z|MKj*B&z+pj#{wqYt`uRh~0JaXNyZ2J(>Qt)7rbYgD@y0&suxv;iYl1Ywb|E{KIv= z9|=%G%emhF5OViJH(m*& z-rwp7OPtw@<(u98zCUP1(rI9c)@Og0+^?Xw{lT&ZJ|Dw~EfeGArY?HWvD$Pgs^45-@pK*js2`nSEN+A%8nGdx z7wuZx_DI|z_e~UVJUSPFv-})xRcCZlfmxvPmGY03IstLxZ8$9UetyplT+oOg%3x|E zVUipn<$4hx%chzm9j`>3Qj~^3$+)@z-TZG4E{)(0c7R(Akca}r=y|PtiVJycO1X~I z+JlQ1)jbwByeGrZj_X7>WwDNdvFZqGv=+s(YMdivt(irkfZ7(|8)=9OI6NtiB@Pl) z-?MRl>6;wF zV?kD}j)cx1IG+f*W~A>k*Lld8<3|j;*s2Ppz@RJ-HyvPXa#N1^ZTkXAs7QmO0Rtww zlhl~U1cvw~E8CEikv}1G$I!7Bpr%u5Y!9M z&H<1>Nu%3lQ6_b3aCj2rLX%c9cS5lO1fdg04^IMC|UA3h; zHRC?dDviA8dLHgL6>c4>ztX)KQPq>7NLvVJtz&uO)B|FkdA&N_?lYMr8RE@D zZDfu|?3c&<SUCw0iaa{2hS7v4*3#!$2SPOFk~zsPF?xnHRI z+&1bSSx^cg&t#^W0`VvssxSlN&W{IDW^|J;=IG1`tw-4;2=~&NzSmmRP>N(u_C_Y6In-TY~LqRJMXfH9mf&cIDhW6?7d**4-}ap9sPc zxv!dZy~|m9VVZI)isdMI1UdZhBKi7({>TiH`;O5sg!UgkVyklErZ7JGR<%DmXXwW>|I>hCDuI@juv zFfA`zERKg?9V5>XoR^P_Ae73*!M!<&N;gJfTT#%;ApL60g2fiEa9{zFa?sO(sZtJ8 z{%P^Rhe#Jm4VSQQ;ptjV5A53vKdm7WD}jWgh$69|notfPXy?}`5(i)7X-X0@!WuBn z4YE$-J0Y_wG(GW_Z{$V&6fBQ(7!6<~4{uR>St`6RX`Prx$oxNyE@PgNi)9!Ss;L$<3H;9~l`NMo9+xnwX$?5+I z;(b`gJ}I^O|3xk)hH>#WheqET26EUJQj-|(OM5WPYJ+|T0PRuRz#%lMYQBC4GsUIy zy!4L7t7$8dyh05%yi07mjT@YK$GzRfxBTN?vy+RX z-BtAvjd$HzyEVZy_v%Fe)Y<_d}ezPlz(_Z z5XCQyNADhAYWgmGS1``y6?e3tGZ{2mIALt%rx%1>xoc#k_DZmR@Mid*cttwQiQC7M z)9>cftzyev@0Km4@6%mr%o*~SUt~TJ-=MrLHQd!MwUlpb_?Grj6yqH>U>!-Jz7p|# z)hFWJc2wR)n&0F64bbf#?e`+{Ve=b_)Q+-4aBXKkE^SC$cynl^d~wOXbgA6R3#bnI z!!mlAadDj&7aGlbesUCVT;sm=iKVVv@?BPbe6bALPeg%y&$)1@gUZ4uU?3B@uZ(es zzcas}COw&;Nu@gJZdgj`Z;zLvVGfJv2i4D}0o=sut6RgxPXrH*9utQ(>6kwiKA)`v z>_!W4Bc$S7LjkD}BXI;9270=g`3TJPSL9#_KeS_OD7oN}Dr2EuTb897r883f$guws z#mvYpU2b-$o2q1R4iwmOvHaE~TW<)%uQT-HmkQeZ63uuY42pCCpu$k$)8mR`1DIL_ z37TY8GUc#926rN@^z5Ed)V5HMtPY~r5Oth^&p}uCcGd`zVrMy+WDrS7HOBMRgT}HN z&C}%9sY4}Y)IwHZpn<~g*#XO%KCF5>Rp6Zit2JyR7@C1qa+)ll>8RKp$p#TlgCkA7 zZN93|uu77lw?U*42O_KzL&)(cOlGB#+rX*s*_l#mQvy*taCTG~Q(vMwqn1Fl4X{bX zqrcMwyvOWRz-j}A(ucfNw9q=QLOEq!d%K!aBw5|bn{&kw@3Vn7F9LLzE<~m4e@?@p zB1SE(1SL)}CQ&unSprcEg}R2K2gn|EDd_5*kL_pRo@wthWoW=g9v|1Jzxqrjp&l)P zq^u&SaQ2WBr^!X+%iuwR+Ki^5sAo%{{X$EnB+znAYPggy4Q*sjVp>fnC+y8|e$6y{y3jY>V?Mjp0IgR* zv%fH&R$aY9raA?F9jkF|!B?jMv}v``c*nG;3!pO%d$!f6*Hj`dO1HmPwXGJCoR_i|_oPZ?H_0 zlIlw=6|uYEG>%oHLByzC8Js54X?>ZJF+4L-)Z;8D%XsS3=g*6zcIf8(Sz(-(XBh2m zGNi6HqecUUBwD~?x*ha2)L3E-pDgnsKaGB6pRQK{Bw2xKkBnn;3#kixSpWo8BUk(h zFqS!lmwddJen_mWLEtQem`81ISeP3GE#_BYQ%TtlNfHl>$M8(iC{6=atqnyrwa#xw z1aW77T;tdA7^4P{Tf{7Tg!vk(kgn)kGwmQC;Vq!d_XB)zhu)46$RXvce@7z*3ozoc z)HK71Y)$^$z&7U&mPKdMVTqh|Dz_PR_ruKp0COELt@RmSnXP-mLK16(|>_sz70 zbsx$ys()m!L@$VZ=@j?XbWje_u@&7zL5>eultv}2*IYDI$_y=EKs~Usz0SKmx<9Ft zgzwS4RTo9o+9QUg(_Z#|o;FUII=R$swZqIiUo|*Rv!qo;H1p}_vam$8yf0!|wm+5~ zzfSEvv)I?N+~uK|7Z`bwqxD&!d?G`X#rPx-z2A5t;%)^_zOfel|CMDjd=-VAe77fY zivPP;OSSv}x!treN#Y?nz0Pk{Iqk^;%nG%@=8#AFjeG>wIsmWfj38X&y+LB5>h57}yY327F>%1efZ;MLt z<6~aco)$chb!3}l%3UUQPf3)V3G2PJ^?c>kXiwZx+ipMDExo&!jp@wiVKbF7&N;z_ z_8}_DBWuSCgh%zu16i^>5R0QE+mS@=s>!p+z14T)eU4z$_yhVgtb;9(a}b}?9fTH=@#2?6m`-@W}M+CTjk7fcnkVSkzUJLq18|YPWviPcq<@r zMNYx;6GFoCHA0BKgkv-%1xCbysjQ@auin&Cmq0ApIzJv9*FO42yP@gB?=%IJz}RR| zS&kVj{o$~>+Q1~EqXlw{j4NfQjQn9uGBk>LWaz}|A>FR+t+LNJl z*4Il?L0PLLU5r17MU54tmod+vCXO7>BdQ$MJE{a*I*mXf2vA8Tw^4r}Cw)IRKU!igZ;%`?*4BFh?Mx zzd_uOsW6cK$SCqBfpY}asImd9xkcC%w$QxfzevgEbm5KZ|5{d|zM!@SXg;)3)pBng ziNo4&n1+9wA2cdkb9H*GcLhe>W)wVjV@@N|yl&astIooX6=>`J)!(oJ%-{LN8L zD>)f=j1o0*+AeJIN14!fekw4h6g2^(7Ug`pKztq000pB)6Qiu3bpL)Y%gv8vJP{X} zikMudlZ@U&fX47bVBDGuDh=v^_DpGdJuem_SNryA*sH0Q z0NOQnF|lGIUtEP8tXN{%z_V$TKv}H= zJGB*^6EG+^nkr+6O=aMeBngJR?&2wD>-sY(AhI$AR!e_@JovUuRR4Q1vFg}${e`6$ zZn`3c#Mf6&Vly1J1<2&a`jNp2o-OcS)hHtz9MIn|$9X?DpwM6y#02#t#Pi)>x`Mxw0q{USSc zH9bDv1~kod0p9C8;SSDHsaI>T81MW10Q#9P0NP{LnY~Ahcx&mYgFUBnlJH7Z}+`~6MI`QiYTYn-k&(N8GZcdeq@P+mvdNb0$+e0kxqG6Zyen-ge4n03WW<=~Q5YWJ zIPG>ndCk?tF$N(wP-fslP81>7|7E#^>qOT9*A@L(|k z;}A*O1J}lO;Gb?~ikt1$oKD^$`P7f-=>^y}A_!nchjdT&@i_VU1XSIjzg`nr;VuU}}CO!)+(#gj{8 zZTe!>X8|Hpv{}F>-K}+o^Xyub0nek1M@-wNW}c5{{Ho2{ngQ}HA;C>EWk zjW;hz^ZxT1^>B0O21?7KvU@5F7t5F8o88*rG*oP#UFxLx;qdn5vW)i?Dt2OCD$@e0 z?h@)oHj2bhCEeF}c|w?rd_@Ku z@3Rf4{Ly)+Rs78Xy%tYZZrX#A+Cbg%`&63RYRWjl(q9Tt;ILFUpEO?5*KJu0UkD71 zYc&~{y3T;ck5bo_?p_14(Lsn#qcNZ%=LYmjfHUNF%&L{vY_uWLq;xOdX%0zd+8UMO zl+}pouZEYn(MTOF!ODo=ZepF;6SCT8yosf*9FrV^H zm58RLP=-SlUZ}&cLdf!2??7sAZCZm?@pw?EI+p2GdE%F<%StqLVkAb}jjsu%$I>lw zOFTv!&>{}4NU?~LOdE8Xkq)Qz+j5a@(hvJ7laa$uL(drk8%V!WNn4R_4pCN`6RW-q zf1%+mC??Y>&@=`;6Zq`KOHb&u19GAZ{~#)HaZ4x|eqw`2DnXjiB7&1Js}&|{N6{#j zlNHdin)WYo)uW-7(Q(&|=Tt8fPn81`Ahj7sdo{+Z2VJ9reVIBsNRe2_g%5oFYs}LN z6JEN`C{6>0uQBQ3*k?whe~)!d|M`DuAz3u46Jtr{Q1%6p&cc{v#y;qVm&kvv$i<7} zf#?3gRMg%MRHL%?tDCrSCntT?3d`UxGFV-hm%OPgiX^itPWpzpj=DDHd;NCwppzNy z|IwvpW8uGs#yx&PwEXB-;s?!77D;AL)i}3&QAnGX{BH-r6W_>mbZKt2Jvtt(*l8A; znX>BJL{YnfQKN}bzKY7K7<+0GIt_^)=B?ZBZsOv_FGwEQ9IKrDmiT0PVrZ7C#kr|Cv?+=upws7_8 zIGl(-EQP1~Li6z7++^g~e?<t3FM6ICab|G7Xsp zg^aPDq!%8+c1N)k@9!}jidK=t(Tnl;^jLN(AAAO<)c3w^4G~XAI@?YP@#Zec*h}or zv8S?0m=tP!xQEWT7#v(?vmK%PjefuBw8mb#0Kvyp4SN`tUm~S4HD~lj`EK+pqxm4N zNA#QA*CKV zMx?Mw+m;=mGy{6~0p6%_QK0wRNIb;aFcRP^SlW5E1Vj7~n#wV>$AZ3YL387RG${*!n(BYC#;I0mL-n5xPPo39hj{Z~%!t#FH#vlFH4^$+G@v1? zMIF(Aa@wDGODh8ss-8tgkt%-eNCt6?Pee$YX9f`PnxHl-7WwFMB<}b(8mdWY z768XnlE)j{%j%|fjR@{Rjqj#U|R~pW$kEY5jG-@WC z`pspcqCzF0@_9)Ws5S=feJ`Z%MJ2LH41WsDs+*fbNM; zgGadC{DmlE3u_Q$I~b-U*!8Q)9f6ZGx}5vJ2EFd*du3e|4HhNt2*SIIp_Lb?4f+0) zQ;SRU5H{V&{XJbaxv?i^`svX8i4B8UHxTfJ2#KoE1WGa$uQqH4?A@C}d10@*7YK+R zOwF0)5iL5h;63H@MhgSaQIy-mx0I#+`=JKP0TW8CYgCdoJJKUI65(5Zo8u=F>j6^Y&LZ)Vf^!-(vo zSGts>COjXoPBd_kjo82aLzeY&y?h~;y{!AT+CstEVfVrX;jt~RFUEt?-f*>bF_H~2d1T?E{T)+d)QrDfk8h(`_a zova{piwukw5EY%5jJ#*Dk$=k_88!;7O8y7A?R*+4isho9l;l5_k}&RliDd2uVO6)y zo78iDvxC8Da~S+}=@ZFV_!M*gQkLPpW)qk>mZEyoR)qsESwjh+wCcbqlq4(2*=r{Ule?fQ+9gn6|=23Nb<^&4Q*#JH< zo*0M6GNIu*A{$~>m#4TfBD3lPsPueo>mF6hd4O`0MgVE$-1#I>-liG@2R?LZ(ptt* zZon769ur5kX4LtA=`P~p0GV+J>JY+4b#(eYFe$R%ZgKk>?8dN#8r%|GV71T$ZE6sG zRr?29Bu0Z{x)NPG9pZs*H56-C#}_>80z8Pnz?g%rILI z?Z9!Bs@*nFPR?BJj%%q#4(ZUKZ^5pM!?7EGG_*Lv+6IXKGNXv=!ujez1zKMMuw+@kSTrwcf?Y4#El4?|+Ul)o|3`)dZUkV3h`}OF-FMl+VTVEID|M2~0xNRLs zq-UISRD2~ZW4l?S>O`Zex)-yO*>aPN96i!)*8it>aw?-_hU$C}xH%uyRObXo9&UbV zQM~fI?=@1|7mrlJRoVP5;u)Bf>LX*N@q2F5`M5cJcNE%pWI}o8r8>{iU_d!GY9Uj8 zV6y6H3PkOSV)SeG~mQ-@~CsAYyg zG{Y*@xxv|K{%1sx1wU*j?dJZ-r#tp=|pOrJ^bE-B0876xvsC2ANU5KkNjWE*Y7z@iy%$!8-u=oJ+Y8K^17>aW$+2q3~I!e+|qV%L}H zxbwF2VVD_2R5%Gw{VZS2D4YaxpEmFz3hZP2E&vn@(S$=OZomj)5}S1vcXljb6GgYD z%B&_De%pfb=gUbYGtw=6$#M0GSmq1)Ma41d)-31`zsB3nhp}mrh{blFrkD3#W8Q2S z4K-6o%Fpw_a3qS_77Q2}DpklU$V7++yU_~mN(oM{6q{;rA*UWVXP#8s5}QIO8ns)m zT~e;fib{vQD;pRrFbIb!sQtV^@=nO;YRgGdbCB0$2Pq84Gmc$K>B2O&E-{)P!xQ({ zFx$Zh2$98jIFtc87pI%MK_}W7em0IUwbt1&j6hur0C$Kp31%6XNQyt%&XZ;#7(wN? zI8nnU~ChR6O zDmV1O#r5O`PMejxWEmHmG3UD|>MLKO@2AFNOi6kU%l4tDHK|6Z9hNfh`rYoldvvYd z$|yRypy@qmYck;v`}siGP64FP?x<;nwcyW9N-WyxL1 zRg=S?kZ9kdGJ1JCYQokr8r71%?JgerY*;AYcL@`h6*9I@W7^xt;{N^b@$xP|Kg##z zx|phdKmx0wGb;!lMtx_9EJaf9x!{Ct_!=VZ77Kx*_xS--M%MC*_C3L=u-3&J(mOh- zW|@k}N2t?-6jd{7gTh=tCE47=1(KJ_!`Y`cNdDAi!fmTkm+%tFPUN}7;$9PW*}$E! z?}}@45je|_`oo1Z+I6mxj*wH887KEI@vh!9cgV;e&2g4alkB#X?64X7WBYc)kHg@e>+X zDNqK&kv@29N;h7U*vevZuPfaoRJ}TJZV|70+Kv+QDR?x0f&T>(<}4Rw#p^?hvpwj$ ze!*atFXoE-j46`OZ;vw_{!+YI-q4v3hHu7aX|$25Vyo?`m3GJ$y$oYhWGOz8N5rpD z-F&-oQY$S-Dyf=hEB_`e*ms9xL3JUoo6JmDg$!-23~8Np>c|-bs{j|W*!VwJgm07h zlG`xA0Smh$UiRal*;ckIviwJ~xUietM*| z|JN2pg#&qU<(~v${E5r$n$8aucKy(<(`I1D!zp}C9Czzs3qW;B@hizFlx-gD4g0aPD7MP?azW( zp85r~O5u4o6>xf(j^xzKFmiB_sa*5^sLTf8zDTLHf#^@rs4~B_B+h>$=fpmoab54f zu$(Wrp@C{e^tl zgk4F$QYe3yb)i3@KIM11z^c!T3Zhvw>N`Uq>fx)2T3SQ>AmQBVsqoe5oF}7nl=eNa zw1ffi9#-LA&dB671s;EH!v-TP6P@aHGWOJk(jgZPpg2n@v@BHV%vj#DuHIc(Mxpfa z4L;3H8wh1(Z7kS7CgDqOWNv3bzyFHixRx(r>#dt~yhaWeQ)m7laKgI@3x`l_3*=NV zAShD55g{`Qg-P*Rb&@b=2-332v9@HRxJUh418<)Df!hx8YinsW)nPLkfR@9n{XG9F3aK1+pQqU?Mm8^|b+U!Q6W$|eY5b^$C-PZP!#1d-N8R2zO3NT~sM zjIyUJ`qF+B=EeH3rxw!uT$*@n8>B1;Z~L4&dUT&NBYgN9he4YQSzu}pu^6WeLYFQ9 zQ5g(KxH`Y179;idCEiPh#Hrt_0;jb?6EPYM5}F#h22?1@rsMG_{8+V53rN!Tt|M{- z)t}E-ta$+eZ~~8DO}3=-$24`vFR3eaAav@8($u}ryv90@Xq#DR+<2?#ewVtLDcnvy zo9VU5tx;T!n}XAy1x5waD*7}*HGF#TKU=1XIS-(LYeQ0lzG7EJ^7L4L5N)z;bkJIW{#Iup7uAxhL_V75Z%abT` z1%#8Rgen)BTpJ)M)z1y|N%l&u#bv8;*k9_K!R~4GZ40n{T9M|=!c?Zfn9RzYs55ih zL{ZBBqrjf;gnivP-$c)aUfUcxrLMJmK`_}2=#cavg0WiNHP;E1%_)rq(^BMi;MyUy z3r|_omH;SgMlIR~XZ7t<0ZyzsG;WH@49Id}y!wo*XGY%#nquOc4ryin@^8I?#MvpF?%b3AO3IMunCb?`2ee zYop*$PQ6NTu3y$D$s7>ve!g2$0gnKMrd0|z})x57;#SQc~jXMtwSuUxr8Gv+Luvpg(>N=o-C zs@7O2X7m_p=PojZ5Yb^t$!DlhL}$gG>4ere%Q$6!e9E996Ks`bE2Ofztb0jN-R)n$ zlxUzx_-laL9C23p)dK>G6v}!Tk={>uw)sv%ue=bOv!V=SY;3nyZTLc0G|+!m;A|&y z{Z&cw&t4R+tu2N4zjWGnp1CPLNq0sgF#-YAt(Is6$Ssg< z`6E!>8p(r3vvJ%g*w$j=l`$s482j|lk{cQ9hLvrRIGdIX&a7~}!q3^o_{F}G z6-Rb>eu6-LB+uSV2+2=N*gQ<^EFrde|5LZSHN(vG?STQGnOj{Sr%u&5^>ymw)?JKb zP*br|Or;ORP@6fJ#apU@5sTzWBoc1%JnRWzccTvS&n)%4`!0YB0SAkuo;n+cTj5|l z{T2ot{lqZk{}_=aWda$`<5-SLH-E}_Wc{K z`x)AhHYT1PbM|dnQ@&bJ^4{fv$=#~Tmwj4U?TKEn$~TSCm;BAJ;G`Cb8j?8nBc<=)_2Wc^1*~tx(GM;$G3za3hlL@biXFtWNaN zSKc0-taGG08G|Wnp8K9h)kknk$ydvAM1^LVNi1Oli5kT zkX%D%K!*oZYWodApsvoGkdug4o%j?P4x>UEr3-l%J%YX2EG?;<-PSL^&v$_|$= zB5pkC*DzK9KAtZ7&ukI7So#W9Uam~;#hM#84-7bzS7SsSmBK{|Zng?ig@{}YKiq&J z^Zb-284y5GgaJ_S>q;{6oyBAsi9$T?b~A}%#C?UR4d~mk#_J|Hn+&+Lb0F( z^Q+C(-#Q}nNsp4g48{&T89eqVj3P$CeAlWKl9}ufDTy#dB4HHZX?EOLMHc<>6zmxtvSuJO`~qrM5jJfQi+xNt zS1cr@MleLFgps&R`(k{62Pq^-<=>~5C0nWK1e3!?HSub>c9Sp=0Vs-)fwhyvxKyidNB23{N z57@LIDepAl>tZls1r;TAzUv>5p#f;2kQ@N28sej}%C7*{>eDFB09(6r0n-5)-)NG8 zL8dPU4>-w*cM&2{ZB$-#Ba($DSTy)K0nHAmOLRT?m7;e(>~WyI4`Nh!JewWhMP%r` zD!Yu{phm6rNSQN?XS50ExUTh$WPQ0eH5N>{$8h-)rPNQc0A}&#E%xur63x=cu)%@i ziiLw;u*Q2jrK$04180}O4$qkyRwGU+KdC2S{nM^@ro(rE{N1~;s`j9SqrGKt{_G6s zPc@@>`8LT3qFnKj;ry-#)B*mZWu(O?4xmwS_1N`f8sEhc+4MqBB6irb0G;}r;_ci&umisik-DQ;P zi+r#83qwY#Yl~Ye#DVInI*Vh9K*U2}W{lg%n0jU1_`qgGiNpn?Tee?qY0J+y zlG!pex_@xpe*>w0 z_3oaztoBM0vq5X~9-U!|-jvMJ$+VLIAF%e%tn=w? zBrEr^)lb~PqucvcLH&-08PmE#F?=tk*f)Fo=@oI$`=1&TB1Hc*WoSQvWS(VlDXiYMA7M!R>A5mywTdNZO^cd*}N zBe!V3qj-SEBjBkTd3QFU`J-Aw4RneQdcdq_apMmP96P2+32I>pfpWx=yj9Xl;vhi* za?IqRQwP=7?#z85NQ0R?D+83)SgDXznif&yX5UYN#DN?wlIh(X#oJpnymPC|)ni=oK*%FSJcrU>4J z7d^7L<92AHY&RlByg2tTjz@$=(mc*CS2&YhDHlsiP!Z444M;W?G}fpf-lZeu1JJ1Y zuEEjBU7OGjwW?~X{G*KRQuU^4F(2S#@3GW?;FpHNAY4h1uTKkdUdFdL^cmeJ;B6v3 zZX6YER7r1JWsLc6-B6u^!{QRD7_lHBC+qMViKuK2|2`S6q)J*}e@fX2PXzm4mTA74xBu|{RQRTmWP$y=*XV#n;J%}e$A z{N5_v{bxP6GH!LVEIN@iWcgj`z%+inP{(CQcI?tooLJifX2$*?=%|MW9Z2tzwtDsTEgN&uns5WdpW=gdmT1(YjAE9HxJIITO@7<}$Zo_)l z_qL~P{&8-0kR8Z2pe0>+$O@BoU;i5$4Scu6n0pU+eJ5Ya`uff_ESDAli@fVE_J_9q z!qc@1mfSUb44!rcvErB}5b^8)LqZ0yO`6Fv^Z`#O*Hf|Fd%f2MO*TrUQ27O2343>2 zh%Oc^-)DUKsR}li&i4=7+oBimywZ=uA-b^-EnBnZH<6X~;%?4{XoTek8m!sm7R87{fWr^xC9n8^7+@iOpyi2(E*aq#ff1sWfHhq@F?RoC<>> zMtzHnMH<)Cz!_a8O?m4&Kg5D&XvumI5&CDt*v}rvkm!NEI?ovUWuAtNfgXl2f$l7# zQno%Zu>qG~lC_FL*S3HW8raspEc&Z*qV6~V53*{9#G}eG0=J2RPMNAK2TUYC5Y)8L zQ+Sb0qi|s>p@k2j!-jxx!w2~Ea9Gs9O-B(r(i9>BQ*d?yBNrj!ix*N&L|kP>C?1OP`6>_EAenYLI8SvurOHqkz%fOQB)Q&Qg07Jdc3F3 zl|__G{UqY?inb4MGNV&0^G1&D>7FrxjQZoTEVb*_<*c?K4{osI?pm9nU z&TL6z&u&x1q}W1;{50s6RvDsiR%W8q$&V!v(H;A)6r@gsc}t!VT`kLmavBqW&h6c~WUAEMzl$liC4|%AkIsW+nWf;a{&Y z<+EJ>bfDH>M1J{6NJ=48xV3m3suVMeV~Rk;LqLd4$GHF3qz@qz$^n7RL*?M2ZwXzZ zrEf#qzb1W(I_eyj6F1_#;BR2<_)W`e4lUOB!C4;tCK8n1GhC+9FYsEda1#gX_E;=Co@U0*KS*jv7H>h`t48mWnEp4`kUIFZWZwpR zSKw24W9Z0)-V}KVsuka90uj#+aGER+kf9KZ>2|duRg!N~fY_P6!}M{Ncdkn6^03Bs z+q%awb2}nhO&quu`W1+HpYpw(y+##3p)RFQ-dis6Qf)xZBpElMRI~~)DiZqk&cL{f zz+XYRYikRsLK%FsRB!PL(5PHC6Y66tNAU((Mu_lR2CUk;)U)E4ClKvhz_u*LIU#eA zSQOYqi7KT)$ zmZEWs)Y4!jGZl@xgkO^JZp_!PLE=~kiJ7ihZ%K z{)qmwah|b;1tZ=w{=UJ-vz`wvNcI0B!RJ6G@3xrX^cl3dz~b82t4D^Ygq!F%j^Y&% ztd_I?Qb8Z@Rl(?g#1+lBrBEF#qTb$g2V_0MB5-~R`!{jqW(jBU7ru>Hgo-3+Ka6tu z^3te=FgzTJ1c)8QVqLmL?NNAmfu`qiM05Cs0auiQ5eHoNdT@o#$C&Z05uyh^M|3ZS zRGY^AL3@VrMr23@{?i^ReiheU#}R{^ly~1Jl{FAWB6*gj;oC^z92J$u-ph*q5^Iu07bnAqeAHy>5#AeL-M|K3k5j)RHZ+^+#kyQue3V0W2K_!e^d2+<4JbM zV>?o0XuntNLGNGCBXs9EHN_JUfDfSQi|89zRbFQSxv)qv?Sz>QxCtKXDPB}Q;-Q=IS_%;DB5{G<`}EadAr-oiP&AFn-))6oQOcC35jvWNrN z7l&D07JM>Na~X!N3VY-6)I4Zr z`MO5WgsO8HvSO7*e$Yp_mnRG=j64pcWw~}1Ip@LDuBCND$k!Vq?mR~BTt^ohEkrdq zh#V_?t%(wdmFgF%xo*-}{HDtazNoLG*z}Qr4uF^jw8||Bq#sTH{h&~Q3O9wzgq%?A6B-xG>4FoP5&_WZ3c>y)FMWnG>3k^_!>K*|w z<{d^`#G8~~Ub+zn>Ww(Qa1+!#fL~3#9H|+1$`3NTXS{!Sr9ty+JnZLte~~a-8f)na zd(f0AcaJt8MT)(FBrWZK|CG2hIeaVSRA99(P4p*NgsQz0sytz!FQ?Ghz{eOOaSS*e!FVK`aiS2$mJQNKlH2#bLjm@%gc(W3HR5E*uF? zRdorT39uW=*J9&qUrq(9Lgfel^>Z|m+f^s~AQYa1IEIuDy-eNv0``VXlh?^#uP?05iWv8Uko8@*)J(@Lvz&TNqX zQx1+HTRL^2@q=fnv^16+`m}XlBR2)Z42h`B1)I1RSsfmw!Tymyh0l*A*t|s}2MOr# zGLa48SsufS0Tz~6NaGf^O(~)})D1>13R;Fjco>O#K=S3P8nEdu8mxNCX?`-CrzRZMW1?kXCHdk%3k1}^L#F2F>DvK7>%23l|q z63R6dfWm0$Mm<J5;e`;TU!46@5jhAawGgDU=43_AVOQ_+> z&>i`o8F8|&rtu6qxN|h6t2)$)&#-EIhP3^d+BTTGr}|eDq#mO8>LEIo>R&xNIp)lE zzi-zb>6Fs0>$3)BCK{E#N7Sc%%F6qS1{89pZ5$OSR?`QuzyESU@v<+U5ooYeNHbAXu~5aeT+Xt8E8}# z?5==)%mf0(yg(CJTvXlVY_y>A9)~I~s$)QSL8VAM62q^@UemP9~{=LeBrq`RHJE4Hy_wemT zvcBc&NrgN`9@1bfo;J5E4nc{9hC69E6PhG>3asdcj~2-^y}0??@kcj&e9Q(Yz2l2~ z_HOq6erWK_LWa(uGCcxbbNOI5LQiTh7&ERwI+8=;+eRH*j$wa%fvO)KRzo}v?(HY` za_Z29t{LE1(A3;_Cfi-8q!|zRqzWa{Qc%(*WKjlP)BqB(YM13AEZ0FaP#ochTF^jz zCmR{U7^8lWv#`Yg)Kyb+3W`t#35{M$hQUD87VBg7G-gT03)>xEh&%^ytaO2oWokuZ z4iN)>cd!O%Zla>eQ)9}Kw-BAJrv4y{CXV(ppoJwR>XC^ji4wA!TX>Lfr4%_#0b~P& zv;tqbpjs3n!c)xH^*jS~uYDHXke0QNZZ7VUWQ74Nh1Yo7E)-Dj9|Prpc-ftF{GQdPCokVDeP%xv}CoL zy2x0T!kZjJj1Df50f(MPfn_iT3pKJx7^=FQ4%6yN8h_(2+|?F2I2upglgO{6vN@z~R9-?2 zuuseBHftdszK8nIe6H3*0lh!sJ|-U={O)O1H<1ka#e!&5rJ=@!b$l_t*T25C#`xs2 z(HK&*2D5}n8b&NA0M|i;zlja}C1@H_1S}!VY*?|21ls}OH!>(ReDHn$p`bE`#qn;S zRK5Egyp_C$(&p)23cu?I-f$`D{Y9{NZ1b|;$ORA96z%;^k5^x2c)y9K$o%ZLJn6=5 z5-bG%D0C-iRI~*PEwpi=rk%f#8b`7;=GL5zxPai=jTOffrX~wS0v*hb(dDk+-=TY! zUBB@A8>w`#o0I8C_e9^vIjH~l3h^%&2h0AiZ&%STVHxl*F0bWw{tg&^xQ`Yr+U55R zkM~)>SxU_e&-kN)XLWQ8T#(D-31#m+fV*REw%F?ac)HOzE`u{>w)7MV$8I7rW~f!sXC!&bbHFf##?o__d!Bs>=Q_+V%J^PX z)<)mKg}ZiRQ^jCDS&)J8RIJ%;-&bVYp%&J|+x-lUYwgiT#2;S`0ho)sA||L)A-1#{ zQ}Jha1y$6lBEqFh?gF&i2gnav!Idazwj*fLePgg#j1lPEv5b|(0gN+QaAE-cBnC?$ z;W2HY4PomF8S;@aI~Gwue>ndj33mhXJvHMsb5QCghV&1^>`AAlY1w|Gn06zz!zek6 z#xsh}U0&?9v{H;N`aT>a42`55ih&Nvcw$0itPDQAC8Khb!B;LQj1-`0@gQrljTjhG z4-Fm?K_V_*Y|VgxEPHq=q9&mo;R;Y_CJWs&BImKBuF2vL^*}`^s$mHYCyw6;i_^Fc)rH)S{Skii#*N7ZfHj7NV0uuNOIzjKsqYxWyP)Rxl+yQV|(X zL|r=HWB`2%zg8hZLFo*=r)ags(#~ny2Q*%Vpzy8=2(&L$lw4wm7n_!VlzG|pjPRSl zLp^vl;Bj#$qtMH=fXB!2WQ2;FM=3?Xqnxsm=$43LQ2#bRRM~HHU z2h)9JWsN1ed(jZf0c<($3tCQSow$!u8n(iM5n8P-%ScW!ssa$$?(K}&6`pnjmy(#y z)D)D?8DJ!Ud1^fPv@tATMw$`SJgtt85n<@@HfA~c(7MgT#|v@hFoV$)X%9hqCJ^;K z7G!;@m0K2}!Npla^|D9;VzJ6CVZ{=f5kY9o3fk?CaYvk1PPm; zD%q}3ORIW^`P;Xb)!l_+;BV2xT}ao^$;*fP=)FNXb+KsGht)Vk<51gr{Qts-N|s*g z-(+gn7ks)AB8cx`c!PbvyVuGUZ7}xLAXFz%cxDYjd;Ncz_=mRA+)-ZGIZ@)(wF{k>&vhl2lmpR5kGR=(??&tN%`Ar z6nDp`y^26~lKVDD}rrrA)J0fL-nicTjl<{vGrbgz;-IQ2& zQq$PF(~RUPcT2P0HBpJhcN$Yxd|N1D7cgQ>mWRVARkRJigwsJ&h#peod@wGC{R^lP)=W-&`0`ezQ27YY0F|2xmp`*d=G*qlR66JR*SI{Qd8s#%AU4XLvBN@BG$Ghm4H_UU3X-#@HS-PoU zS8o4xyk__*dNh^8S}2|2e^c@w1J*LT*G65TN634V!PdqiVc>o&r6!`Pd8pV;9gRtC zQZQ=H;h8JFnS|A{=H(q1lqHS&WmLO6;-yQ{ZTl7rZ79b5dL`llsx_ST4Ax*E6&K`V za?8<{u62F2cy$1*;;XcTONGH|1Q|T&H&~%zgeU{r!{Xx>>z!pj4e&n=%rqZVA!QU6 zrlS6dD=xFs&|c=D`q`Esj9^p(266WY9CWv^B&+H~yJ!!N8*!H>Xb_ibZgFf%0VBE( z)dh{*NWo1*e7f;C4#Rysk7vuF#R&Zyb2T>JD+f)~mF=a}{U(k428HuNd}IYvo;3|_?w z<7JLuIQg|b)SK-i!j5b+THNALT<0xf}uwNj5`UBVm+EEd`E z*2E7`IiafC4@;5E6%Z95rWK0_PIzZLu4wYms7%t=r%;*!MldA%j>56`vo$|X>fA@q zBYTV0-S9>9yB6mcGv|z?Q*TAGFDT-5x?0n>Dq7vA=)8pQYkwn;AAiV02D*UorE>_Q zCDjJe3i!wf1^8T+HBTmeFMo)9K35i2Q03=cqY{yYHw?b)Bn>>L$kO2DDLVYXrDZ;` z3DYx!GsHrnz!|jT=8#~{A2rj_`I=gMC&;lRJMtqU z;rCM>Q|_l!Dv!DiT|0`>*$gH&E1i0p9oFsxZ}ZsyO!8c1NAP{ro8EzH`9RQ+uyOf{ z;I9I#T!Whrl95JbB@1{-AwDPOS}I#!X$Bblwgc$t4_C-Mm1wAqI9`|gi88UGvY_wpU=#jBpQrHpk6HE*W$NEg zroX&mJq_ndODYF&|I5&Zke)uy3+lTixsHHKCN4XUv&=0P_Wk@c#n7ngW*f%V{PU!7 z*2M@3e1LQoZe6g*xy@~hn#2<@Se0nAZN;aB0gbA?9UDmofm38@;E^AG0dIifReQDh zJ6fp4gGGi+cpl|%Im2-8EoNOv#UQx(&4%-J8mp=vTHSBLvdQ=K4cxUho}SE(a}V@+ z_Z~mqoQA%5iS2uIISoNT{)oLUF!Nip~gjH$`l4C>CAYuS0V>PrwBY3#h*tHDahumZp_KyqV9Z9^9 z7yJCeP`zi7mm8t22~0Wqya^zcZrFdiumTHn;D+?IwFUw{M{u}D57 z?)fWjg3nXXT*PPpEm{eoII!5l$`=13Mash!*@OS+jq-t%ey|_Aex{c6^MBmOd`A)5 z#E#n~#W-LS(mA~5sxvFN<`XPbvPNOo51-6R`h)9Ec&hTzr7pL*AN#X#Yb1>pQ&t=; zfq*@6M&^tdvPMNiz`}n-1V2+`=>md(NIU7eVXJ&FT^H;)2(qUq_p9_9xPI;}zMaUY z%=a%p%jWX`65#t}S|5M*+Z3$s@1{=6M-O6&aO*pn>i4_@ z_j|mAV!Y~fEm`w- zPx1iwnLIstKbCgZxCM18zZM#mqzS-BsNz5ts#;*X&@Lc*gPXqgBhCmGb?d8}$~ED_ z>!L5*D(cT#GQ(E@#Z`tD`5AwW^Yuhhmc@>h9FSnK>xO}eHBk8hlxxxNyqfWa*-Xw= zs&H;;E2i4crmkqQd^>ssW1UDG^6N(>u~b66Fq*2-=1&}Tk4CUYg@Q$9Mh9?tm#k5M z13m0IPLbkk2PJoSJXDRiBruJjl0#yc#@XTxuc{*4L0bm)Mhxd5l1N~821{KIFGL2lw9FcwQK!kdF>BHUIA9b&dnDjjg929=QW zs#Kjyl2TSm`~ddH^Z2!+I6|yFI=2pve2doU$5sfdK}tyAZudO&C)7r}m*`6t8I#C| z89fzQ^z48#+V?A3sB*DqHbkT9i{2fd8NvDHOPFx*27@y%F25a6TR~FhLr?b?0KA~}+GS0ahL--<8%~)(`DEe)>tQBq6UxVW@G~y{`x0*uvi^$GFY+abu zq?y$TxiEy2PaBEupS;`z-jyhXR{+AEm3Sh3sU3`mgc-L+B}YZtD9r$aUoa5`Q1&82 z=Fx=8U9`YBR0)lR+7+6@E76&!@H(vQ`t41u)jz2IhqN_^c2`EewIHYtZ{>+c8lR(b zEU~ol!aF=u-g7$nU;lTb_EFN%`ESkR++1&sPrQf&B6pwWxES7ni+#T_h(k3XI)z&! zskE4~;%K3WUBHMjBSz~SRiljp%<0tYUnZ}SPwAm!cr(hO0}4FXA#z@)O~)qj4_YVLEm$gdN1`Qba7AM6+Z`$|95=u!L17VboeNHrKRobIXaS^TVNm1MZlfFs1YnB35J`eI$J0F zRv1d$XW`F-BE;mxXAYp&x&*;1Q-I^x9GAYMfNcy5L~DqRjcNyZGHjL+EV*lDiw!Kk z_{}3oOA~0O5jm!t2u7i6;fI^0I34NbJ~9%GV7h9^Gu~q&D##6+y%s){!Ha8_iqO3C zxHY%<^0?F8qnITV)m?yxvsuG9{3eb8Ja!BV6&98Z9)L11<{9irCiF)hIc=<^R_(cloxpU~; z@NhX$(5R}r4;2}5D^KjU9$gjn{vBz~5GZA9#oxmN9;A3&aYP!Gh`)`}3^4e0?QJHIQo-xBzt@w}w>9U3ehgV){3MzG>mjc<7@u2SV(pWm_z z@4#0Qt_z%%mIN@K;?AC|I(RyHd2X2MPZuZY8=nkRL$Z1J#a=A1x(h{V_)2B$H~VU8 z8n*^S+Dut-v{1w@TpIbEB99h^Tchf41geb!%qg;zfPDQV+RBMd_^$l*O=|Fs1tS=0 z*jE?-%kJQ)yoR1yO7&f=hB&89N?&jM8%qO?Tw)RWpm^x>R=xop>rJde9AkdRyRk%w zoQ~EIGsFk>istgOSh_?br0)L^ISt5)qs1+Y!n_xxr2t@N7Sphh3qMdqltla39H;d4c$GZn{@tTA|8ih9|=MQgCK zy(Bw{HUB!W{w86*38%Fz-TsO=;{Y8;JQ`bU%mmojlrrfawo8d(qe2}fD}#J0OR!u3 zUpNB03^f1*y=r_0APGg-_ofYjB5Pss!by^0$x}B~v-`YH#YBPvvFzJCusqd>Dy>B6 z4*Xph&Ic0KLR^7?o`7f}sXV2|SQ$fDAbtwTt1|rKAOs?$1cBg?yn%M*b^uzjdOe7K zlBjf?-rtJYj^FwC`V+X%t-il$zylWDq&G9 zE$Tom3+|v%`6K7Sr{R$T(GqWgV-!y}Fu;O^Xa?IQa^X|0l^v;1>>;>YQbK4h(4|II zGJRHEgpJ#`qcfN|(q#(fa!l5Is$x#84sb5e+~);LaHs19M=qh~`u_D@S$xs3x|eQS z8I4r2WUX|mOR5Gs{uuw#*BQH1YwD{&a)Ht~n$@DvMHOwKPWnY1kkYl{CG`O%LX5{% z+Ahyg{o@;vnI9#!xy@JhKm3J@E+jg`LoaAAq}4?K&kAf|J+CZ#RqT>akV?Mvq7eO;P$V4|S@so|yp?`Ei@hB~q zt_=k*J(Ag%&eBlQr}4$4D1>I1{-)f>hcBC;6AS+U)5>`VXjHNJEK91W3DnQ9WH*Sj zTd0UeB~oiB@QC5CU--q^5-JvzO$kS`!D}p(C6>$R37nA#QfOlyq}Ghdb`k+LlN|G8 zmDGvYnH>$|1vn}O#DZitiq zBMu1P3sKoUO_rUH_|7{RVxcLe)ERKD3oA<&s0?H&#CQ-a%vz02(jp{b>0EeN1xYQJ zRVwgplQz#ABm++V!lFdyTSefn9!<8y#2ju~huVRka%laIy z;CBLRO9OScB5Xf~1yRb&}`0Z=SuDF$kcVv{G z#2Qua@Wfa-%=gq>tBxZ%(eQjZM|}SFkg0ZHnX%8N{o(r_QHqxEAZ`fQWDx2Nf0!uz z2FAx}+!{$i@;*%<5+Gp2m=UA3>vswTm{Vlw;yRx?PS6is%n__P(bphW>0(9Hl5cnOH&f$|{T489{?al`o>H@5wF_V6QX-)V?WYfm8 zP!hcb6Cd{1(27E!2bTsJdLmF6sQ{e;3-5A3y8)#p>uK~)>Nvgdu3!yYZ`5g2jWtmx z@5r1THJr{QCx=Q;8V5);9vF?aCbd=`ESPi!mhi<3kwKo)$cVvV#@ZUW9ssoPtdN(^ zytlx{V=&?Xi6*h`kdv(7(iqyq>p;|^_m)nn)QT=ekGi$7l!6A(DtKN zERL?A&Slw>!==x5m<|$-MH4h?c~3G$*h?|0pJi)mM2i&TfPugY%0LbljH*<^GN=&_ zt9ZOJ41-6&;OR#Af;$yhoKh4Z(5=LoBq;cJSQ*A?U@Y=_rx+KsSs`2&A&Q>b5UeDg93G3uQ7_?_C7J8+ z7~!lV)FYj@AxKGMrj~VE9tdQ?5OPK=a}v~~yBFo9ADOm=LO{CcG9FIAXkX6=yX(6r z2Q*Rd5meo}^biQ0AQjOxlG!M`7E-b=D+8Gwn=a(!vJF~7P44gTPqDB|eA`UH-ezSQ zw}LvA+ZKw@_*H?O?}VlL{Yv5G2MFyfxj}sn5M-r?n;R$td<;ib)C3T~L&CK*kTM>U zDjis{#Q>&4NS=)qJc&L7@C<%)@{+6xqb#u`5hIqURbahU94!>FM*)-ALTHMDn)L15 zJS8M}ogfdvcx;huS+U4NZb?AH7wR|7K?bx?tvH$}(WoORv7lK57!Lte6f56AIg%9T1|fJ1Q!G`AS%y6UQ^uKV z^k!-+GzIl}=NE677K+qFD-N)U?|V0Gq5J|MOYNi)Ip@p7ki@ZK6$Bqb%4?pZsLpq^ zp>p;jW*#ZowA-@5Xy_;z$5m_wCUJ`jF=)|^YpEHCs2RT;V97%~njoskyuxUA^sX_C zPc{?NpPBBH2NMD9>al5aaiZ3DKz`Yk*uZ5NzwN|4W6Vkgg_m zE_#FWZC>)Ccf1Y8gUZw4^%_-Hz)<#TXPK+P5&`)J1pv}=ZQF{YyU%6WT|F6f559Lb z@VDqIBwjaA6{KgsgDvErstP^27&HCaKG?B)pXwo?idkNEukBaw~F_?uPM96^M8U z%#P9B#~sW8%s%?T&B(zsB5D!c&Svm~GjS0Tso*{4nN&v7N-@F#o2 z?Ty>X(M_s-<-b|0g|8~Zd>hYXHOJ%PaxlDS8wp?2uaWX=6((E#DL^pk-Z zvZSNNQS;hDivU?4@6n(7Svb3366rD!;7H^+}6jZdl{VI$azEF zeS>v>*XJ=D9>N=ujJ>=rnx9Tij3eof+edJoAvwG);TbrEVMyniG|?e^^SuSf6dLHS z1YETgBU(yuwwR0vj)$&X-bGJf%+&VX*zql)MU=IijYV)p^ejwxB6efwq0eBe6?>>P z5(_8P@mR3{bY<%)ZJ(Ww81n}x^>_#kR^@QRD1KZQ7d7&@coqd-&?)QFdPoOC@gN{S zcRiT1RHl18+BgatSQNnQ&xl-Opn`b*I=pYP5=!W5qTLn|;V;7W+M|ZGBfAw{+x=C>0 z&^bi~PwWXXJA!t4eR?C1SP-$d6Ueflmi{R4sNz<@BqRbIORxMEmI%WSO70$mu7?dZB>-l{`%?9vE21A<}zk!@Y!?Yso7v;mFym( z4&IFYf3syo?4e{OpnXYvu4S!wM1b#kPp)7s@3>zU1gYOfEJ9kSSUm9>75ZbK9`Zao zx+Eu`%W_59RW2AYdC1hbe3zo^%J-p#{A&<|;Ta~i=$e54+dbsndt}Anm3Mwy3u-*v z`3be&0L^}D@gV0;Iu;B`<;h>Ys6Xf5+$+2>9^8eftP#r;ZUt3j&3L8?L_7p$$A~`Z zzDVY+5fMS3MxAcKeTli>MdOj9z=GNhC`XgA1NP%h>cVA))WOR>P3yk9h^oW8a9Jp= zROQvnN@o28vOT3oo{@TE`$fC)wMc}m*M{(q)j`xs?OUTw^-n2jO6Va_9lrN#v&o}f zL0a6hM36ev1$+?Wk*>rb`V-4RaKfwP!jz4{Z3lDHCRe(a$@6mdgz^m5(4X)V)sT#_ zGLLO2U*_L<_aPRrleRl32%6PBh!$0i#@KhUonI^!EIqSez!6yuP5HF|Mkb{ebq47@ z(Q(dcH9smWBYm+tiY|FH0gWoaeN86@$w+b|Vqi^%%_*hPdCg?7!DPiqK9Joige?0$ z031@5x{|Xy#N~jSzw`PN$w);^%}Uus^%+0lD_*QkI>G=B@no6Epz`G9ytSW2bhI@a z+<{P(lHY4!=?aQXtZ*RGJ)F~;X8VDKO&FmVS;d-XkOLY3jw5R_(0Sa8W;KOM(sfQy z1PQtJ!5|IcRMzPmH4Brlj&7v6^x`9iCU){+B7vA3XjMS9fd|4d235&|78Rsk*6^U} zxv2zFonP=AIV9Bq&~#jALzd!l#MXA34I}Z=IDv&9nTk-gAGAtvS+JbJFXL0U-L!wC z=#dP?*7spYlMBo!eq}5e&q`ItRCk9K5Fs(T7^TR4Q%Qn@Cd0 z6s>P>!=?3y`f%!D&jmrMc7agQ{A?ztv**A%cVLMk)y9ZL$VnMnJnap0eStE3SF z2H}s~#(w!wL1in8$IjnALdT0Q_T&nW$=fnGwv!sZrIJIETwhA5>L;=@aO(k6Dk0;U zDiHAym>mNel|m&xg(CJTvXr=q|AKMczrj-Z+ZgG`-YW<(AQP|6ThS)|SowT+j~>9w zGXv%SacN`dGiPCq3UmLz1I@mFJ>61%LiRFZpn-5828`d+svG>E3`H~eGL{&gwD8)t zHZZs|$g%QnB=Fo*Gs&Y}L8b`=B;w$MQ3Z=ZvyXz6=g|I3R%>&JW6+~uZv0mq411sI zP1+%}6y|{bW&u^r>13&~%~B4XjMWvJs3`Qp@nB_5!okLmK=nkw#Ei3^oREsL)$_di z5~&lGGhRshy10%gKFL>tA)QOzfHjZNWlEXEg0y$Ez@Tq4CIL2y*R3-YN-V$7i?gG+ zX3#SjNimykxKU7oIspV5SO$g>$|)-AEf_lM9`4t{V9fP&Tiw&uW{v7ZZmwam)m{jq z)Tk&H3^{mV0U$n|!3~&o9BN=_j40~{_86851Grp?A!$8=9%Bde1S?|ClbeflAZc6= z;kgE6DA)&SSwYv}z*)p0AT3Y{TV1gxF}eayvf!C)EVl3?nRSAS#dZi_CV~(@s6)6J z0AsuOTAVSc;5XNY6&c5?mY%jVFp^fNLS(-I{mFXdec=KKX#}ydAS%Zes1cE{j9*D$ zUcoqONU9@%H$WWLBobEaY(-r%2389(UyD1W)K}0Zo!EUeBM{W47fQD;I32;o3A}3~ zq{Q*2XdMk#$xB0STT(_hl@%<>trg3>z%bUR8is8gv7I<$SKCNKb_J$x-GES3H7qGT z-Q(Bj?dXD`Y2cd+MN+o~)q(Lun!+=AnEr;xR;{>)R>jhUqyn>)9iI0vHWi-h^Usx` zK(upB$3UTWfizC6dwD8(Y_(YD3@kB3#6~Q_s%!7!kX^q#Pw2V&m}A;cKY-dOLU~xhY8`^Y46v+h|i`~&bIc3 z!v>d3#Zg1W>Hki(kruoM)&!}O8_9-`CvdP$a(vgKAnQY=)~u}^?OcW1!j0XRHC<42=BAW>Ceu~~71#jprS-SXR6j{IJ&8Oyg!Ou!&P z_nBZ=V87W!03=Tb%3=#M^mT&=zX%Mps zNJ=oe5!5JHU3b##lzj3+`iYA+z=Q3e}#%nz6o>Fv`bJ!#PU6^G|%*@w1*lw^#^I_E5QR1^BA; zDYyFoDvT%i`FUM&Yk=zn(yaE=<9}I^}GBx zrAv+h)EE2ZJZ-t^fw66TF1Dc{GQW&3UwH5OHsNw5qHuf7OwrF+E-mXP@E$ZKTScvHFdO-q`78dzQR=tY?=ShzS(TJ7^KETgv$l zDx(ZMIss!jQGdS|^F&L9HloGe1qL0<7#Tb|DO085oGlB!*E$M_W$C?sl{v8Gy6{yzQd9!IOJ%agx$)z`Qx~|$8 zGEqNZ=y-&NBSB4kCm?)rz$xDG^w=~!yikegQ&(v$Qiw{DA@x?7N*Zv#j$kA$DK#b> zP%z-m_+}1yU>l7p#)yFAfg(k6p&(Z^-fTpjQh5+H*CHqeqM95)_@-IM5rNIrSjb@; zCfnPdua#w}KyJ00H(7MUOH`vFH>r&4vG@cP-G}c-LnT~VAK^1W2Qc9G(Ry1_xN>XI zQgDLw?v+DFayW0>Z0HVaW&?|Vy(8H>zhp1bPEZPHOeLxG1JT@N$bWwhVt-Y@5?e%U z#3E@(&MY31RHdvLk3+$a6}trNe-Vc)WcA#B7GsO|W~}><4+Pc!bdJLitl0sYX1Lii zbms#BT{pg@A<3%Rts^EZKv&x{T(o(%MgG50$JW27E}flFGX#q?ef{U+Xy}ijdx4hB zhw$l$1TI+`#?@!=@%^n1m&NRoTO+t45CMy4nmi0VXBOGyfbugvgLLrp8YR+eoOE^g zwIW8DOS-57Lg&^?F;4zFis3CoImvs&Pd`M_=tQg8p}(!)T0O|GrB|l^0$~IXHyd!~2mee!CT!W3MGLi8ohRMX3hV&PMp& zLKL-;F-h8xj?<|l2=%8BqhmZbx8XB#+^k z5$!-dYQc&3HFa})b7H`WsTE?Y(p2UEW)8U1P%R;UNz!BNp@5>4VMVD$d{2uo)q_!@ zejck)1_Ss95N0vZv&BxG!SR`73Bizm(L+%(!-xZeUJp1;)TV5eYU+OS)~IC|Ex_u- z>j!;QL1HL(shq7c0#EfhWFf_rRSkwp|TPO42w zjkY66{&d^*`dX~x0%`gQce?{@2ALJ`oEd8v$$pX%59%fu$-_X@+yH(J9)Xd)nEFK4 z&ZbORtdJ>5>e|T*md(vrGA3Gx$~4_j+Fw7=xYNlZN0L2P{P;0O9L^9+2Vg1T93qab zf<=S_8lr25P9?H9tLI;ZhH24G3{r`OH0F7v5ZN|SS$-QxrtUmMG5uA+uCuW(=is_~ zntAxa9P+|5w+}Z8eBz`toavzm>KY$R zFa^ysA^lH$yMS#}juIX&g#t2nV2L6{Y{VkvC(YdAaqUQog2f>y04s)6@#kLJ7zKp; zF|`$+B@b!E`0WagjiAx}=n#44q;l&@)#KYJe{{n;_244(z`Gix$9DFZP~L6p1~k5h zOFK$f;sOgsSlA-bBwuu3u}9lCY6~mlp*z=h#jO!s5l9P!*c5pf37Ju3lLL-El?W8# z=IIvX%fALibwz^d(3=IR3%K?BQL>GMrc(0WHC_3Re}=V%i}BMcPZvB z$obgHquom99uvuCB*xz__HrUJTJdHRlz+BKtWI?KA5m1Kf@;KfMs8U`1f_}OY_GsD z^>d;Uqvs@@=L}|R8FM}lrYqf0<0Gdxm7JYli>^teRDem~kZ4_E; z4~Wl#<)hBrCzdi<`AHh|!Uh))`r9?F&Pc`UiXIAHs<_DtktXPtNeMpqYM@W$|u{ z)uQ6e7SDP+XCm>M`N_z93gmvHQ8*3pDbG$eD}hPug68-rY#M5M==v^v9~*8TpK4{< zbi(Tku~k6HGFJt$jFLWA1P&;R!BP?i*7k?pB406IV+gB`6XdA1>p^}4xzlnsCWpCv zyI4DAgq7n5vVc;U7fcO7gHEFiy-3`U5zDQzqa`cOju21@i!VSayV0qMYi&bpkh?TY zyx=Y_2m89N_^lYP;5YnKP5TEUW)}Q zz#uyFbLolzXUsmskJ(2@eR?t|YP@i{DYi}_x-R4RN4WMt8@H6W4LLFR1_E8@Cj)n< zcr#;mY0c%l>FoB01yLdzu}FoG#4H|H>Qgib33vfBb`eJYkDGH1+@3&g*Khcb@v8le zUyzvba15&?^&hP<^#1)zJcVR4xS_fbKDU_qQrise3NfqQx)c`$tgV%8JUQ0b^$R}m zzd@+Y!oT+UAwBWw3cj@(efaaeW{i5c4<)7Z*Hl8nm5SB<+ewAEg0jc^sLJJk zrtXyd$HK1rsCvgQHr3GK(NsCOdeGRWkl!fB`GZZ7O+&RUlqn(;&nY5v9^D&Jo`7F& z#o-=mwCu6J;pvwA%YCiJFL&p}t$*V}>c{D_QO$cWJzkaZ8f#f=F3?gNOAQFYCbrM5 zlCE+_C}Tyn1wT@!^sbg)$6wRzX*wcx6IftiF%z3^uv<9t859R98~v80YMK(54lFb( zc=9py?-oAYfVmTOM4zhEQv0QDh>ry=JQ3uhI@fY`@ypY3kk*{R;6Q<_j^QHSW^~D8 z7ckjZVoxUC>~AOIE=i=&y-{!>iBv(3t+WZS4OXfp+jxp3PS$jphpKE6`k^9g1?iOmbG7!F_YiPO%R zuKH?wIRIqfxZ5zeKeC37>@PZv50|9F+ zw4?4d^eD}uNJU$bjKb~;jz$+n`0SCbhOmr+8-;b>=Fv&9bN~>m<71{GgEk<45kT)p zQo^`EE)rMdczsM{q?s2?rHnV{L~-ef2jQfS3muE-77W!Dm8HP_Bf~Uo@p`1`iY}ub zH9Q)ff*qaElK2Yc;r4-G$5LTN{9Xynok^tfS9lt@*PQHXyaHSVjfv$M3-_r74mf6o zpw}GXmA0<%PM=qQ!XKz^!)qwlol_j-^O*H_3UY0iso0MgGb@cL0V(B94W62+jI4^n zZLkeh^&q$D*eefAiP^0~Dy0=-kw*)IB}C{O zu?PyFEz8U9i~=|~hhDj_t5(__CUY(4AjI0oPFS(%!PafqhO`aBf9PHwI8O6dutRqKe;;2$GeY{G$7s zvi8sLeBA*vKSrXSbp^vAdzfBH^ErZ0b!``9Cm?Nx zum>$-NlXW@47&B53X+jE!!~pw{5Ed91=Od&%Q9@+fm>i>GBB~B(lum4Fk&IHGz2q3 zi0R`KB2*Fr7LJDOi(vr7P1oYp84x?j<*)#o7reGiOcZO>yhlVHIZ(?SQqwgbKS+Ht zFeM|WX(kCqn_!#2o*Q~vz8!ys85Yq(xwe9NC7v4m{2Z7<((-LbpY8zLcWjpMPH^g! zbw9}*xg}aFIknAaGCM&_Y6zQu@B(kj8klHQ4tQ1X&dP=ZISPB*d2EHv`fG+SMc4>5 z!SOS|&0jM?Dx5JzR8Bbn`m;2?J8H7*l|9-!E0swB>P9G+8J}E-+45&65qsyTo%8`x zvRhqW(L(C_R|>!iwg_G73FARtiHukzEr%jKCZHW48kH-&p^Jg~6kNA28o*)cF5z8S z^6bwRBxu?4bpE1$u*B!2uC@Jdqz8922C%7oChMK>3=ggJql|Q>tHRP}@HI_*-gP<) zC&tm2rju!*;8^*3PqgPa9ktD^;I;%Jb^#;Cj2J8-ZOH&dl4(=F>`umwWbh4sgxP{< zRR8!P?WjqVSU8NA{=EX$B>h_yh2JX%=AX|(H*@R!vtIsKaD1l1?Vu_)4 zdVjXa(uS}vR}ySt^6)oFi$*mV9^Xji@15y74}$>xFKcWhGC11uU~x?5_7sYQ%nj^h zbRLE4s^!Dfx2po1XjI8)p4^S3eEO;~-!1)NH9Iz53;g|qbV1|c$`(}st@2uX+?uiD z{*HvYy|mR|YNF~qIrW#@{Vab8i~1b-8~0-`wd&;OaDA-Fn4GyN3L>&z`2Vx_KG2aI z=Y8PUJq>IF3oaW=tO(L1RRF;ixT0i`UeRljwhAC9fsAC&(o2h{XZuDpWhn}6uN2d< z9ohN-LNp}N4pv#kbk1^yJUVYp%gV}8{N%`&8`6{?E%WJCGMV#xr`*9gMUPLX=7ADL&fCkjpNwNRM+5$WHFCX9LC2D z>y+QRYvYct+PfUpaqZsPa{lN?{PCviJe>^E;cT2`dIkcLTu0$t1qY)|Kx{vcS7Zr~ zGTe>_Pa?#Xd!r6jx!a~(W^u~%7}M|`WecfLvm?c^7I(+)I_{pD&hv8OGl4&jmr&JA zzbS?mW(QlA#cyE-2T!3i)F2}(P#L6*W)7oYnTizwKrbScY?v) z@WPQbUgA6-=bvA;-paC}JY&PqG|aC`b-g;ZQCXAf6?#mro0CIl zMjJQj8z)~1|AV)3bmL#anEIup!^-80Y`kBq^6e|ytiG3j-NKvn$clPj$D2Aa`L?>+ zboO^*b+wGbqGNSc{9GSo=%Dsf2;A(P41V&T5Hf$ioRTMJ;qxCx=2kIBH8%a%%f)k4 z7Hr=(=Z)LX!MZs3OC~)2yOWAX$6+w>H`vXlQ3=93Zvyup6~FfQXaG_JX}iJL?L8GGCXATksy>IiDsHNPIw~-$<{^@ z@RE(=MqinMMKvn=3_5?7U%o_&uj34NdukxU%bv6pSamO zU4Plzh~9-aZVAgJbkNb`A4QSAr&a2Yat2iKn^?lZxT$-b;g-c~+e*Kyv@Xf^3c_e- zLyhlWpOBZG7Ll3bkVIeW%j(ap#mhcRuvGt3iTsbn@W_4-Ce!acAr^a5`HNL-HUW!j zRj>6wn;>)e>dELzWaW(i`3#8V8Y|j7WfC@1o4;AlpmyLQNsFwpt4NZ9t7lkiC!tBa zip~I9CkBA6JJtp!_)x(VgBbQ>xLz7EQ9%u6;TDhu#y>G8oBZfVi%jBtfUTpFTnRqp zdVVOv%#Y$PCJZ?>y4D3t5KEDbTlE5TZ6KN6j#!(oa_@br-GFB}2cHNlv2mJqjT4R> z;wX$eZm(j*l_rK&o4_~ez*Sl@xZLoO^m(8amxkY%!C6BS;uQ=OX@7)RK0Yym=K+j| z*;~WemM7q@(Sw5E(Np}iWxdi~nHk)bOf-v#P+8myDLPXNo?`LSLpUUa<-}`=`~00F zbz_|sSyGA%5#cT(pnT{Eas(*dP1i1iSgT#QC8+R@<&h zJIT=!P4-Mk@e>MT=wLPz2r4vNcntxK=y!f#QeOHXg5Erb3UGW9Q@#HxhB8_qJvg_z z{)Ll!C&%x;E;3BE!E9iYYWeAg=hybk!=k!lHT*)f-~S0y$W3o)-2ZTB-uwpf+eJ_p z)A@Y-&5nr^<$A1=E0#7@NRql^)~=5fp@d{qhHECf%7BS26@zoM`A_u$UEU-9lmD)% zT?QA?t(c>_`L@^LTO+>!6`lT}$UgE3?98||yS%YBDj)ge--PnDzqwOJKEE#tmD$TD z9ozF(m6!gJsHMiC2dXSo#9D_>Tn}(EbpHb+=~Tvx5-KC??1;=!2W&I;kE0=(FG8o) zxwJr=K|M};U=Dl=dqi!?ND!P_dp7zD(RF#sZiFw4Sc`A2eGI!USLN^SuutG6t;b>m zy9Y0NhgiC8zholso3qa*S~V==gZNqeveX!1K6i?=jWNpuim`!H8Pv2a+~BEYu(V;j zIw1sZY7JtnBRrH_aSaKV{24ZFxRmQ$rUW}tAc?W`1(dGL;AzAl#?nVdCA4$xAh7C6 zWSl6o@$smi;E`K7q*GWOasNx-p3@b;JfBuE%C<@7FYv3munKItg%p$uXl@0wZEj9A?2iL`~A_aRwvnf|kGrEY2rAfW(Z&;d-WO zZ^1)eIw;3pp1d{_CDjtPk>;FGNqQDIn3tn#;7k@Cx1d^u$}o~Tit0So{pO|f>vpid zugK#vdnRAB^bKe?UVZx+yz-3vdomUI^6iO8{U|n;t5+_^74G!4K^5-?;o|}i0eLH= znJ%j*Xr>myzN}1Y!{34hZLcz-r)I@F_7;2<>fiA$2aviSM0VNNAWo2e-O1qATBain z){bC3-hmi|L~bmN(ZQiv1p|)pJg2PR24w!d9a;LG5N>;~Y{l=fdIQr?z z%6o7fR<~@RH{(rkCuY_2<)f$gozen=ogjDKWUo0=lkrA4t!X{W*zh449y+8= zb?K7rfY75i{nb^trwcu5&-1q)04;;l#7+o}xLrwoyQ#mU!Sp(y|2E z_ls|5W4zM@m*FuP_wphdCa3Xaz5$*k*dB2`!xFmy9~H{+ig};I(Uy(t>Ic6`7%P*QR>o2Nr(=t1TD}j#(}9jjg|nCi6p7&+)iIe?9p4b| zhAP%lc`sv8RdgUS_IFnq-%RP^j zOy$8jHMTbD$rJC7?EaTOBx{Ygz|y!aI9$67Tf&k1{9gy*m&UJ-X7af)$5_Iyl~DfX zO0oOD;XR;?!R#73Qla4PE^P{BvKJy5Swq4VDku`j6hN_?t{$23le_#=|IL@ z-tDT)|JkSH*O5}b$(4#l~Z=s#p zx^9Djn#}ogvej(;7~os6PF;bEuiT}w^{l(!HPdJ-<*cetEy^)Gw7`7~q%b2g3$Av2 zqmK6bXUs6@BTG`T$0BtXd4~;KU6B7{$=6fBHRIr{4}LpZpN;mddSBdv76F@0Cif0bJ2Me9%5#0AIEiqsjBF3GukH4r;sExkV&-}-g#n` zB-CHVz1MoPP&;8e;zNn24Gzp~fLU5?reASqW=-)+RD|IXZfLBDazQx<7+_FN4G5j2 z%Mqei9&a1uL+cEzB)Ey{b~1t$2o@PYfratI!fvpg^F!7_Np)$a;|vz|nwpk(RV*gn zkWpAv+tAHO-A)yFPJ>U~!&^U z_;aF7rX?lE=Xp7)?0Tz8!Op~e+@ty9@}-78yv=Cu#tnXU@`^AT#fWh8sip`4d=1g5P;hsbHib5Y z$|{6BNQQ?F#Ob&B(s=sS`=JLwHZZRI@U@T)1^)SpuYzf_l>6pWEBNHn9z&nN%+3&1 zOt=0z>J2Qa>4}CHJ^$nt!PG~t4K`0dhb1DgsIZfh$fp*(Cq{lET*SvU3P}Z7JanXH z8uqy>19wB3D#$W+v#uMuJETpasZbdRgL~5#Q55>>X86^UQkT`{1*R9mWz2BLmx@sx zY|TEGzQtl-glX%=<%URNiI7^+0B zQU|BPWz209!3Q7C%1W{<)@J&c838TwK*H5=7(}{c+$9eAMbJdukMlZWuQs{*A+|f$ zPz+-)&%;+OZ!6t!$f7PF#q$x%xh`au72MrGwy2|@%DodGyil_s$?^-6pOc%~r$@?9d% zqH0!_x3m(WSPN8+rJs*1HZi=+rzr`X9@a3;iOvw8633dXNpy9}c+V!vas2Qwu#k`q zH+{~CS$Lx`?f?L03``c?yW4Irso)QH5Ak@&A1^sLt;}&Au`&=i_f+O_Dj6 zq`nOb($@rHKRM$;MV1&!SVUH$yP{>F6d#C?iRvV7UrtS!NDfOlvoQIRY#nfShIl)2 z)qE`JFLSusXXIDpReyI|^vCuDjnJJSX2X&(rDV-e{NyH#;zr&g`qvl%SB`noxF)u! z9zCpak$XTL`MChK{-=$Y&*9R$EU07qkTu3HqTrL$QmbJ(Q~1cW8*<`XC#>F&S4Jmp zp0%?L&*L*i_gM7v@3d%cB`PuK4sD9AH3nQN>!wt{fZn)e|-}knPU3?C8JX7kH z9OwfwJakG6Ph|*2Fmz-f^yp1klqL2$Xz%CY_}eR5_nptLw?#VTqp>YdqEp9Q8vK&c8l5Xr)F}8Gv?`n( z1Sd;31E@m9N&-;>*PLghX5lFFw!0YtkSR@r2@`OXO%{nLy^*sG7o2yvF$Rhuh<05Y zuSQEK1S)>ynLxKm>xAln&?7hMxZzhk5{Nq4EVrtR6-DFGKy|1{vcJ|;9fJy~fjbZ1 z#ui0QK-3&}0~+s;o;Y&)20Xv$w25qx(2^3I`-gq z1iuCu@Tu%^{FGx-3^8yqfE$Z2Kp6m*ZO{Ndb3_#o?DA{yba0EXQ4?pk;n@tYBw1pL z2-)5uW)FTHBD8!ET3XsRZg5^OvV%^!R8<~yq82cret)^6VHkI|gx#(46A@^Ddsd{432QLX21(*^gk73TGGKoPIP4DEoFm z`SJNeS^%#R4CzK|>@L6(I}lrr5FXM=x%=>o;{GI`rJ}P0utS8nVIxn>eoQ`2y`h$% z4hTJakwQm7pzLZLMM#WY7RW5%KxQOsctoI5Jd(B6or?~hVg4Bx`|6_Oa#WF;g4bS` zmZ9C?$%j`q;=ueP78r#n+rUF5jXCGz2N=3e`4fKL3`zo-09kiT^cF}RFdFeV_eoy` z-XF!}7HeU(89DwA8?thT3E8;)Yk*@&M73j3*fhbuS)C-Cu0gJ~^8;A8O#JGc2$-k~LWp#Z*) zx%sKrlxWS&-140bl}9y_sW@xp)ElQ%?x=(X_!&Bw3e(_wEZ!34P@->pqR0M4BsbMu zu{Xr`WZ(Y4-Q?>rO!L{NU~bR;fOXF@bs0BcW$3v#W9!9r?6>%}Sf zydV_Gc7ZUI)E)7E?-M)r0g;-A$CRU(H8`{8ZaJbq{QkM7E}L=9(aR!x-w#r|^m%oh z3A%IXUms*Kh;IJGt7l|lUOdAV{P?vCE7P@@mwCsva`io*l|U&`0WIu*LG)vC<@_g4 z-o9iE(TN^fnt^9`X%nbrybcIGdy{?wv0r9Wy4rVkxEzCd4voKzDVYoz3utg_vJv3z zvBolxE*JA#SA*S_XXY_`dRk=FO_`eL*D(S%Cm1jfv+7q~{;<5GOZ*Nm|I@DvOh`NU zSgfQD#EyDwNCQ>^C%d7yg=htddGRzuD_PWK-?HMeEc)pkf_U=VQ+`W&BB&vH6?bhO zzAVS~AyYUu$dKX}5tjPd#!WCXkkL8C;XKk?DLG|lvXN7Ry6adQ7H&t+;&=z905(vT zY&ICIOHkFKeyVTD-WuNXO(WIT7iDi^CM3JMPE zW>j&}n+z(yjDSaI4Q#sA-pI2KVUBu{2aPaX@tc9exRORkvOSXWnF`i~f-J%;9Yu5s z09QNl2rDo!FDw#Jb%`M;CdG>*GVu|NlLZvGkBwNMxH`z!0{Gbk$HI4ssc*UiYrZ&( zNSei~`&E2H8qUSIo;h!KFmf*>c@JiZ^l487$3 z^Q~a8g}`fgf>ALa3JV@>p-JrX?l&?W&Z;$wqlIFNn)XY{eh;Pb-=RH8>zG&f2_vyy`48*S93#jkeHq=A)wtuNs> ztyu0Ry#J^&q=>ACPr6%LRFB;P8|>I^>uM0^wLgr<7tj6JY`C~pvF_O`HqZ9gi?|`& zL|YQ9FFq>k!EGw!C8>f^&A_v}v4fDYnBR5V=j_J6` z<>UhA<;BZZZT%T(*xALTREwhx1QF}Tic)m8m4vQ0Z8?EH<5EzZtvBti=~qn7ppqpR zuE27B803pV?d9#UWi8(Cs&8A`d0f>6&g1A<AkY#Q=aVjy%X;iQ+oR@1{3T?1 z&5s(!%;T$~7?%L1-t@cq{4ztFqdG;A*|W@Mf^sfSV0GF0`$Ow-n!-<(e^kYeKE>te zCrr5Zgg-kH91M_wLb9@a08>P-HBk51HdPfyE(uWLr~^X>i-UEhv4uCBD%DW#TSW$L z8FgEfy2|vw?%B3ZqKY}H(T`na-Kro>KOsqOy$CzPG~Vn-2S;IU*8b~cC^uZ?{U|K* zs9~>AfA-c{TUA(8&yM;saYUK9d@=|QZnHrx*zAk+0J}zo`fdqo%k6D@HMIP1BgfT}YCvTpU z$L9`-F+?W{3aD!5gEM95u8)j%GY~|{A!kv&48SK}Z7pwn{a2BdOz9_>7%2KT%nHbU z9FC{lj03U<4VNNVRN^mVjFZ>H2>UsInet3UZ}$vrvldPKCEg=wUFNv{^mTLKW09yev1_nUXEo2=&&I1=u z<2oijb4cVVo+g2k00*y)IJU1i`-yah4vU{7q^mBy#G~ukV0ge?Ho~ye3CyalpdIow z&E?blD1|{9Rb=2UriJTHTDI^*m)s^WFY<_*adT8ui-A6t6ZB?WokXtY-4S5whe=q^ zv#|^!?%yMV@?wOCohOU@yD1hnrT~_uY3nVn+LnI9)e@zz;h~Uh40H{(#xn5*^E@Df zFJU0LAf(+-%PN5IX2yl(9ndGB1;2F>AG|{iT+}@5S%#sibSFjb`O4yH1Y2)_i8H@}NQp_#tiqu#a0vbfMcHbI12Vbwpx9My}z+69Gvl_!?$koKNGs;a7qh6W@h9%|NOqtjhB{Dzz(-ZI5e3koSo8I`VyK5m4(D%y%7Hy(^Af& zB9p(t1X;8;L#K?i%~3h-3r}Aj=*rKEXSv|8lto28csz*38!*Se{wpFc90@+YK;Qp) z+}Mqu8?lSL`0^WOqCbCbzwszQKGh`p)|}DFWeq=bv%lXEohZl+GR_B{z4bv|TKqa8 z^z2RbR|hikax=W~xj@G6bzfe`^SO@6^xyKPg8ztWStGoRMFrzBklS53+x$(BGjwC2n;4kq8tF2m?llrDbUPk#|j3tNXq&Ci)E8VW(9rk3|x07kVu&hSBJ=S*LTsLB{ueBCx zp;-U}b9#MEtRV}7kf-4YA0T6cUjcb@n1@#nB?Vq4qa;%h^*jfstC!?u_w+kIdCTAl zKk)ROa7?1p{?W0jS07)*OjA7g__!U2M6XZ-xz!EyjMIx|C7PJ3_@nhl?`TZCYr@^I zFZ2SRwZAeZ$}lcE5011Mu^O|PD1HutlchToP(z<)_S^zX0wE_GnA$5t>kCu#V!<@|a?i7hV1M7dYot#C-oydS>Ub5IC}CQL62At(!Pj@1y#%hPT5kJG^n3TqaU^*mbn%a`Xf&O18jynfw9dYXpnR6&mz4 zC(sY7!4nqhdh@F=m9hayi0z0M=L;Dcew!?E*1Q7jc-kbEyGkxD{2Aj8ss=x^Tb_I< z#)BND0hO_>SjL5>SmVThrpveI2>vPj9Kt_$r5WP!ytr%9G7d++?41KEFO7n>t`L?Jib_==luDA@5S4Xya zE<7y&p)kzZ(faFT0`>5c#Al^)nFO*D539~I_wb_@%EC|fCOOH4Ux2`dM!{6vN7;x~ zq?q^^dEAE@Tt&d~w1kT|`MzCqvB#x8Cu={LPC1JTbE12XhvS@*QN}AIbZb~>=I<+0S*|j%rdbNhVQ^pVfL3p%K=nYw2TmPelsD5hEnvo5g6(JQ0`BLVsq_l=uDkPx} zeHeyxRarAYGrFw6qT+nZoZUiG!qgL1VHA^n2F)w_hLw}R+LO2I(m{@!Z+Nwh=2v9# zxsmaphMWbP|8``R$raK5>SLZg;bV&jJbSl>@I-`(R5S4GLs})1!crorH)W!qkz}p9 z?oq+ilP#ku6~6Cs^r~i7jx9BqTqwU0Ov$Oy$6;++PB0jMF|Onc?MaG=mpybsP5o2A zMB6$l+cVyI4$x*5H7?zF|H)EW;GA;JjZDz(hO0Q_0X@4(m|&G$;KPSRg=AqPcmVpa z5z5rTLWVm52*t*1w|ykMC@s7o*iH%+fhK4{ZouKyT%{^erCdA<vc3ZG4REOT}W!iQh*K+p5=(WkTf6qIcJh7cdK0N8DS*0X|cJ+T@>~Fh5J8m zU4!|IJc9gsdkSZi;;cIy_&5X)>2nRrf~C@%uPFd&%+JP zCmzdu_hu(Z$&|be9jp(Oz~U#&DvL$&a}b;?-I;(pXddjJv=TigLBm@v*QK7s995j` zsYOhpU%phIKDsV6HNv|Oh`D7Wz>a5FSU2|v{tPo!L{nED7KN!H(XV1wAtOSN2!&83 zpOVrVV&k;qV@B+JCP~yxM#yZFuLW8LyZaM5iU=0fg0rZexI9{!I2;DGqkCrMxAEyZ za6eUj;>|KPevNGIf6T}lb5%g&SANT4`UQ`YCTMiGH?r@7!nf>*Mp@u{~2iMxO#Yg_Y@Z z({c*idova&QDmGrfEYqxC-$eBaKq zLFIpPB4CLK$i+;A!nM+qq8K`o0LzPvP#FkANxcyrDkHpuyYsngS$vDoIBRqi5iBZd zTUVdB+~84NDF3qI$t|D04Qu<}>&r8ECS?x&b9`|6RG?bK3*q5?XVh-~{ys05x=FHn zXlVwxKBRTYQe}=P_aMlhO<9&@M~li~??Q>V+r^3vq66!7ye@}q1&eqs(`(4*L;qL_ z-T_|hvQa}k11rpPRjF)7O5jXf5lbM8Ahrn5EgVmf;q8g?At@&fYU(DZnh#o6WK_f& z#+>J&fG&gmg(_-SFnNO&?}H^B3_HkcAh7M5+kR*WZ;pytV^mZeeyVk11PWpR$B1zB z7+%X}XemPUP<=r8Yf*_R*#hUfm@i8N6G(e%@;CTF331jfFF@M^Dwuq;uo)4A@k~Mk zM-klv0D-D-Fj=@onU3R*71tc|sWH#G3?Mj+`Y<0L!Ne zx*#Ih7r12EA@RcC2VNh=>Z%pEl#m|fLu5kJmeJd^+t#<+R#Jc)M)C0DA{omICc9mz z1R^nnu)&Zu;KLM|1=s8FoJ+8z5FTOfT+Fipdi1y8(n4!`6q_}`%|1EggRLc}OXrhw zILz_DCC|s&yOu0-22J^_2W1I6vp_HS1?w==S$GczxWEqVH4g*HI5h=zs@$*TSW-_* z_$kY5my$AHSf*llc9qLH@(&}jx^!#}n8`7}v1+ZJLKQpy3GuKaijQx(YZWW*0{58g?E{jYAKB5 zg~yE8(|73Tj~tMr3y+`y*4||F^KSt6W3VG{I1&c)SQfmrdWCU0W2D}s^LL*bm&MV4 zj)g{#MWGUjd_{(iR4BOnkv35Xd5{bbthpta0F@@2s_Z_U3>w}^_d0IOjU0<;X5=#5 z&Z3Z}kE++0+A}U|*J3-17fqmyx(^-C>{lNaf8+;ovrM0SiVkD_F-2%JjBm50ZW)zg zgA5NPrA-yWID;V1AD3!Gsr#b&COW8(!oJue^XAaQ@JcqPpO>%tPuv1ce!|wv@r6E- zhe(3QT{Uk-Vwvt1Lcfe5ug^ipIDt@b_ia!PR7$>2g-13`ov%-dv5MMY)=J2VNt`7E zHi}ONS)6wxO^9W-eDn_S)A5Cpxik(}#qmBo?SnR=I@*ZuLsJN{45f+;8+<8ZOfIv9 z_S>m!e%j-lAGa-;jG;&d;3zl*Ye?Z@wOqsxWO3UoYiLax{aVv24(M^@rsYlCG}>?} zDqRNP)(^H+;sl{)lp&f+n3lo@GV55ZF^dZ-XO3r5v`+h9@n?_YRDFp700QZ@w;}y?8 zL@1x=#&hJj$fFJP!1kF+B%{+S=BhREm1yi{y*fijO%Y96Z=dh` zUr{;pn5(UAIZcHElT?%v(k753Z9_*!9|=MklF#LYTl|R z<5(tpcY_;*)!*R?>a-I)pQo;!xhuE_`|3Qi_vAbIIJu3enB78Y&X6fdmCQW^!Hfub%opzV>im9LCOXBRvd7(Zue@LbasB`PL1PRY=FZnG|A_&3yX zxEBXR^#rP(L7SLw4yA@3jxgEKboD&Bwq*Zx$&=V@ z$HZDt!+fmORC}L~GuGkm6T8u;N9U!mo0h9H?FeixWdWC3IjhudJ z#C?Xnd_OUE$Bfv2h%wXuK9!pvi+nv4`1OgKQKO!k#1?TYW|Mu++kWDsn@p{Mv|4+v zGJ@)d2atF{LdZ0&Y%hYa!sVGDB^(C#vXd499z`>xPKJPxDD z39@{>JJM!di2ZsU4NFRv9>51isORl>?Y*L15Pvj5h`ec$xXWu{Cf3EN3Ugac=rYer zHtgQ#F_zk6z(T; z;Ql6AnPgDV?iSqdfZD?SMii4U z^v{quo~|)R*=>lx|MGW1_3tK2KT0yxT)crfrb~SQ+7(=+ah~@QN493ey`qL#!Q^teIgHBuWv)%o#dZo+$+Gj)0cW>O4N= z`(8#WAhU=W&tK+jCpcpZ9l@I%`Yck{eUQ~4ws$91J>2*utTy;hxY4l%{IK9(Y8DeN zUp^g0-*a-CMb#1tY*JB5NSi>C)D0aOeIy8FNJcZb?$l-K^Zf5ZyAP375dmYqu%z0S ztj;bSU$M~>{`!Z|09U8pV7!ChnbBJw!iLv{Eb&s8%v_E=4&Nu{X<3TgP@3k;GCLFs zWO!&xwrmAqv;orF@4`dP-!N14BAz2sz3Pi%c%zceyhjFC?#FLaR0Dav(HFqMvn!3Fuz6EUC$y`t{K*+Ji976hh;<$u$2>2)h9M*G7r`#j3`Qrx`4g8EOPAC>#OJwg| z+?s?>E~)RSt@(c$MBWLTi#(@57NTSC!;y}yEEA7@^SaJq?IpSwy2N&vN>&^s_@Z7J}H9jQ+G)4k$1qdhjm4d zR=!f9;F*e2LfQmEW+cNyMjr`68IsWquG3_bfOw9I(3|eTbO0U2q_C)FMZWI}R(5;-Zwr|s3|cP^YBN4jp+{N(OgB!kA7xs=>&w{Y1ct(liG7nJ%k<(U%7!&+PJj@T=pvTx*Fj)| zvt?yQkH5wXSC4tlqiMHoliQpy4|ef|wOW)i^JuneC7gwG4!-~kI1Dz-Rk-n;+7_7C z-Dv|X{ASQ>TFtv-FSM^kC1D=c%LqnbfK3>IW!r*`Mm%F(RfC_QA8&OU8}S941>~Xv zlbj3S7d#mA>7gl;3-w?w0T_Q<$KbItx|2nSxxP7rX=3q&6)c4Dps_M>l}C0Bt#lpJ z#Q4R1b{_hIhvAcYQZ4L%o7sd#)%;W-_PML_Tb*@y9Q$4vpSRjm6LnUO_pHA|zkAkq z9T_h3$dl^Bbzdf}=Aq6Z3>jpWELp(aX$7Ek+aPcU_!<1tfGG9BN44a!6_o~qi|Dg> z;jA$S?iGti3|pT6tT%^kCXNJJKXs>gH~bKG1*pL|`X4K@#GDn7*|M-X?moO6(o$8T zlYCkB2hIoQexywlf@n@$(>#}90%)3Sl986rP4}>3jEt9hgQfNbBzzk!DloP9)$mEY z-`u}7xnJeVnml?+7Ju^Fo-7=_+&jtADj*Gh532u*4~|Krx@5AYZW*_!Z9_NtCCO%? zsZbdR;|!E^1)a3EO*`dqADRAQ7}dA%R5c}iBbHjfh;@ypUT?6}4zg_Rg^Bc-jMY+1 zPlw{=u2Ip?$z$`jgkpR0IWGaoEUAWHiM+ZpPH@!M9GVC{7k>*=FgL@oPG1!LY@=Bp zaM_z!*Ri{5gj%hxn)in8nh=x7pPM5}=9&P{20v7Vv}Mze9gU80>VBxsVtrjhD;pPVfn&94_rah8I|+ z?usD|Xr!*)lOmU7pJ{ONw6N8NvPp^lXC!#7WDn&zjj^P%KsrccP4RA}WqXvnG zH^jqE%yzdPpGU^(dwgt?Tbx6`X;E1Refgiv)<>SQzATSeG2gdnycaLCqw=4Pzw~y0 zWv!SU^ge(667G@Jw^{~%HkdvKOjaAibXerBU8FvL2G_E21~Q z1M}+NUoMs4zeO^8>I&<5`{rc&12DU%4+g#|V20q({%OU^BhjK%*LVL@zlkjs^Kb8n zmu(gaxApgdxa|thEk3kxkB{Di>GZE1iXOWjX8Fn6F^*zN)VwQf>k0FiqU53w?a*H3 zPdN3nyHdumJT!~X(47>r4YGU5lPN93f)_AGYy4(^4{)0|fF$FN?%?JY z)&eM|0j6eFl)9V4tX>P#%e5NUJ39x!HqDlZ-HYosf8{k3GIV5GC{;vFD1Lyrlcl>Y zU?CPH^;wIf5Y>~+2zZh)g|dVt(c=r`rkO0hInJyV(TxD4xTXl6Wi0IZ+Y$=bil2iT zI`X7#QwX8`MFre4P9m4`!t#*uN%PE*uCzbSF(>TmWWXGw{=im;rNsx)Y57bc)R22F zZSscGW7F#*z2n}jXi5b0XXxllQQI~yhjeelZUHSQLR~I+&L^ym z#iHXlyL(WxjzC>V*6+HdVu{-sWP6L;aMzgKK9VA|eBe$+K^9s8-K9++u3{{fW}nlo!LojXQ24HKC@(Az z&gZ{Q8hvRWc@>j3FbY49TR-zMED@LN78W3$^8IoD)xH;f%Z}^QFj0?vzmj(`eddjG ze$87I`Ki!mMToh_9F(V$(i&o!vxG8Y=QClH4qc``jjv$Evww|Mr?-TCuk-s4#;>|4 z0Z7)=zI8(+Pw#Wxl3jkGGARdtK+KoFzQh+Liz)gRZn3K)5nsVEhWI3>EzQ8Q4{4Q5 zsE|QXON_>?7P*bw{cREV`Nma|Z7(qKpT)}R%`oWMdUXU!LS_aW zp9{8kDK*;i<%{yptyRt1!nQd9R2fx6Z+F1i2^nh*lj#lKaDbJ?Um;D;Qpq6g)D&;Y zE&%y?X=2I~78NT)Az|Lv&%Bd|yJNikDaJxMMiFM=Vd|Lkifu<+<&ZMWRcWWM9OT&s zb(TN@r_L^}y=`n*n1DgN5ICO^W1Y!BFwNVaiyp_rC`+Zyaf=XW$VTO79tp$_1VED6I)W{SDD_z4A|;EJC#3(L}-2}pfj{w6xA z&t4h1MX7}4k*LeXe5teFp-6^I=(Z>yHS_A?)M0Y8uN^?(LGm5SfVJxH}(s z_93kT?&9)12x5j}s@{uRitA=1WOfQDXj~=F$af}|Z%BYw<8C;@=iv=Kg5@{h4XX`r z$-7+a)g}1Z%8O&s`21AEbH?GLiCcJ@levP!asl%j1iSWo%ff_hoU7r5_-`6)Ny zXUZ_EU=RS1cQkR$DHG{DW4t82X_;J8%zu>9lp+>1i|6DLG$W{WoN74QnCY~^khtc2 z3l3DTk4Z0ejm~7yrSg-BG58CYBhCvnDGaP^V?2j!3uoUwkX^N4+q6!xFqP0u~ z#(CH8R+U<%aiG3mZ;@m0dwXOSj?GEo)FTTlXUGOJk1R;15ccEH^_3N+;i< zS|N(v0;`VVCzc2Loc^Y7b!x70i7PX5On6*nN^ zQ%3anMngX^GrjpFAqLOh)^DFR|4|p$ZvM-E;=Xm>%2z5B;L0c^r8UG-Aqi2)RP1~v zjMAaYmd^`&!r6P?j{Coab+0p@i~jyy)^bc=i$>%OlGTM5M?75}H!}YV*0ZN8p7F27 zkoIi+25v7_&cBZ1=GViT&OVAsg}QhK9%;VZkl}pb*@v_WxYH`ngCO4B##Ft@H>|%ZTYT5 zJK6S&-Q+gq%Yii*qzgP6{CH#Y90o-MGz7Cv;d1QH@O(1V4x)LO4&1J){FoOa6c&{@ zi>h9fIz&=nf>E_R;fL!HotX{Ys#xQ}JHn$Ww{4+`-^eAX7a7isDJy5Rj^{efRkpp* z7tEhc-_iZ?qF>}UTm6&p?3vNfa%*gRPFI0Eaxy}DvoS6dI1J6TyIpYX+v^A{)P$je zyiA$&dvQ7+{zeRv(Gp47c!5hbkqFmPrOFho?taYPg|CxMe-$dUdrNhDKIf?3gNju* zb10}NEj{oY5TOJHNH>Gm27ac1r)BsboBCzSRV7U_E7ptT*bMZAM_JWR(1Hx6qd%&M z)dbV)OwFvXHTc0FUmlmF1bV^y_E%>g;276`LkAOK>010c$JDL?iz)QXi0BN~wOaZV zkWOGrh~Sq!F$6N%v4)k!Pwx#6j>FiDwt~&o%06%Hf$z0araYtd+hxHV_>josS97bS zHWA!o5c+_W2l6v?Fxd>WyS)@^qKiIyGwI6R*_tlB20ogv9b_ET*4Cwg!@>X;@E zR=@80=&iC6$@dGn35E8)P}*O%>cPU!*Wa{+89Waax49oZr(EXFjA5hDh2TUOpl+fm z&&i#?D(^KtxFp`nI`ZP>Q+{2%yPzPO1f-6{V;7xSW`*xtCjzh;G+P86R(V{Hrx_ZX zV8!ZWo^jp`>PbE{g@r_OJpsEcfELvmwEkEDg_*+!;G>pra6`(N*eq7)}Lq0y=#NDIz5xH(7O%lZUEA=F=Gj2Zusy2Cy_q)m|4m-wTuaM>{oto~Cet9eJ9HH$H1- zu&B=H1r}uIl4qxqPZ=c^DM}O;7(38cBAG;JzF~^qy?|Dd@u>iJ@dfkVxErM=(Go&6 zK@?Y)(6~BPTvrp8XLWWNVr@5!-eCn~hF<_IQIs$;XQpz$y{#yxc9Mn+P%t0+DB0$Q@AI6|zg(cBaN8^9amv zld7v3MB<$}Yqsn&VFS4^1L1NGOjxEJr1VL(GlFHsnI_PIe+7GN*I%#x{}rpEbl`Iu zDEI85i?Xzf-zDZ{(@}wfVe?nR^BfOlD6NTEjhBgfkz*Pkj=QI{$Jzz*DD%J~F?4iT z0nDm0q*-c~?#y7@=|e~nDFMBctv>7a;KN#<1jln8b>*eZX(|+)%ImJstWX&UIw17iCB(d$OkSdDa=%v(Zxove>v}QY)%Vi%Z0nXZkr-+k27g#*g6F zU>Cp*3qe~vWCA*U2+5^d8_(lFDouU9q_u@#mU}Z<`u8Tr@4ca7${h?+QA#A6LV72> z^C2wnL6R9Hvw`p$w1nj{rR8A7D7L+GA;ZXQU?mzcP-(O8>ve@+t z9!>7}9t|!GeuNZDIBhe7z_z~Kk`K9q3=eI|wsx9ShO{T!QV2tK7g=V9Vx&YR>1ukJ z?-*rpCVD>pA`Z38d$aJx@B(@=p24Y@?7G8TvYP7MvUCQLuh|FvsD$uV>?q!;+*u-j z%Ou+RiJS)8(ULpcHklQW21qtt;ll2za}~xsUM(;yr}j6uwJhLh@2iAd`hmCLP!S1E zbDH|Vvma>_g^(G^@W5g#!33x@+0KllKIB+LhJf~DHOZJVB;jR#=k>Ns=i|$V#^ODT z0pC{YsSg4Sewk!TRuD$pm840oOf+p`@e-fzXJe}Wdm5=bNNxXIJ}5A@=c2S~YA;2KTjb z2jBGWda_&**-)G=G8#mGvC3W3Ne7nvSH-UU;~fW#TbYoLI;YSQ=0OTULdgK04z`^> zgcMTB6MEGS<757Je$d8-%Ny{T|57105euMu>!yQO%d`QT_GDWD9YR^A$Py>FgbW?5 z_9d7Am6MS)J#s7}LqKk_SZ*%$iPiDCSYit(_?^8rbr8b9sg zaMlabBj(O|moN`f2y&4c1Ib|9#iuB<7Jw#C>jv-+<0GolHF!WbO>dcChKT>k7?+ch;4b1Ae0vwCb-VYND5`VJhDj65YR1IU1P}l?b?FS zBbQk9y73Z4fVDU{p>Q&E_k?DpZLc7VwkxS>kF|ZCj73*OjUvEB9nL}{S$D*tRo8>I zZ$Gts6I_>b6@JRfa%qvp7W|Ni&9ygqCJ7s-8gi+v*3rO!MNxb82(*Og+^@JjQi&)R z10=sTZI>Y3n`3)GdTmnIrRFHq)O=RrBK&#MR5}{6wX^$F{CW?V%(Wqcb=`H!ojwdM zqWom%6YjQ%PZI8cT}6mep@7X#HWezvElI-Ak;z!s^c)1pG}%N!3mraWSV)G5X0mpX z7f9B92e~ae^YA7P?2~OC$6X&*Z_lAks*<(s-o4!( zTi@;`Ra@#KJ*A{zr*X+r;C781lz2&18Wg`>#3!u7wkY*vF3I$udlZu}-q~~4u{ASJ zw}xNjqnsAI8fiBxeX{COc<&(Uz>uzOK&DT%y{0i(na-+(Cwi z-q2Kp41{q8LO+S!m5*~f>e5tVYvIzo2%PT=eF4FngHM}fdI^$R*C+=m*(IteOnt+m z>PJz7RzzQk_mj~53)JT2pzCTjm6o~G zHcqEwev}-`7 zku%BgkO*mc(LKFpfl*2&Dp8r6qiQ^e4Qvu0h8B_`qI|N2{Ce|hSVpE$Aj3n+WK*p& z&Va~z$48r*91zDLo1q5Eb-hD9iNc~{H#MX}4U`)53c0)@06O;y5HlSOdz%L-1nNkQ zfn>0CKK)r#)N0DJT(X4)VTtI@D>ZF^=qK5P8#$8<4~f{87YRankMPvvlOm>qHqKZg!cv(iKP$0uYVY1sGjC1a!E(@5WI(Js*!a>g^^7)Eu&R0Sg zeLB?C)X<_TQH~32)q|hUE0J2erXvTSN_e;O*(Ov5!Z@Vfn;;%XXu6Z(7O|j?O%I(<4IwF%uzbQTb)U)R^TVye z77>|UB9ol9Hk1USpJWqm+wnfNvJDC=mY46DZ*J0$v z9RW5Mvt(SVc+p(4y@1@JwTOKG$O(Pljnv*Ad`bSrgeE7L`E;yBIQw zX@fu|qNKEj*!EV}p^p^N$361%2l+S|L~f<1&twaEUJMqM6XM+x4!WZ%RL&4^X=|Xp zJzv_Ao~v|$TOZg5mtAB8l*ly)fpaJBnLgD=U*1gd{MEvRbC*%iokhaIAFxGLdJIi6 za6Zn;L`vEiKw82xV?2HQ{wyjar-+p#yY1yKa1S!0KB2i}5ZYUW)W!lz@ymf`k~PG( z*Zqvxz0*s27DmmOT0VVXQF#{UauyX@YgXIE$&z|%eU9;8G0|C6XHVwrqh53|T@x&y>ur_TW@0L?v_I9G41-;L5d^wJ`P-({9ZKc= zv4uRQkJM}**iz8`s$eOW+d%^=GVRZzvfHz9uGc%QDRqM@j-_o3AT8m{Su!rG^Xbo` zLUhHitZ74NA+gX6Q5}X%>VrTfqBk@VDho-hpLVgch>YRX)1vZ5LPLuxRvEdKqCS%? zy*4Z(InM8 zq@e}|pn*Ym(gVoS?qmUr%I?mb?Y3O$Zp+Z_%nwJ;J}s)mpDfY&)SFjo^MHYpNpI-RT2wA0&mXL`l*rr^QbybPc`xKeKzAXX{ho3M ztdC@sY#DcuggL4~vK#UmY5*D-T2v*fK}8nWo_DXVxFCGDQ1E!XTz0qQXV>P#E(ZOr zqiUCA(4$K`?*Y4&{L+z>jfi8b#jkTr?HbSk&c6ctCZ!`a;}($;fMk6`M`5xAO)oaQv`?ZKoUX`z0n~h9)A@vr)GH$c0F?9EaW~5EE$~Xg~S|!NCkE!Z!DeljapO4HpN#={$4KgYcFLj>%Pf{5OHg+U zhrOsW7?h6v-JxAAh&ZN*p`$B88IsWquG3`GfCb=o^C#V7ZBsKK!$V1FQ>`-2K*)~* zIXz5{;!+MoJa2}L^3tZbS3hSN)uw&sIrG}34A?K9BQ+4;j_u|Kh)LFS zr2*9_X5$jKsA5g0qajDqPAecYrUH?g2T6eQ>Cd9F;L~Tah>=6cx@B;85mKRm=qK5P z8#$8<4~f{87YRankCd9FgGxBz(`;M3#3z<^F{xPBSMK60 z?ux8U$W6>gTe%iyi#_Kc$qbTNcV4!;cYnTNz28r|_o1m$1z`HiaQ|pn7Z@@7u`!TF z$4DAZ7%Tl*RLpA0WS7f|WeKESI}DkY4?;^OYXMEUHLZ)jYz##2$O!hs5jpd~{x&5t znIbX-lrn9!Tovret6`Z`vvL}`dqO+wfhB&BWNM?f4}M&+Hb^=$NM<|B%k;;-TrDZj zv(MzqGafv$Pm5~s88}}Y8|N#bQt6mds3B;mfs6(&(vGSh8w2uEN<+Dm!M2MJgNvxo zWQ*8|C1R&6syKDSQzEwIMfdaw0d-hZU3_9>&Jnx}gUctI!wf-Gdv9Krk#~zl#k}-E zBYj}YKnM!rZb zs$#i^h@l3C8W?I|sDYsdc2WcV*cix1VjiU9au=U6+3hpgLY9_@-EC2I+E|5B5ny;Y zFBX+pCN;FEsD&X7HE@w=KnI=Ag+VhMG{45684mdkH83y@^l91*EvixzT_~Q;8L3X6 zGNot;8d_9kR5ip5H89kGh*Ak1J+-B8$4w{vwg)V9_%LQZ89a4YLb@f}v4vMYFBf`a z4_*|Z(drO^QvA9m+eKPKEIZSfl&5Yv?h+M9OL#_uoj!!*QsY&9(Z zP$j&iw1(K0qC|S{y?7kRbSJ|t#shV1df3G$M&=yxYEqxc<}gDLZWXqO$m|lC$bCq~8ZgC>A!4Y3v(SJtPJZ{4LV&dRW&4;;A3};q2`Haz z5xYEIX-5hsN=7A`CR2QH}XBPTQmQiwP;5~XvtGVe81BV*8fEs9Az_iOHJ%DXq z$|W>JISsV;?L(FH)PRXc%%0)HfJ6i89PU`s#y|wiNSQId(}$2EQUb~+Tf{Dp*U+NM zYHw&!W%V(<8fxIu(STk$qU^9Fb^!c$)0a@YinGwww=Yla3q1roeU&$DEH!qn78R1~ zGg+qEn+$?_i*PYpRQ&u&M%%r`EH&L<2fv|31tkn=sDWY)u(b`6%pjQ!d0mtmu!GJu z$A=xJLAQf)*|p_hxq&|}^<6=!2(oh3K4Gc9fNDbIQG9$0^(@`mtN=MRUY_;_57*pZb8PMqiSRpA9 z<&!Psm%}SH7{ElysARH+SSlo;4t*GgbX8f`fKDi3xkT%y&t!A?DMEW&R4vW)mn^xu zxIAAVst!0^rP_xy)WA>!Wi-G}WsszUWH#h=acZD_@EwE%dAS*JD&;R9j4ZBj*4m-(oh3K4Gc9f z)WA>!m!t-iQstWmDFjH1U)Hqf^dY2(lz{Td7O~6Ym6|qSqGVJuSwn2QnS8DmRhkh? z&g52#`b@TvXLqyF+3%@lz@(34m1#2WkcJu{dG4d!an{0MTc%xjZ*9$XFsWgH5v^nrJOu0HU8{6K>=T>L)7T9o=9TAICTE z@SxXkvU%J@YI}Pts%?!2nDis}VRrAuWH-VT0^}Bc*-Z~SeF({=n)I7& zE<3Db&J!UO3QST_j^iQ?*dqH5X;TP8FBGw64wB3unGJ;3pd}ngrphy6+dCIBjGS+t zrw|}BcDoDc^Z_iN&|C}=<&(|lH=WDNGBUdzkl~?ZvT3izI71q0V5or$sDZRKCI*Bu zGaZJLr7x%>po>q8%s=*-Y(6uDS|YlOkana%^pkADjhsn_hmw69kZH21$~t|>v4{)- z<&!O9m&eO8GQ|cN9!e&gYL#(@G}ORu)WEKv)nC|+S{vqRsDYsd`qDr;8YTvWGJ0wS z{QvD-&#xRu5$=6Qphceg2d5(0Ea05KpKQ(4hWf? zIQq_o6XLih6#ffKi(vur*?KcvukRs zA%r46Yn5rUd;~;%2vW!}q9(0p12fEGGtT!df@ zip^qEm{wFpjG8K-3aA1%L4i&8gY5qCrS281?x0)^m&*fzFu9Zm{$d;P4Kbo7t!D!>%wkhmV=z+E zddV&V4+yhnfhbR#S!r1flIhFNS1YYaw8TG2A} zfH7&D&H_-LHc2;(f0>IAe54iC8|i^pw|-C^p#iGEja2}PN=w5+t#-2&!1c1Fxt3(h zTs1WYvq*X1ugek7a!#!QaWxWAbbtvup>Q{LWZ3+X+0arU=|xcY{HI5IP6Hi z-Qpr?eS_Ykf=p_vfGVI0r~<0MX(~`tW3U3gJdn~N;wcfTGHsTUfQVN(Qra-0Caq@! zGt6T13Kdo4t`!(_W&tQqo1;r{5rQ#nHj7PRT2U1-YN~)LaLfu^^xpYR?;X_s-Czae zYUm3H2Qh-eiQr`m8X}$%nch~WO_Ome0TCa9R#ebtZ_8y80i+exUMl^h3LK#V4t)+* zYJxXdBH4G?a1nSAnKsM6x;sG1)20c3l;GOzzw0r|@n30L3oI7E(H#CM?=b;BQ!w-Z zlC;hJsvak~hQ`09h9F;x8R`Hp9IXX*FO)G4c>g7w%WoqT2&01cHby*POWK>&X_It@ zzbd>0B02^a!F*jttrKIGcV*O7Z>l@vsv`~pX3I|cBt~RVDWv#v}Va7-PJJ0-<!KOoZAF%u#~VbYzRFJ%bEkC zJZ+XQ!6geRlQ<9+rnSIME2=;bK?FBPeCVt}Izng$Tai_!&2s9Bo|Q-er>DTC`yuIT zUb;U-+Cfzyr+@>Xu*P5{0Y1CNhaNz3<^U*9o1;r{Ng=}|4n&1%EwEZqIml2b8K7#a zfGVI0+z18qo#{8?Fjo!I0bPEnIhV*1g8{;UtY(kLqfaa_DyYIxP@J693Jw@u3Hh zoH+o>)8^<>T-{Vux56ho^5Z&CJ1toWU8178E9^{EQOG&uMeH@&7mafd4~jMB?1PS4 zcjIans@A<$K2<;!=ve`3-MOn-s2sX2SJL2`Kf9s9NCJFzu?;k5MUCM@XHTZn>3Y??@4x?HwM`kxP7)gN7N{i3~NX{Gp zZj0yrkrU-l~YLDRRL9CqQLCd`0_U>+Jzjh zWw*lBZ9*RZ_!+w^)5eK*-simR5kAM3zq|WK7Z_2K*0X_`ttOP=heE|X18-{ct5t2< z6q_+m-&z)G@{9+Q)Qt8(sPQ$0!7CPDqHDnm3)vSogdT>a?dk6Ah~;V1e1^X&EOW^# z7MWy&OZ75T-rG2XWRkzuX3Wf%W?Paq;c7j?CY>rL!MXP;W)U0|kny#hkzqsV0b|nm zbg=-Gr%lqCcavO%U@SXq7MsGfvZ{>{h*Zldu+upQ({@#$P60U^eF5PR9~4dmFI&(M z@r=mywlZy+j9Uqa_z+|vWkgL{&jx0g#inqLW2B@N$wChpb7lc3Pn)AlaS?(sY&MHc zVOmiYF>0znj|y~uSO20%)wEBlfGSX>fLslI0pSoXRlw|;8fyrlh|gMO+AJRd5g&pS zGK{E6>)F5zv)Ih^@Cb*NnPj{#X{90bATDPXfbz6Cx)c{77=vQ7*c7G}RS~163aA3A zz)etK)BPa3e|)KX1*ENz;SIly^(6&10{79;a& z6Lyv*Lu=CRaO*M`Ar|ax7Mo>gFb@cLHC5n*6rk2)*_~UhX_fDY6u`>a(rinzuDFg= zsmjtOxRPF?qQYUTOq)H@1Vp^lkXu8Hs7dSDzznn46xJAwl(b&5i@*cItXUw+(`NaW zxi*C0-KuZ#xiGD$ikNFMJj&M6@Sxaf+L10)r+F}X9Mz{ic52!u!n&lU3aA3AfGSX+ z02N@a7oto0_39tVu7@Nd%B5U%si>-1yli5;1m?_KT4SuO@?#k#vNxY9-dn9KjU=?4QuvDgV}pH1khp| zIFa_;|JLL~9X`}^AqreH{N~0x=;_QOL-F05L1j>}p7kTt%9{0NJRXh**_%*?ATA!+ zn%N7#H~dJr+W)rj!hGPH499YVK==OAPj?)Cw!CND8I%0Ud}8je&G(1k!?bxuISEF} z{gm;uf1nt845*Cgo<9l3Qwq`CHuh|i^#|rdsm%Vx60D5uPEh{inf>VVX*)6P)BkRt z(a-AAQIDd;6aG`}Qkvz!8KD2xRdg z&kUzU$hkY@aOg3{48bk`?K(Dc*nLmMF>*YA^vn>C_f41LUUHor*%dpFc%lrja`Gd!UYc)q9BxVmTRkPsn{vQq77f!1GjTY2 zOLH}xnjA8pnuoJlrnk(I!;sZ%COS;W=X+8=M`U`BCjd{H;jA)#p82Uc?fNiR8R4h* zIC*`@Fj~y!Nr+?_P9i@R9NoA@&Uju_H^zL=e8Y#!@S$J12aj)KN@9YHu3> shamt_rs ; // SRL - 17'b0000000_101_0010011 : o_res <= i_num1u >> shamt_imm; // SRL - 17'b0100000_101_0110011 : o_res <= i_num1s >> shamt_rs ; // SRA - 17'b0100000_101_0010011 : o_res <= i_num1s >> shamt_imm; // SRAI - // 比较类 - 17'b0000000_010_0110011 : o_res <= (i_num1s < i_num2s) ? 1 : 0; // SLT - 17'bxxxxxxx_010_0010011 : o_res <= (i_num1s < i_imms ) ? 1 : 0; // SLTI - 17'b0000000_011_0110011 : o_res <= (i_num1u < i_num2u) ? 1 : 0; // SLTU - 17'bxxxxxxx_011_0010011 : o_res <= (i_num1u < i_immu ) ? 1 : 0; // SLTIU - // 无操作 - default : o_res <= 0; - endcase - -endmodule diff --git a/RTL/core_bus_wrapper.sv b/RTL/core_bus_wrapper.sv deleted file mode 100644 index c561a99..0000000 --- a/RTL/core_bus_wrapper.sv +++ /dev/null @@ -1,109 +0,0 @@ -module core_bus_wrapper( - input logic clk, rst_n, - input logic i_re, i_we, - output logic o_conflict, o_conflict_latch, - input logic [ 2:0] i_funct3, - input logic [31:0] i_addr, - input logic [31:0] i_wdata, - output logic [31:0] o_rdata, - - naive_bus.master bus_master -); - -logic i_re_latch; -logic [1:0] addr_lsb, rd_addr_lsb; -logic [31:0] addr_bus, wdata, rdata, rdata_latch; -logic [2:0] rd_funct3; -logic [3:0] byte_enable; - -assign addr_bus = {i_addr[31:2], 2'b0}; -assign addr_lsb = i_addr[1:0]; - -assign o_conflict = (bus_master.rd_req & ~bus_master.rd_gnt) | (bus_master.wr_req & ~bus_master.wr_gnt); - -assign bus_master.rd_req = i_re; -assign bus_master.rd_be = i_re ? byte_enable : 4'h0; -assign bus_master.rd_addr = i_re ? addr_bus : 0; -assign rdata = bus_master.rd_data; - -assign bus_master.wr_req = i_we; -assign bus_master.wr_be = i_we ? byte_enable : 4'h0; -assign bus_master.wr_addr = i_we ? addr_bus : 0; -assign bus_master.wr_data = i_we ? wdata : 0; - - -always_comb - casex(i_funct3) - 3'bx00 : if (addr_lsb==2'b00) byte_enable <= 4'b0001; - else if(addr_lsb==2'b01) byte_enable <= 4'b0010; - else if(addr_lsb==2'b10) byte_enable <= 4'b0100; - else byte_enable <= 4'b1000; - 3'bx01 : if (addr_lsb==2'b00) byte_enable <= 4'b0011; - else if(addr_lsb==2'b10) byte_enable <= 4'b1100; - else byte_enable <= 4'b0000; - 3'b010 : if (addr_lsb==2'b00) byte_enable <= 4'b1111; - else byte_enable <= 4'b0000; - default : byte_enable <= 4'b0000; - endcase - - -always_comb - case(i_funct3) - 3'b000 : if (addr_lsb==2'b00) wdata <= {24'b0, i_wdata[7:0]}; - else if(addr_lsb==2'b01) wdata <= {16'b0, i_wdata[7:0], 8'b0}; - else if(addr_lsb==2'b10) wdata <= {8'b0, i_wdata[7:0], 16'b0}; - else wdata <= {i_wdata[7:0], 24'b0}; - 3'b001 : if (addr_lsb==2'b00) wdata <= {16'b0, i_wdata[15:0]}; - else if(addr_lsb==2'b10) wdata <= {i_wdata[15:0], 16'b0}; - else wdata <= 0; - 3'b010 : if (addr_lsb==2'b00) wdata <= i_wdata; - else wdata <= 0; - default : wdata <= 0; - endcase - - -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - i_re_latch <= 1'b0; - rd_addr_lsb <= 2'b0; - rd_funct3 <= 3'b0; - o_conflict_latch <= 1'b0; - rdata_latch <= 0; - end else begin - i_re_latch <= i_re; - rd_addr_lsb <= addr_lsb; - rd_funct3 <= i_funct3; - o_conflict_latch <= o_conflict; - rdata_latch <= o_rdata; - end - -// assign o_rdata -always_comb - if(i_re_latch) begin - if(~o_conflict_latch) - case(rd_funct3) - 3'b000 : if (rd_addr_lsb==2'b00) o_rdata <= {{24{rdata[ 7]}}, rdata[ 7: 0]}; - else if(rd_addr_lsb==2'b01) o_rdata <= {{24{rdata[15]}}, rdata[15: 8]}; - else if(rd_addr_lsb==2'b10) o_rdata <= {{24{rdata[23]}}, rdata[23:16]}; - else o_rdata <= {{24{rdata[31]}}, rdata[31:24]}; - 3'b100 : if (rd_addr_lsb==2'b00) o_rdata <= { 24'b0, rdata[ 7: 0]}; - else if(rd_addr_lsb==2'b01) o_rdata <= { 24'b0, rdata[15: 8]}; - else if(rd_addr_lsb==2'b10) o_rdata <= { 24'b0, rdata[23:16]}; - else o_rdata <= { 24'b0, rdata[31:24]}; - 3'b001 : if (rd_addr_lsb==2'b00) o_rdata <= {{16{rdata[15]}}, rdata[15: 0]}; - else if(rd_addr_lsb==2'b10) o_rdata <= {{16{rdata[31]}}, rdata[31:16]}; - else o_rdata <= 0; - 3'b101 : if (rd_addr_lsb==2'b00) o_rdata <= { 16'b0, rdata[15: 0]}; - else if(rd_addr_lsb==2'b10) o_rdata <= { 16'b0, rdata[31:16]}; - else o_rdata <= 0; - 3'b010 : if (rd_addr_lsb==2'b00) o_rdata <= rdata; - else o_rdata <= 0; - default : o_rdata <= 0; - endcase - else - o_rdata <= 0; - end else begin - o_rdata <= rdata_latch; - end - -endmodule diff --git a/RTL/core_ex_branch_judge.sv b/RTL/core_ex_branch_judge.sv deleted file mode 100644 index 4e1cdb0..0000000 --- a/RTL/core_ex_branch_judge.sv +++ /dev/null @@ -1,26 +0,0 @@ -module core_ex_branch_judge( - input logic i_branch, - input logic [31:0] i_num1u, i_num2u, - input logic [ 2:0] i_funct3, - output logic o_branch -); - -logic branch_judge_res; -assign o_branch = i_branch & branch_judge_res; - -logic signed [31:0] i_num1s, i_num2s; -assign i_num1s = i_num1u; -assign i_num2s = i_num2u; - -always_comb - case(i_funct3) - 3'b000 : branch_judge_res <= (i_num1u == i_num2u); // BEQ - 3'b001 : branch_judge_res <= (i_num1u != i_num2u); // BNE - 3'b100 : branch_judge_res <= (i_num1s < i_num2s); // BLT - 3'b101 : branch_judge_res <= (i_num1s >= i_num2s); // BGE - 3'b110 : branch_judge_res <= (i_num1u < i_num2u); // BLTU - 3'b111 : branch_judge_res <= (i_num1u >= i_num2u); // BGEU - default: branch_judge_res <= 1'b0; - endcase - -endmodule diff --git a/RTL/core_id_stage.sv b/RTL/core_id_stage.sv deleted file mode 100644 index e8b562b..0000000 --- a/RTL/core_id_stage.sv +++ /dev/null @@ -1,79 +0,0 @@ -module core_id_stage( - input logic [31:0] i_instr, - input logic [31:0] i_pc, - output logic [ 4:0] o_rs1_addr, o_rs2_addr, - output logic o_rs1_en, o_rs2_en, - output logic o_jal, o_jalr, o_branch_may, - output logic o_nextpc2reg, o_alures2reg, o_memory2reg, - output logic o_mem_write, - output logic [31:0] o_pc_plus_imm, o_imm, - output logic [4:0] o_dst_reg_addr, - output logic [6:0] o_opcode, o_funct7, - output logic [2:0] o_funct3, - output logic [31:0] o_next_pc -); - -logic [31:0] instr; - -enum {UKNOWN_TYPE, R_TYPE, I_TYPE, IZ_TYPE, S_TYPE, B_TYPE, U_TYPE, J_TYPE} instr_type; - -localparam OPCODE_JAL = 7'b1101111, // rd=pc+4, pc= pc+imm*2, - OPCODE_JALR = 7'b1100111, // rd=pc+4, pc= rs1+imm - OPCODE_BXXX = 7'b1100011, // conditional branch, pc= pc+imm*2, - OPCODE_LUI = 7'b0110111, // rd = imm; - OPCODE_ALI = 7'b0010011, // arithmetic and logical I-TYPE, rd=alu_res - OPCODE_ALR = 7'b0110011, // arithmetic and logical R-TYPE, rd=alu_res - OPCODE_LOAD = 7'b0000011, // load - OPCODE_STORE = 7'b0100011; // store - -assign instr = i_instr; -assign o_next_pc = i_pc + 4; -assign o_pc_plus_imm = i_pc + o_imm; -assign {o_funct7, o_rs2_addr, o_rs1_addr, o_funct3, o_dst_reg_addr, o_opcode} = instr; - -assign o_jal = (o_opcode == OPCODE_JAL ); -assign o_jalr = (o_opcode == OPCODE_JALR ); -assign o_branch_may = (o_opcode == OPCODE_BXXX ); -assign o_nextpc2reg = (o_opcode == OPCODE_JAL || o_opcode == OPCODE_JALR ); -assign o_alures2reg = (o_opcode == OPCODE_LUI || o_opcode == OPCODE_ALI || o_opcode == OPCODE_ALR); -assign o_memory2reg = (o_opcode == OPCODE_LOAD ); -assign o_mem_write = (o_opcode == OPCODE_STORE); - -// calculate instruction type -always_comb - case(o_opcode) - OPCODE_JAL : instr_type <= J_TYPE; - OPCODE_JALR : instr_type <= I_TYPE; - OPCODE_BXXX : instr_type <= B_TYPE; - OPCODE_LUI : instr_type <= U_TYPE; - OPCODE_ALI : instr_type <= (o_funct3==3'b011) ? IZ_TYPE : I_TYPE; - OPCODE_ALR : instr_type <= R_TYPE; - OPCODE_LOAD : instr_type <= I_TYPE; - OPCODE_STORE: instr_type <= S_TYPE; - default : instr_type <= UKNOWN_TYPE; - endcase - -always_comb - case(instr_type) - I_TYPE : o_imm <= {{20{instr[31]}} , instr[31:20]}; - IZ_TYPE: o_imm <= { 20'h0 , instr[31:20]}; - S_TYPE : o_imm <= {{20{instr[31]}} , instr[31:25], instr[11:7]}; - B_TYPE : o_imm <= {{20{instr[31]}} , instr[7], instr[30:25], instr[11:8], 1'b0}; - U_TYPE : o_imm <= { instr[31:12] , 12'h0 }; - J_TYPE : o_imm <= {{12{instr[31]}} , instr[19:12], instr[20], instr[30:21], 1'b0}; - default: o_imm <= 0; - endcase - -always_comb - case(instr_type) - R_TYPE : {o_rs2_en, o_rs1_en} <= 2'b11; - I_TYPE : {o_rs2_en, o_rs1_en} <= 2'b01; - IZ_TYPE: {o_rs2_en, o_rs1_en} <= 2'b01; - S_TYPE : {o_rs2_en, o_rs1_en} <= 2'b11; - B_TYPE : {o_rs2_en, o_rs1_en} <= 2'b11; - U_TYPE : {o_rs2_en, o_rs1_en} <= 2'b00; - J_TYPE : {o_rs2_en, o_rs1_en} <= 2'b00; - default: {o_rs2_en, o_rs1_en} <= 2'b00; - endcase - -endmodule diff --git a/RTL/core_regfile.sv b/RTL/core_regfile.sv deleted file mode 100644 index 42afeea..0000000 --- a/RTL/core_regfile.sv +++ /dev/null @@ -1,84 +0,0 @@ -// Priority : Write Port 1 > Write Port 2 > Write Port 3 -module core_regfile( - input logic clk, rst_n, - input logic rd_latch, - // Read port 1 - input logic i_re1, - input logic [4:0] i_raddr1, - output logic [31:0] o_rdata1, - // Read port 2 - input logic i_re2, - input logic [4:0] i_raddr2, - output logic [31:0] o_rdata2, - // Write port 1 - input logic i_we1, - input logic [4:0] i_waddr1, - input logic [31:0] i_wdata1, - // Write port 2 - input logic i_we2, - input logic [4:0] i_waddr2, - input logic [31:0] i_wdata2, - // Write port 3 - input logic i_we3, - input logic [4:0] i_waddr3, - input logic [31:0] i_wdata3 -); - -logic [31:1] [31:0] reg_file_cell = 992'h0; - -// handle regwrite -always @ (posedge clk or negedge rst_n) begin - if(~rst_n) - reg_file_cell <= 992'h0; - else begin - if(i_we3 && i_waddr3!=5'h0 && ~(i_we1 && i_waddr1==i_waddr3) && ~(i_we2 && i_waddr2==i_waddr3) ) - reg_file_cell[i_waddr3] <= i_wdata3; - if(i_we2 && i_waddr2!=5'h0 && ~(i_we1 && i_waddr1==i_waddr2) ) - reg_file_cell[i_waddr2] <= i_wdata2; - if(i_we1 && i_waddr1!=5'h0 ) - reg_file_cell[i_waddr1] <= i_wdata1; - end -end - - -always @ (posedge clk or negedge rst_n) begin - if(~rst_n) - o_rdata1 <= 0; - else begin - if(rd_latch) begin - o_rdata1 <= o_rdata1; - end else if(i_re1 && i_raddr1!=5'h0) begin - if (i_we1 && i_raddr1==i_waddr1) - o_rdata1 <= i_wdata1; - else if(i_we2 && i_raddr1==i_waddr2) - o_rdata1 <= i_wdata2; - else if(i_we3 && i_raddr1==i_waddr3) - o_rdata1 <= i_wdata3; - else - o_rdata1 <= reg_file_cell[i_raddr1]; - end else - o_rdata1 <= 0; - end -end - -always @ (posedge clk or negedge rst_n) begin - if(~rst_n) - o_rdata2 <= 0; - else begin - if(rd_latch) begin - o_rdata2 <= o_rdata2; - end else if(i_re2 && i_raddr2!=5'h0) begin - if (i_we1 && i_raddr2==i_waddr1) - o_rdata2 <= i_wdata1; - else if(i_we2 && i_raddr2==i_waddr2) - o_rdata2 <= i_wdata2; - else if(i_we3 && i_raddr2==i_waddr3) - o_rdata2 <= i_wdata3; - else - o_rdata2 <= reg_file_cell[i_raddr2]; - end else - o_rdata2 <= 0; - end -end - -endmodule diff --git a/RTL/core_top.sv b/RTL/core_top.sv deleted file mode 100644 index 829b60a..0000000 --- a/RTL/core_top.sv +++ /dev/null @@ -1,257 +0,0 @@ -module core_top( - input logic clk, rst_n, - input logic [31:0] i_boot_addr, - naive_bus.master instr_master, data_master -); - -// IF stage out -logic [31:0] if_pc; - -// ID stage -logic [31:0] id_instr, id_pc; -logic id_rs1_en, id_rs2_en; -logic [4:0] id_rs1_addr, id_rs2_addr, id_dst_reg_addr; -logic [31:0] id_next_pc; -logic id_jal, id_jalr, id_branch_may; -logic id_nextpc2reg, id_alures2reg, id_memory2reg; -logic id_memwrite; -logic [6:0] id_opcode, id_funct7; -logic [2:0] id_funct3; -logic [31:0] id_pc_plus_imm, id_imm; - -// EX stage -logic ex_jalr, ex_branch_may, ex_branch; -logic ex_nextpc2reg, ex_alures2reg, ex_memory2reg; -logic ex_memwrite; -logic [31:0] ex_s1, ex_s2; -logic [6:0] ex_opcode, ex_funct7; -logic [2:0] ex_funct3; -logic [31:0] ex_imm, ex_alu_res; -logic [4:0] ex_dst_reg_addr; -logic [31:0] ex_s1_plus_imm, ex_next_pc, ex_pc_plus_imm; - -// MEM stage -logic [2:0] mem_funct3; -logic mem_memory2reg, mem_alures2reg, mem_memwrite; -logic [31:0] mem_alu_res, mem_mem_wdata, mem_s1_plus_imm; -logic [4:0] mem_dst_reg_addr; - -// WB stage -logic wb_memory2reg; -logic [31:0] wb_reg_wdata; -logic [4:0] wb_dst_reg_addr; - -// write regfile conflict signal -logic launch_nop, pc_stall, id_stall, ex_stall, mem_stall, wreg_conflict; -logic id_data_bus_conflict, mem_data_bus_conflict; - - -// ------------------------------------------------------------------------------- -// conflict - comb logic -// ------------------------------------------------------------------------------- -assign pc_stall = id_stall | id_data_bus_conflict; -assign id_stall = wreg_conflict | mem_data_bus_conflict; -assign ex_stall = mem_data_bus_conflict; -assign mem_stall = mem_data_bus_conflict; -assign launch_nop = ex_branch | ex_jalr | wreg_conflict; - -assign wreg_conflict = - (id_rs1_en & ex_alures2reg & (id_rs1_addr== ex_dst_reg_addr) ) | - (id_rs2_en & ex_alures2reg & (id_rs2_addr== ex_dst_reg_addr) ) | - (id_rs1_en & ex_memory2reg & (id_rs1_addr== ex_dst_reg_addr) ) | - (id_rs2_en & ex_memory2reg & (id_rs2_addr== ex_dst_reg_addr) ) | - (id_rs1_en &mem_memory2reg & (id_rs1_addr==mem_dst_reg_addr) ) | - (id_rs2_en &mem_memory2reg & (id_rs2_addr==mem_dst_reg_addr) ) ; - - - -// ------------------------------------------------------------------------------- -// IF stage - comb logic -// ------------------------------------------------------------------------------- -always_comb - if(ex_branch) - if_pc <= ex_pc_plus_imm; - else if(ex_jalr) - if_pc <= ex_s1_plus_imm; - else if(id_jal) - if_pc <= id_pc_plus_imm; - else if(pc_stall) - if_pc <= id_pc; - else - if_pc <= id_next_pc; - - -// ------------------------------------------------------------------------------- -// IF-ID stage - timing logic -// ------------------------------------------------------------------------------- -core_bus_wrapper inst_bus_wrap_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_re ( ~id_stall ), - .i_we ( 1'b0 ), - .o_conflict_latch ( id_data_bus_conflict ), - .i_funct3 ( 3'b010 ), - .i_addr ( if_pc ), - .i_wdata ( 0 ), - .o_rdata ( id_instr ), - .bus_master ( instr_master ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) - id_pc <= {i_boot_addr[31:2],2'b00} - 4; - else - id_pc <= if_pc; - - -// ------------------------------------------------------------------------------- -// ID stage - comb logic -// ------------------------------------------------------------------------------- -core_id_stage core_id_stage_inst( - .i_instr ( id_instr ), - .i_pc ( id_pc ), - .o_rs1_addr ( id_rs1_addr ), - .o_rs2_addr ( id_rs2_addr ), - .o_rs1_en ( id_rs1_en ), - .o_rs2_en ( id_rs2_en ), - .o_jal ( id_jal ), - .o_jalr ( id_jalr ), - .o_branch_may ( id_branch_may ), - .o_nextpc2reg ( id_nextpc2reg ), - .o_alures2reg ( id_alures2reg ), - .o_memory2reg ( id_memory2reg ), - .o_mem_write ( id_memwrite ), - .o_pc_plus_imm ( id_pc_plus_imm ), - .o_imm ( id_imm ), - .o_dst_reg_addr ( id_dst_reg_addr), - .o_opcode ( id_opcode ), - .o_funct7 ( id_funct7 ), - .o_funct3 ( id_funct3 ), - .o_next_pc ( id_next_pc ) -); - - -// ------------------------------------------------------------------------------- -// ID-EX stage - timing logic -// ------------------------------------------------------------------------------- -core_regfile core_regfile_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .rd_latch ( ex_stall ), - .i_re1 ( id_rs1_en ), - .i_raddr1 ( id_rs1_addr ), - .o_rdata1 ( ex_s1 ), - .i_re2 ( id_rs2_en ), - .i_raddr2 ( id_rs2_addr ), - .o_rdata2 ( ex_s2 ), - .i_we1 ( ex_nextpc2reg ), - .i_waddr1 ( ex_dst_reg_addr), - .i_wdata1 ( ex_next_pc ), - .i_we2 ( mem_alures2reg ), - .i_waddr2 (mem_dst_reg_addr), - .i_wdata2 ( mem_alu_res ), - .i_we3 ( wb_memory2reg ), - .i_waddr3 ( wb_dst_reg_addr), - .i_wdata3 ( wb_reg_wdata ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - ex_jalr <= 1'b0; - ex_branch_may <= 1'b0; - ex_nextpc2reg <= 1'b0; - ex_alures2reg <= 1'b0; - ex_memory2reg <= 1'b0; - ex_memwrite <= 1'b0; - ex_dst_reg_addr <= 5'h0; - ex_imm <= 0; - ex_opcode <= 7'h0; - ex_funct3 <= 3'h0; - ex_funct7 <= 7'h0; - ex_next_pc <= 0; - end else if(~ex_stall) begin - ex_jalr <= launch_nop ? 1'b0 : id_jalr; - ex_branch_may <= launch_nop ? 1'b0 : id_branch_may; - ex_pc_plus_imm <= id_pc_plus_imm; - ex_nextpc2reg <= launch_nop ? 1'b0 : id_nextpc2reg; - ex_alures2reg <= launch_nop ? 1'b0 : id_alures2reg; - ex_memory2reg <= launch_nop ? 1'b0 : id_memory2reg; - ex_memwrite <= launch_nop ? 1'b0 : id_memwrite; - ex_dst_reg_addr <= id_dst_reg_addr; - ex_imm <= id_imm; - ex_opcode <= id_opcode; - ex_funct3 <= id_funct3; - ex_funct7 <= id_funct7; - ex_next_pc <= id_next_pc; - end - - -// ------------------------------------------------------------------------------- -// EX stage - comb logic -// ------------------------------------------------------------------------------- -core_alu core_alu_inst( - .i_opcode ( ex_opcode ), - .i_funct7 ( ex_funct7 ), - .i_funct3 ( ex_funct3 ), - .i_num1u ( ex_s1 ), - .i_num2u ( ex_s2 ), - .i_immu ( ex_imm ), - .o_res ( ex_alu_res ) -); -core_ex_branch_judge core_ex_branch_judge_inst( - .i_branch ( ex_branch_may ), - .i_num1u ( ex_s1 ), - .i_num2u ( ex_s2 ), - .i_funct3 ( ex_funct3 ), - .o_branch ( ex_branch ) -); -assign ex_s1_plus_imm = ex_s1 + ex_imm; - -// ------------------------------------------------------------------------------- -// EX-MEM stage - timing logic -// ------------------------------------------------------------------------------- -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - mem_memory2reg <= 1'b0; - mem_alures2reg <= 1'b0; - mem_alu_res <= 0; - mem_dst_reg_addr<= 5'h0; - mem_memwrite <= 1'b0; - mem_mem_wdata <= 0; - mem_s1_plus_imm <= 0; - mem_funct3 <= 3'b0; - end else if(~mem_stall) begin - mem_memory2reg <= ex_memory2reg; - mem_alures2reg <= ex_alures2reg; - mem_alu_res <= ex_alu_res; - mem_dst_reg_addr<= ex_dst_reg_addr; - mem_memwrite <= ex_memwrite; - mem_mem_wdata <= ex_s2; - mem_s1_plus_imm <= ex_s1_plus_imm; - mem_funct3 <= ex_funct3; - end - - -// ------------------------------------------------------------------------------- -// MEM-WB stage - timing logic -// ------------------------------------------------------------------------------- -core_bus_wrapper core_bus_wrapper_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_re ( mem_memory2reg ), - .i_we ( mem_memwrite ), - .o_conflict ( mem_data_bus_conflict ), - .i_funct3 ( mem_funct3 ), - .i_addr ( mem_s1_plus_imm ), - .i_wdata ( mem_mem_wdata ), - .o_rdata ( wb_reg_wdata ), - .bus_master ( data_master ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - wb_memory2reg <= 1'b0; - wb_dst_reg_addr <= 5'h0; - end else begin - wb_memory2reg <= mem_memory2reg; - wb_dst_reg_addr <= mem_dst_reg_addr; - end - -endmodule diff --git a/RTL/instr_rom.sv b/RTL/instr_rom.sv deleted file mode 100644 index 4f0215e..0000000 --- a/RTL/instr_rom.sv +++ /dev/null @@ -1,61 +0,0 @@ -module instr_rom( - input logic clk, rst_n, - naive_bus.slave bus -); -localparam INSTR_CNT = 30'd36; -wire [0:INSTR_CNT-1] [31:0] instr_rom_cell = { - 32'h12300013, // 0x00008000 - 32'h45600013, // 0x00008004 - 32'h00010137, // 0x00008008 - 32'h40016113, // 0x0000800c - 32'h00704293, // 0x00008010 - 32'h008000ef, // 0x00008014 - 32'h05c0006f, // 0x00008018 - 32'h00306513, // 0x0000801c - 32'h00a2f663, // 0x00008020 - 32'h0002e313, // 0x00008024 - 32'h00008067, // 0x00008028 - 32'hffc10113, // 0x0000802c - 32'h00112023, // 0x00008030 - 32'hfff28293, // 0x00008034 - 32'hffc10113, // 0x00008038 - 32'h00512023, // 0x0000803c - 32'hfddff0ef, // 0x00008040 - 32'h00012283, // 0x00008044 - 32'h00410113, // 0x00008048 - 32'hfff28293, // 0x0000804c - 32'hffc10113, // 0x00008050 - 32'h00612023, // 0x00008054 - 32'hfc5ff0ef, // 0x00008058 - 32'h00012383, // 0x0000805c - 32'h00410113, // 0x00008060 - 32'h00730333, // 0x00008064 - 32'h00012083, // 0x00008068 - 32'h00410113, // 0x0000806c - 32'h00008067, // 0x00008070 - 32'h000062b3, // 0x00008074 - 32'h000302b7, // 0x00008078 - 32'h00628023, // 0x0000807c - 32'h00c003b7, // 0x00008080 - 32'hfff38393, // 0x00008084 - 32'hfe039ee3, // 0x00008088 - 32'hfe9ff06f, // 0x0000808c -}; - -logic [29:0] cell_rd_addr; - -assign bus.rd_gnt = bus.rd_req; -assign bus.wr_gnt = bus.wr_req; -assign cell_rd_addr = bus.rd_addr[31:2]; -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus.rd_data <= 0; - else begin - if(bus.rd_req) - bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr]; - else - bus.rd_data <= 0; - end - -endmodule - diff --git a/RTL/isp_uart.sv b/RTL/isp_uart.sv deleted file mode 100644 index b7ffd4c..0000000 --- a/RTL/isp_uart.sv +++ /dev/null @@ -1,222 +0,0 @@ -// 一个能作为naive bus 主设备的调试模块 -// 它接收用户从UART发来的命令,操控复位等信号,或对总线进行读写。用户可以使用UART命令复位整个SoC,上传程序,或者查看运行时的RAM数据。 - -// 命令列表(全部命令为ASCII,人类可读, 每个命令使用\r或\n或\r\n或\n\r结尾): -// 1、复位命令 -// 发送: r\n -// 效果: 将o_rst_n信号拉低若干个时钟周期 -// 返回: rst done\n -// 2、指定boot地址的复位命令 -// 2. w\n : o_run = 1 , return running \n -// 3. s\n : o_run = 0 , return stoped \n -// 4. addr=data\n : write [addr] = data , example: 00000100 928cd0f1\n , return : 00000100=928cd0f1 , note:automaticly align address to 4byte -// 5. addr\n : read [addr] , example: 00000100\n return : 928cd0f1 -// - -module isp_uart #( - parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 - parameter UART_TX_CLK_DIV = 434 // 50MHz/1/115200Hz=434 -)( - input logic clk, - input logic i_uart_rx, - output logic o_uart_tx, - output logic o_rst_n, - output logic [31:0] o_boot_addr, - naive_bus.master bus -); - -logic [ 3:0] rst_chain = 4'b0; -logic rx_ready, rd_ok=1'b0, wr_ok=1'b0, tx_start=1'b0; -logic [ 7:0] rx_data, rx_binary; -logic [ 3:0] rx_binary_l; -logic [31:0] addr=0, wr_data=0; -logic [ 7:0][ 7:0] rd_data_ascii; -logic [ 7:0][ 7:0] tx_data = 64'h0; -enum {NEW, CMD, GETBOOTADDR, SETBOOTADDR, ADDR, EQUAL, DATA, FINAL, TRASH} fsm = NEW; - -`define C (rx_data=="r") -`define S (rx_data==" " || rx_data=="\t" ) -`define E (rx_data=="\n" || rx_data=="\r" ) -`define N ( (rx_data>="0" && rx_data<="9" ) || (rx_data>="a" && rx_data<="f" ) ) - -initial o_boot_addr = 0; -assign o_rst_n = &rst_chain; - -initial begin bus.rd_req = 1'b0; bus.wr_req = 1'b0; bus.rd_addr = 0; bus.wr_addr = 0; bus.wr_data = 0; end -assign bus.rd_be = 4'hf; -assign bus.wr_be = 4'hf; - -assign rx_binary_l = rx_binary[3:0]; - -always_comb - if(rx_data>="0" && rx_data<="9" ) begin - rx_binary = rx_data - "0"; - end else if(rx_data>="a" && rx_data<="f" ) begin - rx_binary = rx_data - "a" + 8'd10; - end else begin - rx_binary = 8'h0; - end - -uart_rx #( - .UART_RX_CLK_DIV (UART_RX_CLK_DIV) -) uart_rx_inst ( - .clk ( clk ), - .i_rx ( i_uart_rx ), - .o_ready ( rx_ready ), - .o_data ( rx_data ) -); - -uart_tx_line #( - .UART_TX_CLK_DIV (UART_TX_CLK_DIV) -) uart_tx_line_inst ( - .clk ( clk ), - .o_tx ( o_uart_tx ), - .i_start ( tx_start ), - .i_data ( tx_data ) -); - -generate - genvar i; - for(i=0; i<8; i++) begin : convert_binary_to_ascii - always_comb - if(bus.rd_data[3+4*i:4*i]>4'h9) - rd_data_ascii[i] = "a" - 8'd10 + bus.rd_data[3+4*i:4*i]; - else - rd_data_ascii[i] = "0" + bus.rd_data[3+4*i:4*i]; - end -endgenerate - -always @ (posedge clk) - rd_ok <= (bus.rd_req & bus.rd_gnt); - -always @ (posedge clk) - wr_ok <= (bus.wr_req & bus.wr_gnt); - -always @ (posedge clk) - if (rd_ok) begin - tx_start<= 1'b1; - tx_data <= rd_data_ascii; - end else if(wr_ok) begin - tx_start<= 1'b1; - tx_data <= "wr done "; - end else if(rx_ready && `E) begin - if(fsm==CMD) begin - tx_start<= 1'b1; - tx_data <= "rst done"; - end else if(fsm==TRASH) begin - tx_start<= 1'b1; - tx_data <= "invalid "; - end - end else begin - tx_start<= 1'b0; - tx_data <= 64'h0; - end - -always @ (posedge clk) - if(rx_ready && fsm==CMD && `E) - rst_chain <= 4'h0; - else - rst_chain <= {rst_chain[2:0],1'b1}; - -always @ (posedge clk) - if (bus.rd_req) begin - if(bus.rd_gnt) - bus.rd_req <= 1'b0; - end else if(bus.wr_req) begin - if(bus.wr_gnt) - bus.wr_req <= 1'b0; - end else if( rx_ready ) begin - case(fsm) - NEW : if (`C) begin - fsm <= CMD; - wr_data <= 0; - end else if(`S || `E) begin - fsm <= NEW; - addr <= 0; - wr_data <= 0; - end else if(`N) begin - fsm <= ADDR; - addr <= {addr[27:0], rx_binary_l}; // get a addr - end else begin - fsm <= TRASH; - end - CMD : if (`E) begin - o_boot_addr <= {wr_data[31:2],2'b00}; // 设置复位的boot地址,后两位截断(双字对齐) - fsm <= NEW; // cmd ok! - addr <= 0; - wr_data <= 0; - end else if(`S) begin - fsm <= CMD; - end else if(`N) begin - fsm <= CMD; // r字符后出现数字,说明该复位命令要指定boot地址, - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data - end else begin - fsm <= TRASH; - end - ADDR : if (`E) begin - fsm <= NEW; // get a read command - bus.rd_req <= 1'b1; // TODO : launch a bus read - bus.rd_addr <= addr; - addr <= 0; - wr_data <= 0; - end else if(`N) begin - fsm <= ADDR; - addr <= {addr[27:0], rx_binary_l}; // get a addr - end else if(`S) begin - fsm <= EQUAL; // get addr down, waiting for data, maybe a write command - end else begin - fsm <= TRASH; - end - EQUAL : if (`E) begin - fsm <= NEW; // get a read command - bus.rd_req <= 1'b1; // TODO : launch a bus read - bus.rd_addr <= addr; - addr <= 0; - wr_data <= 0; - end else if(`N) begin - fsm <= DATA; // get a data - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data - end else if(`S) begin - fsm <= EQUAL; - end else begin - fsm <= TRASH; - end - DATA : if (`E) begin - fsm <= NEW; // get a write command - bus.wr_req <= 1'b1; // TODO : launch a bus write - bus.wr_addr <= addr; - bus.wr_data <= wr_data; - addr <= 0; - wr_data <= 0; - end else if(`N) begin - fsm <= DATA; // get a data - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data - end else if(`S) begin - fsm <= FINAL; // get data down, waiting for \r or \n - end else begin - fsm <= TRASH; - end - FINAL : if (`E) begin - fsm <= NEW; // get a write command - bus.wr_req <= 1'b1; // TODO : launch a bus write - bus.wr_addr <= addr; - bus.wr_data <= wr_data; - addr <= 0; - wr_data <= 0; - end else if(`S) begin - fsm <= FINAL; // get addr down, waiting for \r or \n - end else begin - fsm <= TRASH; - end - default : if (`E) begin - // get a syntax error - fsm <= NEW; - addr <= 0; - wr_data <= 0; - end else begin - fsm <= TRASH; - end - endcase - end - -endmodule diff --git a/RTL/naive_bus.sv b/RTL/naive_bus.sv deleted file mode 100644 index 83106d6..0000000 --- a/RTL/naive_bus.sv +++ /dev/null @@ -1,30 +0,0 @@ -`ifndef NAIVE_BUS_SV -`define NAIVE_BUS_SV - -interface naive_bus(); - // read interface - logic rd_req, rd_gnt; - logic [3:0] rd_be; - logic [31:0] rd_addr, rd_data; - // write interface - logic wr_req, wr_gnt; - logic [3:0] wr_be; - logic [31:0] wr_addr, wr_data; - - modport master( - output rd_req, rd_be, rd_addr, - input rd_data, rd_gnt, - output wr_req, wr_be, wr_addr, wr_data, - input wr_gnt - ); - - modport slave( - input rd_req, rd_be, rd_addr, - output rd_data, rd_gnt, - input wr_req, wr_be, wr_addr, wr_data, - output wr_gnt - ); - -endinterface - -`endif \ No newline at end of file diff --git a/RTL/naive_bus_router.sv b/RTL/naive_bus_router.sv deleted file mode 100644 index a1c1fa9..0000000 --- a/RTL/naive_bus_router.sv +++ /dev/null @@ -1,118 +0,0 @@ -module naive_bus_router #( - parameter [7:0] N_MASTER = 2, - parameter [7:0] N_SLAVE = 3, - parameter [0:N_SLAVE-1][31:0] SLAVES_MASK = { 32'h0000_3fff , 32'h0000_3fff , 32'h0000_3fff }, - parameter [0:N_SLAVE-1][31:0] SLAVES_BASE = { 32'h0000_0000 , 32'h0001_0000 , 32'h0002_0000 } -)( - input logic clk, rst_n, - naive_bus.slave masters [N_MASTER-1:0] , - naive_bus.master slaves [ N_SLAVE-1:0] -); - -`define SLAVE_ADDRESS(master_addr, slave_index) (master_addr) & ( SLAVES_MASK[slave_index] ) -`define SLAVE_INRANGE(master_addr, slave_index) ( ((master_addr) & (~SLAVES_MASK[slave_index]))==(SLAVES_BASE[slave_index]) ) - -logic [N_MASTER-1:0] masters_rd_req; -logic [N_MASTER-1:0][ 3:0] masters_rd_be; -logic [N_MASTER-1:0][31:0] masters_rd_addr; -logic [N_MASTER-1:0] masters_wr_req; -logic [N_MASTER-1:0][ 3:0] masters_wr_be; -logic [N_MASTER-1:0][31:0] masters_wr_addr; -logic [N_MASTER-1:0][31:0] masters_wr_data; -logic [N_MASTER-1:0] masters_rd_gnt = 1'b0; -logic [N_MASTER-1:0][ 7:0] master_rd_slv_index = {N_MASTER{N_SLAVE}}; -logic [N_MASTER-1:0][ 7:0] master_rd_slv_index_latch = {N_MASTER{N_SLAVE}}; -logic [N_MASTER-1:0][ 7:0] slv = {N_MASTER{N_SLAVE}}; - -logic [N_SLAVE-1:0] slaves_wr_gnt, slaves_rd_gnt; -logic [N_SLAVE-1:0][ 7:0] mst = {N_SLAVE{N_MASTER}}; -logic [N_SLAVE-1:0][ 7:0] slaves_wr_mst_index = {N_SLAVE{N_MASTER}}; -logic [N_SLAVE-1:0][ 7:0] slaves_rd_mst_index = {N_SLAVE{N_MASTER}}; -logic [N_SLAVE :0][31:0] slaves_rd_data; - - -assign slaves_rd_data[N_SLAVE] = 0; - -generate - genvar slv_i_assign; - for(slv_i_assign=0; slv_i_assign7'd0) begin - if(cnt==0) begin - {tx_shift, o_tx} <= {1'b1, tx_shift}; - tx_cnt <= tx_cnt - 7'd1; - end - end else begin - o_tx <= 1'b1; - if(i_start) begin - tx_cnt <= 7'd93; - tx_shift <= tx_buffer; - end else begin - tx_cnt <= 7'd0; - end - end - -endmodule diff --git a/RTL/user_uart_tx.sv b/RTL/user_uart_tx.sv deleted file mode 100644 index ca0cebb..0000000 --- a/RTL/user_uart_tx.sv +++ /dev/null @@ -1,99 +0,0 @@ - -module user_uart_tx #( - parameter UART_TX_CLK_DIV = 434 // 50MHz/1/115200Hz=434 -)( - input logic clk, rst_n, - output logic o_uart_tx, - naive_bus.slave bus -); -localparam TX_CNT = 5'd19; - -logic [ 7:0] fifo_rd_pointer=8'h0, fifo_wr_pointer=8'h0, fifo_len; -logic fifo_full, fifo_empty; -logic rd_addr_valid, wr_addr_valid; -logic [31:0] cnt = 0; -logic [ 4:0] tx_cnt = 0; -logic [ 7:0] tx_shift = 8'h0; -logic [ 7:0] fifo_rd_data; - -initial o_uart_tx = 1'b1; - -assign rd_addr_valid = (bus.rd_addr[31:2] == 30'h0); -assign wr_addr_valid = (bus.wr_addr[31:2] == 30'h0); - -assign fifo_len = fifo_wr_pointer - fifo_rd_pointer; -assign fifo_empty = (fifo_len==8'h00); -assign fifo_full = (fifo_len==8'hff); - -assign bus.rd_gnt = bus.rd_req; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus.rd_data <= 0; - else begin - if(bus.rd_req & rd_addr_valid) - bus.rd_data <= {24'h0, fifo_len}; - else - bus.rd_data <= 0; - end - -always_comb - if(bus.wr_req) begin - if(wr_addr_valid && bus.wr_be[0]) begin - bus.wr_gnt <= ~fifo_full; - end else begin - bus.wr_gnt <= 1'b1; - end - end else begin - bus.wr_gnt <= 1'b0; - end - -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - fifo_wr_pointer <= 8'h0; - end else begin - if(bus.wr_req & wr_addr_valid & bus.wr_be[0] & ~fifo_full) begin - fifo_wr_pointer <= fifo_wr_pointer + 8'h1; - end - end - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - cnt <= 0; - else - cnt <= (cnt5'd0) begin - if(cnt==0) begin - if(tx_cnt==TX_CNT) begin - {tx_shift, o_uart_tx} <= {fifo_rd_data, 1'b0}; - fifo_rd_pointer <= fifo_rd_pointer + 8'h1; - end else begin - {tx_shift, o_uart_tx} <= {1'b1, tx_shift}; - end - tx_cnt <= tx_cnt - 5'd1; - end - end else begin - o_uart_tx <= 1'b1; - tx_cnt <= fifo_empty ? 5'd0 : TX_CNT; - end - end - -ram ram_for_uart_tx_fifo_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_we ( bus.wr_req & wr_addr_valid & bus.wr_be[0] & ~fifo_full ), - .i_waddr ( {2'h0,fifo_wr_pointer} ), - .i_wdata ( bus.wr_data[7:0] ), - .i_raddr ( {2'h0,fifo_rd_pointer} ), - .o_rdata ( fifo_rd_data ) -); - -endmodule diff --git a/RTL/video_ram.sv b/RTL/video_ram.sv deleted file mode 100644 index b37fd6f..0000000 --- a/RTL/video_ram.sv +++ /dev/null @@ -1,335 +0,0 @@ -module video_ram( - input logic clk, rst_n, - output logic o_hsync, o_vsync, - output logic [15:0] o_pixel, - naive_bus.slave bus -); - -logic [ 9:0] vga_addr_h; -logic [ 1:0] vga_addr_l, vga_addr_l_latch = 2'b00; -logic [ 7:0] vga_ascii; -logic [ 9:0] cell_rd_addr, cell_wr_addr; -logic [ 7:0] vga_rdata [4]; - -assign cell_rd_addr = bus.rd_addr[11:2]; -assign cell_wr_addr = bus.wr_addr[11:2]; - -assign bus.rd_gnt = bus.rd_req; -assign bus.wr_gnt = bus.wr_req; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - vga_addr_l_latch <= 2'b00; - else - vga_addr_l_latch <= vga_addr_l; - -ram ram_block_inst_0( - .clk ( clk ), - .rst_n ( rst_n ), - .i_we ( bus.wr_req & bus.wr_be[0] ), - .i_waddr ( cell_wr_addr ), - .i_raddr ( cell_rd_addr ), - .i_wdata ( bus.wr_data[ 7: 0] ), - .o_rdata ( bus.rd_data[ 7: 0] ), - .i_raddr2 ( vga_addr_h ), - .o_rdata2 ( vga_rdata[0] ) -); -ram ram_block_inst_1( - .clk ( clk ), - .rst_n ( rst_n ), - .i_we ( bus.wr_req & bus.wr_be[1] ), - .i_waddr ( cell_wr_addr ), - .i_raddr ( cell_rd_addr ), - .i_wdata ( bus.wr_data[15: 8] ), - .o_rdata ( bus.rd_data[15: 8] ), - .i_raddr2 ( vga_addr_h ), - .o_rdata2 ( vga_rdata[1] ) -); -ram ram_block_inst_2( - .clk ( clk ), - .rst_n ( rst_n ), - .i_we ( bus.wr_req & bus.wr_be[2] ), - .i_waddr ( cell_wr_addr ), - .i_raddr ( cell_rd_addr ), - .i_wdata ( bus.wr_data[23:16] ), - .o_rdata ( bus.rd_data[23:16] ), - .i_raddr2 ( vga_addr_h ), - .o_rdata2 ( vga_rdata[2] ) -); -ram ram_block_inst_3( - .clk ( clk ), - .rst_n ( rst_n ), - .i_we ( bus.wr_req & bus.wr_be[3] ), - .i_waddr ( cell_wr_addr ), - .i_raddr ( cell_rd_addr ), - .i_wdata ( bus.wr_data[31:24] ), - .o_rdata ( bus.rd_data[31:24] ), - .i_raddr2 ( vga_addr_h ), - .o_rdata2 ( vga_rdata[3] ) -); - -always_comb - case(vga_addr_l_latch) - 2'b00 : vga_ascii <= vga_rdata[0]; - 2'b01 : vga_ascii <= vga_rdata[1]; - 2'b10 : vga_ascii <= vga_rdata[2]; - 2'b11 : vga_ascii <= vga_rdata[3]; - endcase - -vgaChar98x36 vga_char_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .hsync ( o_hsync ), - .vsync ( o_vsync ), - .pixel ( o_pixel ), - .addr ( {vga_addr_h,vga_addr_l} ), - .ascii ( vga_ascii ) -); - -endmodule - - - - -module vgaChar98x36( - // clock - input clk, rst_n, - // vga interfaces - output hsync, vsync, - output [15:0] pixel, - // user interface - output req, - output [11:0] addr, - input [7:0] ascii -); -wire b; -wire [15:0] req_pixel; -reg [15:0] border, border_latch; - -wire [2:0] x_l; -wire [3:0] y_l; -wire [6:0] x_h; -wire [5:0] y_h; -wire [9:0] x, y; -reg [9:0] x_latch, y_latch; - -always @ (posedge clk) begin - x_latch <= x; - y_latch <= y; - border_latch <= border; -end - -assign {x_h, x_l} = x - 10'd8; -assign {y_h, y_l} = y - 10'd12; - -assign addr = y_h * 12'd98 + x_h; - -vga vga_inst( - .clk (clk), - .hsync (hsync), - .vsync (vsync), - .pixel (pixel), - .req (req), - .x (x), - .y (y), - .req_pixel (req_pixel) -); - -assign req_pixel = ( x_latch>=8 && x_latch<(800-8) && y_latch>=12 && y_latch<(600-12) ) ? {16{b}} : border_latch; - -always @ (posedge clk) - if(req) - border <= ( x<5 || x>(800-5) || y<5 || y>(600-5) ) ? 16'hff00 : 16'h0000; - -char8x16_rom char_8x16_rom_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .ascii ( ascii ), - .x ( x_l ), - .y ( y_l ), - .b ( b ) -); - -endmodule - - - - - - -module vga( - // clock - input clk, - // vga interface - output reg hsync, vsync, - output reg [15:0] pixel, - // user interface - output req, - output [ 9:0] x, y, - input [15:0] req_pixel -); -localparam H_END = 800, - H_SYNCSTART = H_END + 8, - H_SYNCEND = H_SYNCSTART + 128, - H_PERIOD = H_SYNCEND + 72, - - V_END = 600, - V_SYNCSTART = V_END + 8, - V_SYNCEND = V_SYNCSTART + 4, - V_PERIOD = V_SYNCEND + 36; - -reg [31:0] hcnt,vcnt; - -always @ (posedge clk) - hcnt <= (hcnt=H_SYNCSTART && hcnt=V_SYNCSTART && vcnt0 && hcnt<=H_END && v_range) ? req_pixel : 16'h0; - -endmodule - - - - - -// 存放所有ASCII字符的字码 -// 该ROM自动综合成Block RAM -module char8x16_rom( - input clk, rst_n, - input [7:0] ascii, - input [2:0] x, - input [3:0] y, - output b -); -reg [ 6:0] addr = 7'h0; -reg [127:0] char = 128'h0; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - addr <= 7'h0; - else - addr <= ~{y,x}; - -assign b = char[addr]; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - char <= 128'h0; - else - case(ascii) - 33: char <= 128'h00000018181818181808000818000000; //!0 - 34: char <= 128'h00000034242424000000000000000000; //"1 - 35: char <= 128'h0000000016247F2424247E2424000000; //#2 - 36: char <= 128'h000000083E6848681C1612127C101000; //$3 - 37: char <= 128'h00000061D296740810162949C6000000; //%4 - 38: char <= 128'h000000003C646438724ACE467F000000; //&5 - 39: char <= 128'h00000018181818000000000000000000; //'6 - 40: char <= 128'h00000004081810303030301010180C04; //(7 - 41: char <= 128'h000000201008080C0404040C08181020; //)8 - 42: char <= 128'h000000080A341C6A0800000000000000; //*9 - 43: char <= 128'h0000000000001818187F181818000000; //+10 - 44: char <= 128'h00000000000000000000001818083000; //,11 - 45: char <= 128'h0000000000000000003C000000000000; //-12 - 46: char <= 128'h00000000000000000000001818000000; //.13 - 47: char <= 128'h0000000206040C080810102020400000; ///14 - 48: char <= 128'h000000003C6642475B7342663C000000; //015 - 49: char <= 128'h0000000018784808080808087E000000; //116 - 50: char <= 128'h000000003C460606040810207E000000; //217 - 51: char <= 128'h000000007C0606043C0202067C000000; //318 - 52: char <= 128'h000000000C1C14246444FF0404000000; //419 - 53: char <= 128'h000000007E6060607E0202067C000000; //520 - 54: char <= 128'h000000001E306048764242623C000000; //621 - 55: char <= 128'h000000007E0206040C08181030000000; //722 - 56: char <= 128'h000000003C6242361C6642423C000000; //823 - 57: char <= 128'h000000003C664242661A020478000000; //924 - 58: char <= 128'h00000000000018180000001818000000; //:25 - 59: char <= 128'h00000000000018180000001818083000; //;26 - 60: char <= 128'h00000000000004183060100C06000000; //<27 - 61: char <= 128'h00000000000000007E007E0000000000; //=28 - 62: char <= 128'h000000000000301804060C1020000000; //>29 - 63: char <= 128'h000000301C0606061810001010000000; //?30 - 64: char <= 128'h0000001C224141DDB5A5A5AF94C0403C; //@31 - 65: char <= 128'h00000000181C342426627E43C1000000; //A32 - 66: char <= 128'h000000007C4642467C4242427C000000; //B33 - 67: char <= 128'h000000001E204040404040603E000000; //C34 - 68: char <= 128'h000000007C4642434343424678000000; //D35 - 69: char <= 128'h000000007E6060607E6060607E000000; //E36 - 70: char <= 128'h000000007E6060607E60606060000000; //F37 - 71: char <= 128'h000000001E604040CE4242623E000000; //G38 - 72: char <= 128'h00000000424242427E42424242000000; //H39 - 73: char <= 128'h000000007E181818181818187E000000; //I40 - 74: char <= 128'h000000007C0404040404044478000000; //J41 - 75: char <= 128'h000000004244485070584C4442000000; //K42 - 76: char <= 128'h0000000020202020202020203E000000; //L43 - 77: char <= 128'h000000006266675F5B5BC1C1C1000000; //M44 - 78: char <= 128'h00000000626272525A4A4E4646000000; //N45 - 79: char <= 128'h000000003C6243C3C3C343623C000000; //O46 - 80: char <= 128'h000000007C4642424678404040000000; //P47 - 81: char <= 128'h000000003C6243C3C3C343623C180F00; //Q48 - 82: char <= 128'h000000007C6662667C6C646662000000; //R49 - 83: char <= 128'h000000003E6040601C0602027C000000; //S50 - 84: char <= 128'h000000007F1818181818181818000000; //T51 - 85: char <= 128'h0000000042424242424242623C000000; //U52 - 86: char <= 128'h00000000C14342622624341C18000000; //V53 - 87: char <= 128'h00000000C1C141495B5B766666000000; //W54 - 88: char <= 128'h0000000043663418181C2466C3000000; //X55 - 89: char <= 128'h00000000C14266341C18181818000000; //Y56 - 90: char <= 128'h000000007E02040C181020607E000000; //Z57 - 91: char <= 128'h0000001C10101010101010101010101C; //[58 - 92: char <= 128'h000000402020101008080C0406020000; //\59 - 93: char <= 128'h0000003C0C0C0C0C0C0C0C0C0C0C0C3C; //]60 - 94: char <= 128'h00000000181C24620000000000000000; //^61 - 95: char <= 128'h000000000000000000000000000000FF; //_62 - 96: char <= 128'h00000020100000000000000000000000; //`63 - 97: char <= 128'h0000000000003C06023E42467A000000; //a64 - 98: char <= 128'h0000004040405C62424242427C000000; //b65 - 99: char <= 128'h0000000000001E20604060203E000000; //c66 - 100: char <= 128'h0000000202023E62424242663A000000; //d67 - 101: char <= 128'h0000000000003C62427E40603E000000; //e68 - 102: char <= 128'h0000000F1810107E1010101010000000; //f69 - 103: char <= 128'h0000000000003F66426658403E43423C; //g70 - 104: char <= 128'h0000004040405C624242424242000000; //h71 - 105: char <= 128'h0000001818007808080808087E000000; //i72 - 106: char <= 128'h000000040C007C040404040404040C78; //j73 - 107: char <= 128'h000000606060626C7870686462000000; //k74 - 108: char <= 128'h0000007808080808080808087E000000; //l75 - 109: char <= 128'h000000000000764B4B4B4B4B4B000000; //m76 - 110: char <= 128'h0000000000005C624242424242000000; //n77 - 111: char <= 128'h0000000000003C62424342623C000000; //o78 - 112: char <= 128'h0000000000005C62424242427C404040; //p79 - 113: char <= 128'h0000000000003E62424242663A020202; //q80 - 114: char <= 128'h0000000000006E726360606060000000; //r81 - 115: char <= 128'h0000000000003E20203C06027C000000; //s82 - 116: char <= 128'h000000001010FE10101010101E000000; //t83 - 117: char <= 128'h0000000000004242424242663A000000; //u84 - 118: char <= 128'h00000000000043426624341818000000; //v85 - 119: char <= 128'h000000000000C1C15B5A5E6666000000; //w86 - 120: char <= 128'h00000000000062261C181C2662000000; //x87 - 121: char <= 128'h00000000000043426624341C181830E0; //y88 - 122: char <= 128'h0000000000007E060C1810207E000000; //z89 - 123: char <= 128'h0000000E18101010307010101010180E; //{90 - 124: char <= 128'h00000808080808080808080808080808; //|91 - 125: char <= 128'h00000030180808080C0E080808081830; //}92 - 126: char <= 128'h0000000000000000714B060000000000; //~93 - default char <= 128'h0; - endcase - -endmodule